20 Commits (4c55dcec60038033e199272a05e8cbed9bb68e63)

Author SHA1 Message Date
Stefan Holst 1a3b91c1c0 fix comment 10 months ago
Stefan Holst aa7536b8b0 line use and diff 10 months ago
Stefan Holst a6d1e4099c alap toposort, improve tests 11 months ago
Stefan Holst 967a232b1c fix pulse threshold selection 1 year ago
Stefan Holst 351d809306 for release 0.0.4 1 year ago
Stefan Holst 35e727e714 better docs, new techlib as default, fix tests 1 year ago
Stefan Holst afb0a64953 wsa accumulation in wavesim 1 year ago
Stefan Holst 7a060b1831 support for static variations 2 years ago
Stefan Holst 44b0c887d7 random sampling of delays 2 years ago
Stefan Holst 5566b80e52 simprim, vat refactor, batchrange 2 years ago
stefan 1eb8d87884 faster logic sim, removing MVArray, BPArray 2 years ago
Stefan Holst 8da4a62bce switch to new wave_sim, silence occupancy warnings 2 years ago
Stefan Holst 840b816804 Circuit pickle, STIL/SDF/techlib fixes, sdata 2 years ago
Stefan Holst 387c436207 fix tests, version bump 3 years ago
Stefan Holst c9445f2d79 Docs, __index__, fault injection and TechLib 4 years ago
Stefan Holst 62cf56e98a TechLib class, remove unnecessary .index 4 years ago
Stefan Holst ff4de6d782 de-lint and repr improvements 4 years ago
Stefan Holst 64e1de396f New m-valued logic arrays, documentation, 0.0.2 4 years ago
Stefan Holst 7f035c1ac5 Migration to new logic value representation 4 years ago
Stefan Holst 7bcfbf502b Documentation, cleanup, multi-valued logic 4 years ago
Stefan Holst e6ae009969 updated b14 benchmark, update wavesim capture api, expand usage examples 4 years ago
Stefan Holst 6bba7ac359 support for stripping forks and memory re-use in wavesim. 4 years ago
Stefan Holst 1af346c97a overflow notification and wavecap statistics on GPU 4 years ago
Stefan Holst 0c5a7f56e1 Project Import 4 years ago