From 351d80930604f39571300716ee7508db2abeebe2 Mon Sep 17 00:00:00 2001 From: Stefan Holst Date: Sat, 22 Jul 2023 16:13:01 +0900 Subject: [PATCH 1/4] for release 0.0.4 - Circuit: is now pickleable and comparable - Circuit: utilities for locating/indexing io-ports - Verilog: parser fixes, support yosys-style verilog - SDF: parser fixes, full XOR support - STIL: parser fixes - Simulators: faster, up to 4-input cells, pickleable - WaveSim: WSA calculation support - WaveSim: Per-simulation parameters and delays - Logic: Data are now raw numpy arrays - Logic: More tools for bit-packing - Added DEF parser - Better techlib support for NanGate, SAED, GSC180 - Tests and docs improvements --- .gitignore | 12 +- Demo.ipynb | 1348 ----------- LICENSE.txt | 2 +- MANIFEST.in | 2 +- README.rst | 14 +- docs/Makefile | 2 + docs/circuit.rst | 13 + docs/conf.py | 4 +- docs/datastructures.rst | 29 - docs/index.rst | 6 +- docs/logic.rst | 7 + docs/miscellaneous.rst | 4 - docs/parsers.rst | 9 + docs/simulators.rst | 5 + docs/techlib.rst | 7 + examples/Introduction.ipynb | 3502 +++++++++++++++++++++++++++ setup.py | 5 +- src/kyupy/__init__.py | 101 +- src/kyupy/bench.py | 8 +- src/kyupy/circuit.py | 332 ++- src/kyupy/def_file.py | 297 +++ src/kyupy/logic.py | 682 +++--- src/kyupy/logic_sim.py | 475 ++-- src/kyupy/sdf.py | 227 +- src/kyupy/sim.py | 333 +++ src/kyupy/stil.py | 103 +- src/kyupy/techlib.py | 656 ++--- src/kyupy/verilog.py | 186 +- src/kyupy/wave_sim.py | 1146 +++------ tests/b14.sdf.gz | Bin 660345 -> 0 bytes tests/b14.stuck.stil.gz | Bin 105382 -> 0 bytes tests/b14.transition.stil.gz | Bin 149513 -> 0 bytes tests/b14.v.gz | Bin 181352 -> 0 bytes tests/b15_2ig.sa_nf.stil.gz | Bin 0 -> 44314 bytes tests/b15_2ig.sdf.gz | Bin 0 -> 466042 bytes tests/b15_2ig.tf_nf.stil.gz | Bin 0 -> 81105 bytes tests/b15_2ig.v.gz | Bin 0 -> 130865 bytes tests/b15_4ig.sdf.gz | Bin 0 -> 408470 bytes tests/b15_4ig.v.gz | Bin 0 -> 97635 bytes tests/conftest.py | 14 +- tests/rng_haltonBase2.synth_yosys.v | 335 +++ tests/test_bench.py | 2 +- tests/test_circuit.py | 33 +- tests/test_logic.py | 313 +-- tests/test_logic_sim.py | 238 +- tests/test_sdf.py | 230 +- tests/test_stil.py | 22 +- tests/test_verilog.py | 45 +- tests/test_wave_sim.py | 300 +-- 49 files changed, 7176 insertions(+), 3873 deletions(-) delete mode 100644 Demo.ipynb create mode 100644 docs/circuit.rst delete mode 100644 docs/datastructures.rst create mode 100644 docs/logic.rst create mode 100644 docs/techlib.rst create mode 100644 examples/Introduction.ipynb create mode 100644 src/kyupy/def_file.py create mode 100644 src/kyupy/sim.py delete mode 100644 tests/b14.sdf.gz delete mode 100644 tests/b14.stuck.stil.gz delete mode 100644 tests/b14.transition.stil.gz delete mode 100644 tests/b14.v.gz create mode 100644 tests/b15_2ig.sa_nf.stil.gz create mode 100644 tests/b15_2ig.sdf.gz create mode 100644 tests/b15_2ig.tf_nf.stil.gz create mode 100644 tests/b15_2ig.v.gz create mode 100644 tests/b15_4ig.sdf.gz create mode 100644 tests/b15_4ig.v.gz create mode 100644 tests/rng_haltonBase2.synth_yosys.v diff --git a/.gitignore b/.gitignore index 1293051..fb95c5d 100644 --- a/.gitignore +++ b/.gitignore @@ -1,10 +1,12 @@ -**/__pycache__ -**/.ipynb_checkpoints -**/.pytest_cache -**/.DS_Store -**/*.pyc +__pycache__ +.ipynb_checkpoints +.pytest_cache +.DS_Store +*.pyc docs/_build build dist .idea +.vscode src/kyupy.egg-info +*nogit* diff --git a/Demo.ipynb b/Demo.ipynb deleted file mode 100644 index 6ba4c1f..0000000 --- a/Demo.ipynb +++ /dev/null @@ -1,1348 +0,0 @@ -{ - "cells": [ - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Loading and Exploring Gate-Level Circuits" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Example of parsing the bench data format to make simple gate-level circuits." - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "from kyupy import bench\n", - "\n", - "# load a file\n", - "b01 = bench.load('tests/b01.bench')\n", - "\n", - "# ... or specify the circuit as string \n", - "mycircuit = bench.parse('input(a,b) output(o1,o2,o3) x=buf(a) o1=not(x) o2=buf(x) o3=buf(x)')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Circuits are objects of the class `Circuit`." - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 2, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "b01" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 3, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "mycircuit" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Circuits are containers for two types of elements: nodes and lines.\n", - "* A `Node` is a named entity in a circuit (e.g. a gate, a standard cell, a named signal, or a fan-out point) that has connections to other nodes.\n", - "* A `Line` is a directional 1:1 connection between two Nodes.\n", - "\n", - "Use the `dump()` method to get a string representation of all nodes and their connections." - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "None(0,1,2,3,4)\n", - "0:__fork__\"a\" >1\n", - "1:__fork__\"b\" \n", - "2:__fork__\"o1\" <2 \n", - "3:__fork__\"o2\" <4 \n", - "4:__fork__\"o3\" <6 \n", - "5:buf\"x\" <1 >0\n", - "6:__fork__\"x\" <0 >3 >5 >7\n", - "7:not\"o1\" <3 >2\n", - "8:buf\"o2\" <5 >4\n", - "9:buf\"o3\" <7 >6\n" - ] - } - ], - "source": [ - "print(mycircuit.dump())" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The first line of the dump starts with the circuit name (\"None\" for `mycircuit`), followed by the node-IDs of all the ports (inputs and outputs) of the circuit.\n", - "\n", - "Each of the following lines describes one node.\n", - "Each node in the circuit has a unique ID, a type, a name, and line-connections. This information is given on each line in that order.\n", - "\n", - "A line in the circuit has a unique ID, a driver node and a receiver node. The connections in the dump show the direction (\">\" for output, \"<\" for input) and the line-ID. For example in `mycircuit`: Node-0 has one output connected to Line-1, and this Line-1 is connected to the input of Node-5.\n", - "\n", - "The `interface` is the list of nodes forming the ports (inputs and outputs):" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "[0:__fork__\"a\" >1,\n", - " 1:__fork__\"b\" ,\n", - " 2:__fork__\"o1\" <2 ,\n", - " 3:__fork__\"o2\" <4 ,\n", - " 4:__fork__\"o3\" <6 ]" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "mycircuit.interface" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Nodes\n", - "\n", - "There are two types of nodes: __forks__ and __cells__.\n", - "\n", - "Forks have the special type `__fork__` while cells can be of various types (`buf`, `not`, `and`, `nor`, etc.).\n", - "Forks are used to label signals with names and to connect a one cell to multiple other cells (fan-out).\n", - "The names among all forks and among all cells within a circuit are unique.\n", - "Thus, a fork and a cell are allowed to share the same name.\n", - "\n", - "Nodes in circuits can be accessed by ID or by name." - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "7:not\"o1\" <3 >2" - ] - }, - "execution_count": 6, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "mycircuit.nodes[7]" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "6:__fork__\"x\" <0 >3 >5 >7" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "mycircuit.forks['x']" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "5:buf\"x\" <1 >0" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "mycircuit.cells['x']" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Nodes have an `index` (the node ID), a `kind` (the type), a `name`, as well as `ins` (input pins) and `outs` (output pins)" - ] - }, - { - "cell_type": "code", - "execution_count": 9, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(6, '__fork__', 'x', [0], [3, 5, 7])" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "n = mycircuit.nodes[6]\n", - "n.index, n.kind, n.name, n.ins, n.outs" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The inputs and outputs of a node are lists containing `Line` objects." - ] - }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "kyupy.circuit.Line" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "type(n.ins[0])" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Lines\n", - "\n", - "A line is a directional connection between one driving node (`driver`) and one reading node (`reader`).\n", - "\n", - "A line also knows to which node pins it is connected to: `driver_pin`, `reader_pin`." - ] - }, - { - "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(5, 6:__fork__\"x\" <0 >3 >5 >7, 8:buf\"o2\" <5 >4, 1, 0)" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "l = mycircuit.nodes[6].outs[1]\n", - "l.index, l.driver, l.reader, l.driver_pin, l.reader_pin" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "## Basic Analysis Examples\n", - "### Cell type statistics" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "defaultdict(, {'DFF': 5, 'AND': 1, 'NAND': 28, 'OR': 1, 'NOT': 10})\n" - ] - } - ], - "source": [ - "from collections import defaultdict\n", - "\n", - "counts = defaultdict(int)\n", - "\n", - "for n in b01.cells.values():\n", - " counts[n.kind] += 1\n", - "\n", - "print(counts)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Tracing a scan chain" - ] - }, - { - "cell_type": "code", - "execution_count": 13, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "from kyupy import verilog\n", - "\n", - "b14 = verilog.load('tests/b14.v.gz')\n", - "b14" - ] - }, - { - "cell_type": "code", - "execution_count": 14, - "metadata": { - "scrolled": false - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "chain length 287\n", - "output test_so000\n", - "NBUFFX8_RVT HFSBUF_36_76\n", - "SDFFARX1_RVT wr_reg\n", - "INVX4_RVT HFSINV_691_254\n", - "INVX0_RVT HFSINV_2682_255\n", - "SDFFARX1_RVT state_reg\n", - "NBUFFX2_RVT ZBUF_55_inst_860\n", - "SDFFARX1_RVT reg3_reg_28_\n", - "SDFFARX1_RVT reg3_reg_27_\n", - "SDFFARX1_RVT reg3_reg_26_\n", - "...\n", - "NBUFFX2_RVT ZBUF_1656_inst_2160\n", - "SDFFARX1_RVT IR_reg_3_\n", - "NBUFFX2_RVT ZBUF_85_inst_865\n", - "SDFFARX1_RVT IR_reg_2_\n", - "SDFFARX1_RVT IR_reg_1_\n", - "SDFFARX1_RVT IR_reg_0_\n", - "NBUFFX2_RVT ZBUF_17_inst_905\n", - "NBUFFX4_RVT ZBUF_275_inst_906\n", - "SDFFARX1_RVT B_reg\n", - "input test_si000\n" - ] - } - ], - "source": [ - "chain = []\n", - "cell = b14.cells['test_so000']\n", - "chain.append(cell)\n", - "while len(cell.ins) > 0:\n", - " cell = cell.ins[2 if 'SDFF' in cell.kind else 0].driver\n", - " if '__fork__' not in cell.kind:\n", - " chain.append(cell)\n", - " \n", - "print('chain length', len(chain))\n", - "for c in chain[:10]:\n", - " print(c.kind, c.name)\n", - "print('...')\n", - "for c in chain[-10:]:\n", - " print(c.kind, c.name)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "### Determining Logic Depth of Nodes" - ] - }, - { - "cell_type": "code", - "execution_count": 15, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 15, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "from kyupy import verilog\n", - "\n", - "b14 = verilog.load('tests/b14.v.gz')\n", - "b14" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Calculate logic level (logic depth, distance from inputs or scan flip-flops) for each node in the circuit.\n", - "Inputs and flip-flops themselves are level 0, **cells** driven by just inputs and flip-flops are level 1, and so on.\n", - "**Fork** nodes have the same level as their driver, because they do not increase the logic depth." - ] - }, - { - "cell_type": "code", - "execution_count": 16, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Maximum logic depth: 112\n" - ] - } - ], - "source": [ - "import numpy as np\n", - "\n", - "levels = np.zeros(len(b14.nodes), dtype='uint16') # store level for each node.\n", - "\n", - "for cell in b14.topological_order():\n", - " if 'DFF' in cell.kind or 'input' == cell.kind:\n", - " levels[cell] = 0\n", - " elif '__fork__' == cell.kind:\n", - " levels[cell] = levels[cell.ins[0].driver] # forks only have exactly one driver\n", - " else:\n", - " levels[cell] = max([levels[line.driver] for line in cell.ins]) + 1\n", - " \n", - "print(f'Maximum logic depth: {np.max(levels)}')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "List nodes with the highest depth and which nodes they are driving." - ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "depth: 112 node: __fork__ n2692 driving: SDFFARX1_RVT reg1_reg_29_ \n", - "depth: 112 node: NAND2X0_RVT U465 driving: __fork__ n2692 \n", - "depth: 112 node: NAND2X0_RVT U562 driving: __fork__ n2724 \n", - "depth: 112 node: __fork__ n2724 driving: SDFFARX1_RVT reg0_reg_29_ \n", - "depth: 112 node: __fork__ n2608 driving: SDFFARX1_RVT B_reg \n", - "depth: 112 node: NAND2X0_RVT U170 driving: __fork__ n2608 \n", - "depth: 111 node: NAND2X0_RVT U5550 driving: __fork__ n2693 \n", - "depth: 111 node: __fork__ n2660 driving: SDFFARX1_RVT reg2_reg_29_ \n", - "depth: 111 node: AND2X2_RVT U5560 driving: __fork__ n2660 \n", - "depth: 111 node: __fork__ n2725 driving: SDFFARX1_RVT reg0_reg_28_ \n", - "depth: 111 node: __fork__ n2693 driving: SDFFARX1_RVT reg1_reg_28_ \n", - "depth: 111 node: __fork__ n362 driving: NAND2X0_RVT U170 \n", - "depth: 111 node: NAND2X0_RVT U173 driving: __fork__ n362 \n", - "depth: 111 node: __fork__ n600 driving: NAND2X0_RVT U562 \n", - "depth: 111 node: NAND2X0_RVT U563 driving: __fork__ n600 \n", - "depth: 111 node: NAND2X0_RVT U565 driving: __fork__ n2725 \n", - "depth: 111 node: NAND2X0_RVT U466 driving: __fork__ n535 \n", - "depth: 111 node: __fork__ n535 driving: NAND2X0_RVT U465 \n", - "depth: 110 node: __fork__ n4691 driving: AND2X2_RVT U5560 \n", - "depth: 110 node: NAND2X0_RVT U5736 driving: __fork__ n790 \n" - ] - } - ], - "source": [ - "nodes_by_depth = np.argsort(levels)[::-1]\n", - "\n", - "for n_idx in nodes_by_depth[:20]:\n", - " n = b14.nodes[n_idx]\n", - " readers = ', '.join([f'{l.reader.kind:12s} {l.reader.name:14s}' for l in n.outs])\n", - " print(f'depth: {levels[n_idx]} node: {n.kind:12s} {n.name:6s} driving: {readers}')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Working With Test Data and Logic Simulation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Load a stuck-at fault test pattern set and expected fault-free responses from a STIL file." - ] - }, - { - "cell_type": "code", - "execution_count": 18, - "metadata": {}, - "outputs": [], - "source": [ - "from kyupy import verilog, stil\n", - "from kyupy.logic import MVArray, BPArray\n", - "from kyupy.logic_sim import LogicSim\n", - "\n", - "b14 = verilog.load('tests/b14.v.gz')\n", - "s = stil.load('tests/b14.stuck.stil.gz')\n", - "stuck_tests = s.tests(b14)\n", - "stuck_responses = s.responses(b14)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Tests and responses are instances of `MVArray`. Its `length` is the number of test vectors stored, its `width` is the number of values in a vector. By default, the stil parser returns 8-valued test vectors (`m=8`)." - ] - }, - { - "cell_type": "code", - "execution_count": 19, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 19, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_tests" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The internal storage (an `ndarray` of `uint8`) is accessible via `data`. The first axis is the width, and the last axis goes along the test set." - ] - }, - { - "cell_type": "code", - "execution_count": 20, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(306, 1081)" - ] - }, - "execution_count": 20, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_tests.data.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The subscript accessor returns a string representation of the given test vector number. Possible values are '0', '1', '-', 'X', 'R', 'F', 'P', and 'N'." - ] - }, - { - "cell_type": "code", - "execution_count": 21, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "'P0--------------------11011111011001100111010101011101----------------------------------00-10111011010110011101110010111010111011101100010000110101111111011010101001010101010101010101001010110101001010101010101010110100000111111111111111011010100100101010010010101101010101001010100111010001010010000011100'" - ] - }, - "execution_count": 21, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_tests[1]" - ] - }, - { - "cell_type": "code", - "execution_count": 22, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "'--10000010010100010111--------------------------------0101010010101010110101001001010100--011111110011011111000111010101010111011101100010000110101111111011010101001010101010101010101001010110101001010101010101010110100000111111111111111011010100100101010010010101101010101001010101000111111111111111011101'" - ] - }, - "execution_count": 22, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_responses[1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The order of values in the vectors correspond to the circuit's interface followed by the scan flip-flops as they appear in `b14.cells`.\n", - "The test data can be used directly in the simulators as they use the same ordering convention.\n", - "\n", - "The logic simulator uses bit-parallel storage of logic values, but our loaded test data uses one `uint8` per logic value.\n", - "To convert the storage layout, we instanciate a `BPArray` for the input stimuli.\n", - "The storage layout is more compact, but individual values cannot be easily accessed anymore." - ] - }, - { - "cell_type": "code", - "execution_count": 23, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 23, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_tests_bp = BPArray(stuck_tests)\n", - "stuck_tests_bp" - ] - }, - { - "cell_type": "code", - "execution_count": 24, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(306, 3, 136)" - ] - }, - "execution_count": 24, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "stuck_tests_bp.data.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The following code performs a 8-valued logic simulation and stores the results in a new instance of `BPArray`.\n", - "The packed array is unpacked into an `MVArray` for value access." - ] - }, - { - "cell_type": "code", - "execution_count": 25, - "metadata": {}, - "outputs": [], - "source": [ - "responses_bp = BPArray((stuck_tests_bp.width, len(stuck_tests_bp)))\n", - "simulator = LogicSim(b14, sims=len(stuck_tests_bp))\n", - "simulator.assign(stuck_tests_bp)\n", - "simulator.propagate()\n", - "simulator.capture(responses_bp)\n", - "responses = MVArray(responses_bp)" - ] - }, - { - "cell_type": "code", - "execution_count": 26, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "'--10000010010100010111--------------------------------0101010010101010110101001001010100--011111110011011111000111010101010111011101100010000110101111111011010101001010101010101010101001010110101001010101010101010110100000111111111111111011010100100101010010010101101010101001010101000111111111111111011101'" - ] - }, - "execution_count": 26, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "responses[1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Compare simulation results to expected fault-free responses loaded from STIL. The first test fails, because it is a flush test while simulation implicitly assumes a standard test with a capture clock." - ] - }, - { - "cell_type": "code", - "execution_count": 27, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "mismatch for test pattern 0\n", - "1080 of 1081 responses matched with simulator\n" - ] - } - ], - "source": [ - "matches = 0\n", - "for i in range(len(responses)):\n", - " if responses[i] == stuck_responses[i]:\n", - " matches += 1\n", - " else:\n", - " print(f'mismatch for test pattern {i}')\n", - "print(f'{matches} of {len(responses)} responses matched with simulator')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Transition faults require test vector pairs for testing. These pairs are generated by `tests_loc`, assuming a launch-on-capture scheme (two functional clock cycles after scan-in)." - ] - }, - { - "cell_type": "code", - "execution_count": 28, - "metadata": {}, - "outputs": [], - "source": [ - "s = stil.load('tests/b14.transition.stil.gz')\n", - "trans_tests = s.tests_loc(b14)\n", - "trans_responses = s.responses(b14)" - ] - }, - { - "cell_type": "code", - "execution_count": 29, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "" - ] - }, - "execution_count": 29, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "trans_tests" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Possible values in the string representation are: '0', '1', '-', 'X', 'R' (rising transition), 'F' (falling transition), 'P' (positive pulse(s), 010), 'N' (negative pulse(s), 101)." - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "'00--------------------RRRRRRFRRRRRRRRRRRFFRFRRRRRRRRRR----------------------------------00-00000001110100011111011010000000000000000011001001100101111110101110110001000100010100110111111101101000000111110011100010111000111R1111111111111111111111110001100100000110100000111010101110RFF00F000F0F00F00000FF01F'" - ] - }, - "execution_count": 30, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "trans_tests[1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "We validate these patterns with an 8-valued logic simulation" - ] - }, - { - "cell_type": "code", - "execution_count": 31, - "metadata": {}, - "outputs": [], - "source": [ - "trans_tests_bp = BPArray(trans_tests)\n", - "responses_bp = BPArray((trans_tests_bp.width, len(trans_tests_bp)))\n", - "simulator = LogicSim(b14, sims=len(trans_tests_bp))\n", - "simulator.assign(trans_tests_bp)\n", - "simulator.propagate()\n", - "simulator.capture(responses_bp)\n", - "responses = MVArray(responses_bp)" - ] - }, - { - "cell_type": "code", - "execution_count": 32, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "'--F00000F00F0F000F00FF--------------------------------01110101011100000101100000100110R0--0RRRRRRRNNNRNRPRNNNNNRFFRFRRRRRRR000000000011001001100101111110101110110001000100010100110111111101101000000111110011100010111000NNNNNNNNNNNNNNNNNNNNNNNNNNNNP0011001000001101000001110101011101RRRRRRRRRRRRRRRRRRRRP01R'" - ] - }, - "execution_count": 32, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "responses[1]" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The responses loaded from STIL only contain the final logic values. Use simple character replacements before comparing these. First test is again a flush test." - ] - }, - { - "cell_type": "code", - "execution_count": 33, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "mismatch for test pattern 0\n", - "1391 of 1392 responses matched with simulator\n" - ] - } - ], - "source": [ - "matches = 0\n", - "for i in range(len(responses)):\n", - " if trans_responses[i] == responses[i].replace('P','0').replace('N','1').replace('R','1').replace('F','0'):\n", - " matches += 1\n", - " else:\n", - " print(f'mismatch for test pattern {i}')\n", - "print(f'{matches} of {len(responses)} responses matched with simulator')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# Working With Delay Information and Timing Simulation" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Delay data for gates and interconnect can be loaded from SDF files. In kyupy's timing simulators, delays are associated with the lines between nodes, not with the nodes themselves. Each line in the circuit has a rising delay, a falling delay, a negative pulse threshold, and a positive pulse threshold. " - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [], - "source": [ - "from kyupy import sdf\n", - "\n", - "df = sdf.load('tests/b14.sdf.gz')\n", - "lt = df.annotation(b14, dataset=0, interconnect=False)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The returned delay information is an `ndarray` with a set of delay values for each line in the circuit." - ] - }, - { - "cell_type": "code", - "execution_count": 35, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(46891, 2, 2)" - ] - }, - "execution_count": 35, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "lt.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Number of non-0 values loaded:" - ] - }, - { - "cell_type": "code", - "execution_count": 36, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "120628" - ] - }, - "execution_count": 36, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "(lt != 0).sum()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The available timing simulators are `WaveSim` and `WaveSimCuda`.\n", - "They work similarly to `LogicSim` in that they evaluate all cells in topological order.\n", - "Instead of propagating a logic value, however, they propagate waveforms.\n", - "\n", - "`WaveSim` uses the numba just-in-time compiler for acceleration on CPU.\n", - "It falls back to pure python if numba is not available. `WaveSimCuda` uses numba for GPU acceleration.\n", - "If no CUDA card is available, it will fall back to pure python (not jit-compiled for CPU!).\n", - "Pure python is too slow for most purposes.\n", - "\n", - "Both simulators operate data-parallel.\n", - "The following instanciates a new engine for 32 independent timing simulations and each signal line in the circuit can carry at most 16 transitions. All simulators share the same circuit and the same line delay specification." - ] - }, - { - "cell_type": "code", - "execution_count": 37, - "metadata": {}, - "outputs": [], - "source": [ - "from kyupy.wave_sim import WaveSimCuda, TMAX\n", - "import numpy as np\n", - "\n", - "wsim = WaveSimCuda(b14, lt, sims=32, wavecaps=16)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "These are various memories allocated, with waveforms usually being the largest. " - ] - }, - { - "cell_type": "code", - "execution_count": 38, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Waveforms : 93908.5 kiB\n", - "State Allocation Table : 1113.4 kiB\n", - "Circuit Timing : 1484.5 kiB\n", - "Circuit Netlist : 732.7 kiB\n", - "Capture Data : 267.8 kiB\n", - "Test Stimuli Data : 3.6 kiB\n" - ] - } - ], - "source": [ - "def print_mem(name, arr):\n", - " print(f'{name}: {arr.size * arr.itemsize / 1024:.1f} kiB')\n", - " \n", - "print_mem('Waveforms ', wsim.state)\n", - "print_mem('State Allocation Table ', wsim.sat)\n", - "print_mem('Circuit Timing ', wsim.timing)\n", - "print_mem('Circuit Netlist ', wsim.ops)\n", - "print_mem('Capture Data ', wsim.cdata)\n", - "print_mem('Test Stimuli Data ', wsim.tdata)" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "This is a typical simulation loop where the number of patterns is larger than the number of simulators available.\n", - "We simulate `trans_tests_bp`.\n", - "The timing simulator accepts 8-valued `BPArray`s, but it will return response (capture) data in a different format." - ] - }, - { - "cell_type": "code", - "execution_count": 39, - "metadata": {}, - "outputs": [], - "source": [ - "sims = 128 # len(trans_tests_bp) # Feel free to simulate all tests if CUDA is set up correctly.\n", - "\n", - "cdata = np.zeros((len(wsim.interface), sims, 7)) # space to store all capture data\n", - "\n", - "for offset in range(0, sims, wsim.sims):\n", - " wsim.assign(trans_tests_bp, offset=offset)\n", - " wsim.propagate(sims=sims-offset)\n", - " wsim.capture(time=2.5, cdata=cdata, offset=offset) # capture at time 2.5" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The capture data contains for each PI, PO, and scan flip-flop (axis 0), and each test (axis 1) seven values:\n", - "\n", - "0. Probability of capturing a 1 at the given capture time (same as next value, if no standard deviation given).\n", - "1. A capture value decided by random sampling according to above probability.\n", - "2. The final value (assume a very late capture time).\n", - "3. True, if there was a premature capture (capture error), i.e. final value is different from captured value.\n", - "4. Earliest arrival time. The time at which the output transitioned from its initial value.\n", - "5. Latest stabilization time. The time at which the output transitioned to its final value.\n", - "6. Overflow indicator. If non-zero, some signals in the input cone of this output had more transitions than specified in `wavecaps`. Some transitions have been discarded, the final values in the waveforms are still valid." - ] - }, - { - "cell_type": "code", - "execution_count": 40, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "(306, 128, 7)" - ] - }, - "execution_count": 40, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "cdata.shape" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "For validating against known logic values, take `cdata[...,1]`." - ] - }, - { - "cell_type": "code", - "execution_count": 41, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "mismatch for test pattern 0\n", - "127 of 128 responses matched with simulator\n" - ] - } - ], - "source": [ - "matches = 0\n", - "\n", - "for i in range(cdata.shape[1]):\n", - " response = ''.join('1' if x > 0.5 else '0' for x in cdata[..., i, 1])\n", - " if trans_responses[i].replace('-','0') == response:\n", - " matches += 1\n", - " else:\n", - " print(f'mismatch for test pattern {i}')\n", - "print(f'{matches} of {cdata.shape[1]} responses matched with simulator')" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "The circuit delay is the maximum among all latest stabilization times:" - ] - }, - { - "cell_type": "code", - "execution_count": 42, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "2.17240047454834" - ] - }, - "execution_count": 42, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "cdata[...,5].max()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Check for overflows. If too many of them occur, increase `wavecaps` during engine instanciation:" - ] - }, - { - "cell_type": "code", - "execution_count": 43, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "2.0" - ] - }, - "execution_count": 43, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "cdata[...,6].sum()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "Check for capture failures:" - ] - }, - { - "cell_type": "code", - "execution_count": 44, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "0.0" - ] - }, - "execution_count": 44, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "cdata[...,3].sum()" - ] - }, - { - "cell_type": "markdown", - "metadata": {}, - "source": [ - "# CUDA Support Notes\n", - "\n", - "Try this code to check if CUDA is set up correctly.\n", - "\n", - "If there is an error related to `nvvm`, you probably need to set up some environment variables:\n", - "```\n", - "%env LD_LIBRARY_PATH=/usr/local/cuda/lib64\n", - "%env CUDA_HOME=/usr/local/cuda\n", - "```\n", - "If problems persist, refer to documentations for numba and cuda. " - ] - }, - { - "cell_type": "code", - "execution_count": 45, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "Found 2 CUDA devices\n", - "id 0 b'NVIDIA GeForce RTX 3090' [SUPPORTED]\n", - " compute capability: 8.6\n", - " pci device id: 0\n", - " pci bus id: 3\n", - "id 1 b'NVIDIA TITAN V' [SUPPORTED]\n", - " compute capability: 7.0\n", - " pci device id: 0\n", - " pci bus id: 2\n", - "Summary:\n", - "\t2/2 devices are supported\n" - ] - }, - { - "data": { - "text/plain": [ - "True" - ] - }, - "execution_count": 45, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "from numba import cuda\n", - "\n", - "cuda.detect()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "worker", - "language": "python", - "name": "worker" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.6.13" - } - }, - "nbformat": 4, - "nbformat_minor": 2 -} diff --git a/LICENSE.txt b/LICENSE.txt index c0da9ca..8e73798 100644 --- a/LICENSE.txt +++ b/LICENSE.txt @@ -1,6 +1,6 @@ MIT License -Copyright (c) 2020-2022 Stefan Holst +Copyright (c) 2020-2023 Stefan Holst Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal diff --git a/MANIFEST.in b/MANIFEST.in index 11cee4f..cb81f10 100644 --- a/MANIFEST.in +++ b/MANIFEST.in @@ -1,5 +1,5 @@ -include *.ipynb include *.txt +recursive-include examples *.ipynb recursive-include tests *.bench recursive-include tests *.gz recursive-include tests *.py diff --git a/README.rst b/README.rst index 739e7b8..a00df1b 100644 --- a/README.rst +++ b/README.rst @@ -6,7 +6,7 @@ It contains fundamental building blocks for research software in the fields of V * Efficient data structures for gate-level circuits and related design data. * Partial `lark `_ parsers for common design files like - bench, gate-level verilog, standard delay format (SDF), standard test interface language (STIL). + bench, gate-level Verilog, standard delay format (SDF), standard test interface language (STIL), design exchange format (DEF). * Bit-parallel gate-level 2-, 4-, and 8-valued logic simulation. * GPU-accelerated high-throughput gate-level timing simulation. * High-performance through the use of `numpy `_ and `numba `_. @@ -16,13 +16,17 @@ Getting Started --------------- KyuPy is available in `PyPI `_. -It requires Python 3.6 or newer, `lark-parser `_, and `numpy`_. +It requires Python 3.8 or newer, `lark-parser `_, and `numpy`_. Although optional, `numba`_ should be installed for best performance. -GPU/CUDA support in numba may `require some additional setup `_. +GPU/CUDA support in numba may `require some additional setup `_. If numba is not available, KyuPy will automatically fall back to slow, pure Python execution. -The Jupyter Notebook `Demo.ipynb `_ contains some useful examples to get familiar with the API. +The Jupyter Notebook `Introduction.ipynb `_ contains some useful examples to get familiar with the API. + + +Development +----------- To work with the latest pre-release source code, clone the `KyuPy GitHub repository `_. -Run ``pip3 install --user -e .`` within your local checkout to make the package available in your Python environment. +Run ``pip install -e .`` within your local checkout to make the package available in your Python environment. The source code comes with tests that can be run with ``pytest``. diff --git a/docs/Makefile b/docs/Makefile index d4bb2cb..0f632bf 100644 --- a/docs/Makefile +++ b/docs/Makefile @@ -1,3 +1,5 @@ +# pip install sphinx sphinx-rtd-theme +# # Minimal makefile for Sphinx documentation # diff --git a/docs/circuit.rst b/docs/circuit.rst new file mode 100644 index 0000000..1d1ff31 --- /dev/null +++ b/docs/circuit.rst @@ -0,0 +1,13 @@ +Circuit Graph - :mod:`kyupy.circuit` +==================================== + +.. automodule:: kyupy.circuit + +.. autoclass:: kyupy.circuit.Node + :members: + +.. autoclass:: kyupy.circuit.Line + :members: + +.. autoclass:: kyupy.circuit.Circuit + :members: \ No newline at end of file diff --git a/docs/conf.py b/docs/conf.py index 540783b..e50d1bf 100644 --- a/docs/conf.py +++ b/docs/conf.py @@ -20,11 +20,11 @@ sys.path.insert(0, os.path.abspath('../src')) # -- Project information ----------------------------------------------------- project = 'KyuPy' -copyright = '2020-2021, Stefan Holst' +copyright = '2020-2023, Stefan Holst' author = 'Stefan Holst' # The full version, including alpha/beta/rc tags -release = '0.0.3' +release = '0.0.4' # -- General configuration --------------------------------------------------- diff --git a/docs/datastructures.rst b/docs/datastructures.rst deleted file mode 100644 index 026ded9..0000000 --- a/docs/datastructures.rst +++ /dev/null @@ -1,29 +0,0 @@ -Data Structures -=============== - -KyuPy provides two types of core data structures, one for gate-level circuits, and a few others for representing and storing logic data and signal values. -The data structures are designed to work together nicely with numpy arrays. -For example, all the nodes and connections in the circuit graph have consecutive integer indices that can be used to access ndarrays with associated data. -Circuit graphs also define an ordering of inputs, outputs and other nodes to easily process test vector data and alike. - -Circuit Graph - :mod:`kyupy.circuit` ------------------------------------- - -.. automodule:: kyupy.circuit - -.. autoclass:: kyupy.circuit.Node - :members: - -.. autoclass:: kyupy.circuit.Line - :members: - -.. autoclass:: kyupy.circuit.Circuit - :members: - -Multi-Valued Logic - :mod:`kyupy.logic` ---------------------------------------- - -.. automodule:: kyupy.logic - :members: - - diff --git a/docs/index.rst b/docs/index.rst index 3fc74e8..06f085d 100644 --- a/docs/index.rst +++ b/docs/index.rst @@ -4,9 +4,11 @@ API Reference ------------- .. toctree:: - :maxdepth: 2 + :maxdepth: 1 - datastructures + circuit + logic + techlib parsers simulators miscellaneous diff --git a/docs/logic.rst b/docs/logic.rst new file mode 100644 index 0000000..4f9fac3 --- /dev/null +++ b/docs/logic.rst @@ -0,0 +1,7 @@ +Multi-Valued Logic - :mod:`kyupy.logic` +======================================= + +.. automodule:: kyupy.logic + :members: + + diff --git a/docs/miscellaneous.rst b/docs/miscellaneous.rst index fff469f..f49363b 100644 --- a/docs/miscellaneous.rst +++ b/docs/miscellaneous.rst @@ -4,7 +4,3 @@ Miscellaneous .. automodule:: kyupy :members: -.. automodule:: kyupy.techlib - :members: - - diff --git a/docs/parsers.rst b/docs/parsers.rst index 5dac7f8..920206e 100644 --- a/docs/parsers.rst +++ b/docs/parsers.rst @@ -40,3 +40,12 @@ Standard Delay Format - :mod:`kyupy.sdf` .. autoclass:: kyupy.sdf.DelayFile :members: + +Design Exchange Format - :mod:`kyupy.def_file` +---------------------------------------------- + +.. automodule:: kyupy.def_file + :members: parse, load + +.. autoclass:: kyupy.def_file.DefFile + :members: diff --git a/docs/simulators.rst b/docs/simulators.rst index bcc0ea4..44360f7 100644 --- a/docs/simulators.rst +++ b/docs/simulators.rst @@ -1,6 +1,11 @@ Simulators ========== +KyuPy's simulators are optimized for cells with at most 4 inputs and 1 output. + +More complex cells must be mapped to simulation primitives first. + + Logic Simulation - :mod:`kyupy.logic_sim` ----------------------------------------- diff --git a/docs/techlib.rst b/docs/techlib.rst new file mode 100644 index 0000000..8e23b2d --- /dev/null +++ b/docs/techlib.rst @@ -0,0 +1,7 @@ +Technology Libraries +==================== + +.. automodule:: kyupy.techlib + :members: + + diff --git a/examples/Introduction.ipynb b/examples/Introduction.ipynb new file mode 100644 index 0000000..2f0a352 --- /dev/null +++ b/examples/Introduction.ipynb @@ -0,0 +1,3502 @@ +{ + "cells": [ + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# KyuPy Introduction\n", + "\n", + "This notebook introduces KyuPy's basic data structures and built-in functions step-by-step.\n", + "\n", + "## Working With Gate-Level Circuit Structures\n", + "\n", + "KyuPy has two parser modules:\n", + "\n", + "* `kyupy.bench`: The [ISCAS'89 Benchmark Format](https://www.researchgate.net/profile/Franc-Brglez/publication/224723140_Combination_profiles_of_sequential_benchmark_circuits) \".bench\"\n", + "* `kyupy.verilog`: Non-hierarchical gate-level verilog\n", + "\n", + "Files can be loaded using `.load(file)`, strings can be parsed using `.parse(text)`." + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy import bench, verilog\n", + "from kyupy.techlib import SAED32, GSC180\n", + "\n", + "# load a file\n", + "b15 = verilog.load('../tests/b15_2ig.v.gz', tlib=SAED32)\n", + "\n", + "# ... or specify the circuit as string \n", + "adder = verilog.parse('''\n", + "module adder(clk, a, b, s);\n", + " input clk, a, b;\n", + " output s;\n", + " wire cin, cout;\n", + " DFFX1 carry (.D(cout), .CK(clk), .Q(cin));\n", + " ADDFX1 adder (.A(a), .B(b), .CI(cin), .CO(cout), .S(s));\n", + "endmodule\n", + "''', tlib=GSC180)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "They return KyuPy's intermediate prepresentation of the circuit graph (objects of class `kyupy.circuit.Circuit`):" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "kyupy.circuit.Circuit" + ] + }, + "execution_count": 2, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "type(b15)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{name: \"b15\", cells: 10789, forks: 10749, lines: 32032, io_nodes: 111}" + ] + }, + "execution_count": 3, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{name: \"adder\", cells: 6, forks: 6, lines: 12, io_nodes: 4}" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The `.stats` property returns a dictionary with more detailed statistics on the elements in the circuit." + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'__node__': 12,\n", + " '__cell__': 6,\n", + " '__fork__': 6,\n", + " '__io__': 4,\n", + " '__line__': 12,\n", + " 'DFFX1': 1,\n", + " '__dff__': 1,\n", + " 'ADDFX1': 1,\n", + " '__comb__': 1,\n", + " 'input': 3,\n", + " 'output': 1,\n", + " '__latch__': 0,\n", + " '__seq__': 1}" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.stats" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'__node__': 21538,\n", + " '__cell__': 10789,\n", + " '__fork__': 10749,\n", + " '__io__': 111,\n", + " '__line__': 32032,\n", + " 'TIEH_RVT': 1,\n", + " '__comb__': 10261,\n", + " 'NBUFFX4_RVT': 114,\n", + " 'NBUFFX2_RVT': 371,\n", + " 'INVX2_RVT': 27,\n", + " 'NBUFFX8_RVT': 40,\n", + " 'INVX0_RVT': 769,\n", + " 'AND2X1_RVT': 996,\n", + " 'OR2X1_RVT': 1087,\n", + " 'OR2X2_RVT': 8,\n", + " 'INVX8_RVT': 30,\n", + " 'NOR2X2_RVT': 20,\n", + " 'INVX4_RVT': 36,\n", + " 'AND2X2_RVT': 50,\n", + " 'SDFFARX1_RVT': 412,\n", + " '__dff__': 417,\n", + " 'NAND2X0_RVT': 6596,\n", + " 'NOR2X0_RVT': 74,\n", + " 'NOR2X1_RVT': 15,\n", + " 'NAND2X1_RVT': 3,\n", + " 'NOR2X4_RVT': 5,\n", + " 'NAND2X2_RVT': 9,\n", + " 'SDFFARX2_RVT': 5,\n", + " 'NAND2X4_RVT': 3,\n", + " 'AND2X4_RVT': 1,\n", + " 'INVX32_RVT': 4,\n", + " 'INVX16_RVT': 1,\n", + " 'NBUFFX32_RVT': 1,\n", + " 'output': 71,\n", + " 'input': 40,\n", + " '__latch__': 0,\n", + " '__seq__': 417}" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15.stats" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The `.dot()` function shows the graph structure for small circuits. This requires the `graphviz` package." + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "data": { + "image/svg+xml": [ + "\n", + "\n", + "\n", + "\n", + "\n", + "\n", + "%3\n", + "\n", + "\n", + "0\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "0 [4]\n", + "DFFX1\n", + "carry\n", + "\n", + "0\n", + "\n", + "\n", + "1\n", + "\n", + "0\n", + "\n", + "1\n", + "__fork__\n", + "cin\n", + "\n", + "0\n", + "\n", + "\n", + "0:o0->1:i0\n", + "\n", + "\n", + "0\n", + "\n", + "\n", + "5\n", + "\n", + " \n", + "\n", + "5 [0]\n", + "input\n", + "clk\n", + "\n", + "0\n", + "\n", + "\n", + "6\n", + "\n", + "0\n", + "\n", + "6\n", + "__fork__\n", + "clk\n", + "\n", + "0\n", + "\n", + "\n", + "5:o0->6:i0\n", + "\n", + "\n", + "3\n", + "\n", + "\n", + "7\n", + "\n", + " \n", + "\n", + "7 [1]\n", + "input\n", + "a\n", + "\n", + "0\n", + "\n", + "\n", + "8\n", + "\n", + "0\n", + "\n", + "8\n", + "__fork__\n", + "a\n", + "\n", + "0\n", + "\n", + "\n", + "7:o0->8:i0\n", + "\n", + "\n", + "4\n", + "\n", + "\n", + "9\n", + "\n", + " \n", + "\n", + "9 [2]\n", + "input\n", + "b\n", + "\n", + "0\n", + "\n", + "\n", + "10\n", + "\n", + "0\n", + "\n", + "10\n", + "__fork__\n", + "b\n", + "\n", + "0\n", + "\n", + "\n", + "9:o0->10:i0\n", + "\n", + "\n", + "5\n", + "\n", + "\n", + "2\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "2\n", + "\n", + "2\n", + "ADDFX1\n", + "adder\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "1:o0->2:i2\n", + "\n", + "\n", + "10\n", + "\n", + "\n", + "6:o0->0:i0\n", + "\n", + "\n", + "7\n", + "\n", + "\n", + "8:o0->2:i0\n", + "\n", + "\n", + "8\n", + "\n", + "\n", + "10:o0->2:i1\n", + "\n", + "\n", + "9\n", + "\n", + "\n", + "3\n", + "\n", + "0\n", + "\n", + "3\n", + "__fork__\n", + "cout\n", + "\n", + "0\n", + "\n", + "\n", + "2:o0->3:i0\n", + "\n", + "\n", + "1\n", + "\n", + "\n", + "4\n", + "\n", + "0\n", + "\n", + "4\n", + "__fork__\n", + "s\n", + "\n", + "0\n", + "\n", + "\n", + "2:o1->4:i0\n", + "\n", + "\n", + "2\n", + "\n", + "\n", + "3:o0->0:i1\n", + "\n", + "\n", + "6\n", + "\n", + "\n", + "11\n", + "\n", + "0\n", + "\n", + "11 [3]\n", + "output\n", + "s\n", + "\n", + " \n", + "\n", + "\n", + "4:o0->11:i0\n", + "\n", + "\n", + "11\n", + "\n", + "\n", + "\n" + ], + "text/plain": [ + "" + ] + }, + "execution_count": 7, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.dot()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The rectangles are called _nodes_.\n", + "Every node has an _index_ (top-middle number), a _kind_ or type (string in the middle), and a _name_ (bottom-most string).\n", + "Nodes have numeric input pins on the left and numeric output pins on the right.\n", + "The pins are connected by directional _lines_.\n", + "Lines are 1-to-1 connections and also have an _index_.\n", + "\n", + "Some nodes have an additional number in brackets. These are primary inputs, primary outputs (_io_nodes_) or sequential nodes (flip-flops, _s_nodes_).\n", + "The number is the position of their corresponding data in test vectors.\n", + "\n", + "The graph above is topologically sorted with primary inputs and flip-flops on the left.\n", + "Lines that follow the sorting are shown as solid, lines back to the flip-flops are shown as dotted.\n", + "\n", + "Let's explore the components in more detail:\n", + "\n", + "### Cells and Forks\n", + "\n", + "Circuits contain `cells` and `forks` dictionaries that map names to `Node`-objects." + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'carry': 0:DFFX1\"carry\" <7 <6 >0,\n", + " 'adder': 2:ADDFX1\"adder\" <8 <9 <10 >1 >2,\n", + " 'clk': 5:input\"clk\" >3,\n", + " 'a': 7:input\"a\" >4,\n", + " 'b': 9:input\"b\" >5,\n", + " 's': 11:output\"s\" <11}" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.cells" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'cin': 1:__fork__\"cin\" <0 >10,\n", + " 'cout': 3:__fork__\"cout\" <1 >6,\n", + " 's': 4:__fork__\"s\" <2 >11,\n", + " 'clk': 6:__fork__\"clk\" <3 >7,\n", + " 'a': 8:__fork__\"a\" <4 >8,\n", + " 'b': 10:__fork__\"b\" <5 >9}" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.forks" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Access any cell or fork by name using a simple dictionary lookup:" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "2:ADDFX1\"adder\" <8 <9 <10 >1 >2" + ] + }, + "execution_count": 10, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.cells['adder']" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "3:__fork__\"cout\" <1 >6" + ] + }, + "execution_count": 11, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.forks['cout']" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Cells and forks are instances of class `Node`, which represent *things* that are connected to one or more other *things* in the circuit.\n", + "\n", + "* A **cell** represents a gate or a standard cell.\n", + "* A **fork** represents a named signal or a fan-out point (connecting the output of one cell to multiple other cells or forks).\n", + "\n", + "`Node`-objects have an `index`, a `kind`, and a `name`." + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(11, 'output', 's')" + ] + }, + "execution_count": 12, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.cells['s'].index, adder.cells['s'].kind, adder.cells['s'].name" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "*Forks* are `Node`-objects of the special kind `__fork__`.\n", + "\n", + "*Cells* are `Node`-objects of any other kind. A *kind* is just a string and can be anything.\n", + "\n", + "The namespaces of *forks* and *cells* are separate:\n", + "* A *cell* and a *fork* **can** have the same name.\n", + "* Two *cells* or two *forks* **cannot** have the same name." + ] + }, + { + "cell_type": "code", + "execution_count": 13, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(4, '__fork__', 's')" + ] + }, + "execution_count": 13, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.forks['s'].index, adder.forks['s'].kind, adder.forks['s'].name" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The `index` of a *node* in a circuit is a unique and consecutive integer.\n", + "\n", + "Although *Forks* and *cells* can have the same name, they all have separate indices.\n", + "\n", + "Nodes can be accessed by their index using the `nodes` list:" + ] + }, + { + "cell_type": "code", + "execution_count": 14, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[0:DFFX1\"carry\" <7 <6 >0,\n", + " 1:__fork__\"cin\" <0 >10,\n", + " 2:ADDFX1\"adder\" <8 <9 <10 >1 >2,\n", + " 3:__fork__\"cout\" <1 >6,\n", + " 4:__fork__\"s\" <2 >11,\n", + " 5:input\"clk\" >3,\n", + " 6:__fork__\"clk\" <3 >7,\n", + " 7:input\"a\" >4,\n", + " 8:__fork__\"a\" <4 >8,\n", + " 9:input\"b\" >5,\n", + " 10:__fork__\"b\" <5 >9,\n", + " 11:output\"s\" <11]" + ] + }, + "execution_count": 14, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.nodes" + ] + }, + { + "cell_type": "code", + "execution_count": 15, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(4:__fork__\"s\" <2 >11, 11:output\"s\" <11)" + ] + }, + "execution_count": 15, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.nodes[4], adder.nodes[11]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A common use for the index is to store additional data for nodes. Since the index is positive, unique, and consecutive, it can be easily used with external arrays or lists.\n", + "\n", + "This is how you store an additional \"weight\" for each node in the circuit:" + ] + }, + { + "cell_type": "code", + "execution_count": 16, + "metadata": {}, + "outputs": [], + "source": [ + "weights = [0] * len(adder.nodes)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Use the node instance to index into the external list. This also works with numpy arrays, of course." + ] + }, + { + "cell_type": "code", + "execution_count": 17, + "metadata": { + "tags": [] + }, + "outputs": [], + "source": [ + "weights[adder.cells['s']] = 5" + ] + }, + { + "cell_type": "code", + "execution_count": 18, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 5]" + ] + }, + "execution_count": 18, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "weights" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Lines\n", + "\n", + "A `Line` is a directional 1:1 connection between two Nodes.\n", + "\n", + "A line has a circuit-unique and consecutive `index` just like nodes.\n", + "\n", + "Line and node indices are different!\n", + "\n", + "There is a `lines` list. If a line is printed, it just outputs its index:" + ] + }, + { + "cell_type": "code", + "execution_count": 19, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11]" + ] + }, + "execution_count": 19, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.lines" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A line one `driver`-node and one `reader`-node:" + ] + }, + { + "cell_type": "code", + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(2:ADDFX1\"adder\" <8 <9 <10 >1 >2, 4:__fork__\"s\" <2 >11)" + ] + }, + "execution_count": 20, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.lines[2].driver, adder.lines[2].reader" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Nodes show their connections to the lines with direction (\"<\" for input, \">\" for output) and the line index.\n", + "\n", + "In the example above, line 2 connects the output of cell \"axb\" to the input of fork \"axb\".\n", + "\n", + "The input connections and output connections of a node are ordered lists of lines called `ins` and `outs`:" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "([8, 9, 10], [1, 2])" + ] + }, + "execution_count": 21, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.cells['adder'].ins, adder.cells['adder'].outs" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "A line also stores its positions in the connection lists in `driver_pin` and `reader_pin`:" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(0, 1)" + ] + }, + "execution_count": 22, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.lines[9].driver_pin, adder.lines[9].reader_pin" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### IO_Nodes\n", + "\n", + "Any node in the circuit can be designated as a primary input or primary output by adding it to the `io_nodes` list:" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[5:input\"clk\" >3, 7:input\"a\" >4, 9:input\"b\" >5, 11:output\"s\" <11]" + ] + }, + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.io_nodes" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "It is common that io_nodes either have only output connections (in a role as primary-input) or only input connections (in a role as primary-output).\n", + "\n", + "Inputs and outputs appear in the order they were defined in the loaded file. Inputs and outputs are often interspersed.\n", + "\n", + "A related list is `s_nodes`. It contains the io_nodes at the beginning and adds all sequential elements (flip-flops, latches)." + ] + }, + { + "cell_type": "code", + "execution_count": 24, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[5:input\"clk\" >3,\n", + " 7:input\"a\" >4,\n", + " 9:input\"b\" >5,\n", + " 11:output\"s\" <11,\n", + " 0:DFFX1\"carry\" <7 <6 >0]" + ] + }, + "execution_count": 24, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.s_nodes" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Basic Circuit Navigation\n", + "\n", + "A circuit can be traversed easily using the properties of `Circuit`, `Node`, and `Line`." + ] + }, + { + "cell_type": "code", + "execution_count": 25, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "8:__fork__\"a\" <4 >8" + ] + }, + "execution_count": 25, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.io_nodes[1].outs[0].reader" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "3:__fork__\"cout\" <1 >6\n", + "4:__fork__\"s\" <2 >11\n" + ] + } + ], + "source": [ + "for line in adder.cells['adder'].outs:\n", + " print(line.reader)" + ] + }, + { + "cell_type": "code", + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "'adder'" + ] + }, + "execution_count": 27, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.forks['cout'].ins[0].driver.name" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Let's continue with `b15` loaded before. It has 111 io_nodes:" + ] + }, + { + "cell_type": "code", + "execution_count": 28, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "({name: \"b15\", cells: 10789, forks: 10749, lines: 32032, io_nodes: 111},\n", + " [21386:output\"BE_n[3]\" <31961,\n", + " 21387:output\"BE_n[2]\" <31962,\n", + " 21388:output\"BE_n[1]\" <31963,\n", + " 21389:output\"BE_n[0]\" <31964,\n", + " 21390:output\"Address[29]\" <31965,\n", + " 21391:output\"Address[28]\" <31966,\n", + " 21392:output\"Address[27]\" <31967,\n", + " 21393:output\"Address[26]\" <31968,\n", + " 21394:output\"Address[25]\" <31969,\n", + " 21395:output\"Address[24]\" <31970,\n", + " 21396:output\"Address[23]\" <31971,\n", + " 21397:output\"Address[22]\" <31972,\n", + " 21398:output\"Address[21]\" <31973,\n", + " 21399:output\"Address[20]\" <31974,\n", + " 21400:output\"Address[19]\" <31975,\n", + " 21401:output\"Address[18]\" <31976,\n", + " 21402:output\"Address[17]\" <31977,\n", + " 21403:output\"Address[16]\" <31978,\n", + " 21404:output\"Address[15]\" <31979,\n", + " 21405:output\"Address[14]\" <31980])" + ] + }, + "execution_count": 28, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15, b15.io_nodes[:20]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "and even more sequential nodes:" + ] + }, + { + "cell_type": "code", + "execution_count": 29, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "528" + ] + }, + "execution_count": 29, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "len(b15.s_nodes)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The `.io_locs(prefix)` and `.s_locs(prefix)` methods return the locations of signals, busses and registers in `io_nodes` and `s_nodes`. :" + ] + }, + { + "cell_type": "code", + "execution_count": 30, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "107" + ] + }, + "execution_count": 30, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15.io_locs('RESET')" + ] + }, + { + "cell_type": "code", + "execution_count": 31, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[33,\n", + " 32,\n", + " 31,\n", + " 30,\n", + " 29,\n", + " 28,\n", + " 27,\n", + " 26,\n", + " 25,\n", + " 24,\n", + " 23,\n", + " 22,\n", + " 21,\n", + " 20,\n", + " 19,\n", + " 18,\n", + " 17,\n", + " 16,\n", + " 15,\n", + " 14,\n", + " 13,\n", + " 12,\n", + " 11,\n", + " 10,\n", + " 9,\n", + " 8,\n", + " 7,\n", + " 6,\n", + " 5,\n", + " 4]" + ] + }, + "execution_count": 31, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15.io_locs('Address')" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Example of a two-dimensional register file (16 8-bit registers):" + ] + }, + { + "cell_type": "code", + "execution_count": 32, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[[349, 348, 350, 347, 351, 346, 352, 345],\n", + " [357, 356, 358, 355, 359, 354, 360, 353],\n", + " [365, 364, 366, 363, 367, 362, 368, 361],\n", + " [373, 372, 374, 371, 375, 370, 376, 369],\n", + " [381, 380, 382, 379, 383, 378, 384, 377],\n", + " [389, 388, 390, 387, 391, 386, 392, 385],\n", + " [397, 396, 398, 395, 399, 394, 400, 393],\n", + " [405, 404, 406, 403, 407, 402, 408, 401],\n", + " [413, 412, 414, 411, 415, 410, 416, 409],\n", + " [421, 420, 422, 419, 423, 418, 424, 417],\n", + " [429, 428, 430, 427, 431, 426, 432, 425],\n", + " [437, 436, 438, 435, 439, 434, 440, 433],\n", + " [445, 444, 446, 443, 447, 442, 448, 441],\n", + " [453, 452, 454, 451, 455, 450, 456, 449],\n", + " [461, 460, 462, 459, 463, 458, 464, 457],\n", + " [469, 468, 470, 467, 471, 466, 472, 465]]" + ] + }, + "execution_count": 32, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15.s_locs('InstQueue_reg')" + ] + }, + { + "cell_type": "code", + "execution_count": 33, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "---------------\n", + "1385:SDFFARX1_RVT\"InstQueue_reg_0__0_\" <12704 <12707 <12708 <12706 <12705 >702\n", + "1383:SDFFARX1_RVT\"InstQueue_reg_0__1_\" <12699 <12702 <12703 <12701 <12700 >701\n", + "1387:SDFFARX1_RVT\"InstQueue_reg_0__2_\" <12709 <12712 <12713 <12711 <12710 >703\n", + "1381:SDFFARX1_RVT\"InstQueue_reg_0__3_\" <12694 <12697 <12698 <12696 <12695 >700\n", + "1389:SDFFARX1_RVT\"InstQueue_reg_0__4_\" <12714 <12717 <12718 <12716 <12715 >704\n", + "1379:SDFFARX1_RVT\"InstQueue_reg_0__5_\" <12689 <12692 <12693 <12691 <12690 >699\n", + "1391:SDFFARX1_RVT\"InstQueue_reg_0__6_\" <12719 <12722 <12723 <12721 <12720 >705\n", + "1377:SDFFARX1_RVT\"InstQueue_reg_0__7_\" <12684 <12687 <12688 <12686 <12685 >698\n", + "---------------\n", + "1401:SDFFARX1_RVT\"InstQueue_reg_1__0_\" <12744 <12747 <12748 <12746 <12745 >710\n", + "1399:SDFFARX1_RVT\"InstQueue_reg_1__1_\" <12739 <12742 <12743 <12741 <12740 >709\n", + "1403:SDFFARX1_RVT\"InstQueue_reg_1__2_\" <12749 <12752 <12753 <12751 <12750 >711\n", + "1397:SDFFARX1_RVT\"InstQueue_reg_1__3_\" <12734 <12737 <12738 <12736 <12735 >708\n", + "1405:SDFFARX1_RVT\"InstQueue_reg_1__4_\" <12754 <12757 <12758 <12756 <12755 >712\n", + "1395:SDFFARX1_RVT\"InstQueue_reg_1__5_\" <12729 <12732 <12733 <12731 <12730 >707\n", + "1407:SDFFARX1_RVT\"InstQueue_reg_1__6_\" <12759 <12762 <12763 <12761 <12760 >713\n", + "1393:SDFFARX1_RVT\"InstQueue_reg_1__7_\" <12724 <12727 <12728 <12726 <12725 >706\n", + "---------------\n", + "1417:SDFFARX1_RVT\"InstQueue_reg_2__0_\" <12784 <12787 <12788 <12786 <12785 >718\n", + "1415:SDFFARX1_RVT\"InstQueue_reg_2__1_\" <12779 <12782 <12783 <12781 <12780 >717\n", + "1419:SDFFARX1_RVT\"InstQueue_reg_2__2_\" <12789 <12792 <12793 <12791 <12790 >719\n", + "1413:SDFFARX1_RVT\"InstQueue_reg_2__3_\" <12774 <12777 <12778 <12776 <12775 >716\n", + "1421:SDFFARX1_RVT\"InstQueue_reg_2__4_\" <12794 <12797 <12798 <12796 <12795 >720\n", + "1411:SDFFARX1_RVT\"InstQueue_reg_2__5_\" <12769 <12772 <12773 <12771 <12770 >715\n", + "1423:SDFFARX1_RVT\"InstQueue_reg_2__6_\" <12799 <12802 <12803 <12801 <12800 >721\n", + "1409:SDFFARX1_RVT\"InstQueue_reg_2__7_\" <12764 <12767 <12768 <12766 <12765 >714\n", + "---------------\n", + "1433:SDFFARX1_RVT\"InstQueue_reg_3__0_\" <12824 <12827 <12828 <12826 <12825 >726\n", + "1431:SDFFARX1_RVT\"InstQueue_reg_3__1_\" <12819 <12822 <12823 <12821 <12820 >725\n", + "1435:SDFFARX1_RVT\"InstQueue_reg_3__2_\" <12829 <12832 <12833 <12831 <12830 >727\n", + "1429:SDFFARX1_RVT\"InstQueue_reg_3__3_\" <12814 <12817 <12818 <12816 <12815 >724\n", + "1437:SDFFARX1_RVT\"InstQueue_reg_3__4_\" <12834 <12837 <12838 <12836 <12835 >728\n", + "1427:SDFFARX1_RVT\"InstQueue_reg_3__5_\" <12809 <12812 <12813 <12811 <12810 >723\n", + "1439:SDFFARX1_RVT\"InstQueue_reg_3__6_\" <12839 <12842 <12843 <12841 <12840 >729\n", + "1425:SDFFARX1_RVT\"InstQueue_reg_3__7_\" <12804 <12807 <12808 <12806 <12805 >722\n", + "---------------\n", + "1449:SDFFARX1_RVT\"InstQueue_reg_4__0_\" <12864 <12867 <12868 <12866 <12865 >734\n", + "1447:SDFFARX1_RVT\"InstQueue_reg_4__1_\" <12859 <12862 <12863 <12861 <12860 >733\n", + "1451:SDFFARX1_RVT\"InstQueue_reg_4__2_\" <12869 <12872 <12873 <12871 <12870 >735\n", + "1445:SDFFARX1_RVT\"InstQueue_reg_4__3_\" <12854 <12857 <12858 <12856 <12855 >732\n", + "1453:SDFFARX1_RVT\"InstQueue_reg_4__4_\" <12874 <12877 <12878 <12876 <12875 >736\n", + "1443:SDFFARX1_RVT\"InstQueue_reg_4__5_\" <12849 <12852 <12853 <12851 <12850 >731\n", + "1455:SDFFARX1_RVT\"InstQueue_reg_4__6_\" <12879 <12882 <12883 <12881 <12880 >737\n", + "1441:SDFFARX1_RVT\"InstQueue_reg_4__7_\" <12844 <12847 <12848 <12846 <12845 >730\n", + "---------------\n", + "1465:SDFFARX1_RVT\"InstQueue_reg_5__0_\" <12904 <12907 <12908 <12906 <12905 >742\n", + "1463:SDFFARX1_RVT\"InstQueue_reg_5__1_\" <12899 <12902 <12903 <12901 <12900 >741\n", + "1467:SDFFARX1_RVT\"InstQueue_reg_5__2_\" <12909 <12912 <12913 <12911 <12910 >743\n", + "1461:SDFFARX1_RVT\"InstQueue_reg_5__3_\" <12894 <12897 <12898 <12896 <12895 >740\n", + "1469:SDFFARX1_RVT\"InstQueue_reg_5__4_\" <12914 <12917 <12918 <12916 <12915 >744\n", + "1459:SDFFARX1_RVT\"InstQueue_reg_5__5_\" <12889 <12892 <12893 <12891 <12890 >739\n", + "1471:SDFFARX1_RVT\"InstQueue_reg_5__6_\" <12919 <12922 <12923 <12921 <12920 >745\n", + "1457:SDFFARX1_RVT\"InstQueue_reg_5__7_\" <12884 <12887 <12888 <12886 <12885 >738\n", + "---------------\n", + "1481:SDFFARX1_RVT\"InstQueue_reg_6__0_\" <12944 <12947 <12948 <12946 <12945 >750\n", + "1479:SDFFARX1_RVT\"InstQueue_reg_6__1_\" <12939 <12942 <12943 <12941 <12940 >749\n", + "1483:SDFFARX1_RVT\"InstQueue_reg_6__2_\" <12949 <12952 <12953 <12951 <12950 >751\n", + "1477:SDFFARX1_RVT\"InstQueue_reg_6__3_\" <12934 <12937 <12938 <12936 <12935 >748\n", + "1485:SDFFARX1_RVT\"InstQueue_reg_6__4_\" <12954 <12957 <12958 <12956 <12955 >752\n", + "1475:SDFFARX1_RVT\"InstQueue_reg_6__5_\" <12929 <12932 <12933 <12931 <12930 >747\n", + "1487:SDFFARX1_RVT\"InstQueue_reg_6__6_\" <12959 <12962 <12963 <12961 <12960 >753\n", + "1473:SDFFARX1_RVT\"InstQueue_reg_6__7_\" <12924 <12927 <12928 <12926 <12925 >746\n", + "---------------\n", + "1497:SDFFARX1_RVT\"InstQueue_reg_7__0_\" <12984 <12987 <12988 <12986 <12985 >758\n", + "1495:SDFFARX1_RVT\"InstQueue_reg_7__1_\" <12979 <12982 <12983 <12981 <12980 >757\n", + "1499:SDFFARX1_RVT\"InstQueue_reg_7__2_\" <12989 <12992 <12993 <12991 <12990 >759\n", + "1493:SDFFARX1_RVT\"InstQueue_reg_7__3_\" <12974 <12977 <12978 <12976 <12975 >756\n", + "1501:SDFFARX1_RVT\"InstQueue_reg_7__4_\" <12994 <12997 <12998 <12996 <12995 >760\n", + "1491:SDFFARX1_RVT\"InstQueue_reg_7__5_\" <12969 <12972 <12973 <12971 <12970 >755\n", + "1503:SDFFARX1_RVT\"InstQueue_reg_7__6_\" <12999 <13002 <13003 <13001 <13000 >761\n", + "1489:SDFFARX1_RVT\"InstQueue_reg_7__7_\" <12964 <12967 <12968 <12966 <12965 >754\n", + "---------------\n", + "1513:SDFFARX1_RVT\"InstQueue_reg_8__0_\" <13024 <13027 <13028 <13026 <13025 >766\n", + "1511:SDFFARX1_RVT\"InstQueue_reg_8__1_\" <13019 <13022 <13023 <13021 <13020 >765\n", + "1515:SDFFARX1_RVT\"InstQueue_reg_8__2_\" <13029 <13032 <13033 <13031 <13030 >767 >768\n", + "1509:SDFFARX1_RVT\"InstQueue_reg_8__3_\" <13014 <13017 <13018 <13016 <13015 >764\n", + "1518:SDFFARX1_RVT\"InstQueue_reg_8__4_\" <13034 <13037 <13038 <13036 <13035 >769\n", + "1507:SDFFARX1_RVT\"InstQueue_reg_8__5_\" <13009 <13012 <13013 <13011 <13010 >763\n", + "1520:SDFFARX1_RVT\"InstQueue_reg_8__6_\" <13039 <13042 <13043 <13041 <13040 >770\n", + "1505:SDFFARX1_RVT\"InstQueue_reg_8__7_\" <13004 <13007 <13008 <13006 <13005 >762\n", + "---------------\n", + "1530:SDFFARX1_RVT\"InstQueue_reg_9__0_\" <13064 <13067 <13068 <13066 <13065 >775\n", + "1528:SDFFARX1_RVT\"InstQueue_reg_9__1_\" <13059 <13062 <13063 <13061 <13060 >774\n", + "1532:SDFFARX1_RVT\"InstQueue_reg_9__2_\" <13069 <13072 <13073 <13071 <13070 >776\n", + "1526:SDFFARX1_RVT\"InstQueue_reg_9__3_\" <13054 <13057 <13058 <13056 <13055 >773\n", + "1534:SDFFARX1_RVT\"InstQueue_reg_9__4_\" <13074 <13077 <13078 <13076 <13075 >777\n", + "1524:SDFFARX1_RVT\"InstQueue_reg_9__5_\" <13049 <13052 <13053 <13051 <13050 >772\n", + "1536:SDFFARX1_RVT\"InstQueue_reg_9__6_\" <13079 <13082 <13083 <13081 <13080 >778\n", + "1522:SDFFARX1_RVT\"InstQueue_reg_9__7_\" <13044 <13047 <13048 <13046 <13045 >771\n", + "---------------\n", + "1546:SDFFARX1_RVT\"InstQueue_reg_10__0_\" <13104 <13107 <13108 <13106 <13105 >783\n", + "1544:SDFFARX1_RVT\"InstQueue_reg_10__1_\" <13099 <13102 <13103 <13101 <13100 >782\n", + "1548:SDFFARX1_RVT\"InstQueue_reg_10__2_\" <13109 <13112 <13113 <13111 <13110 >784\n", + "1542:SDFFARX1_RVT\"InstQueue_reg_10__3_\" <13094 <13097 <13098 <13096 <13095 >781\n", + "1550:SDFFARX1_RVT\"InstQueue_reg_10__4_\" <13114 <13117 <13118 <13116 <13115 >785 >786\n", + "1540:SDFFARX1_RVT\"InstQueue_reg_10__5_\" <13089 <13092 <13093 <13091 <13090 >780\n", + "1553:SDFFARX1_RVT\"InstQueue_reg_10__6_\" <13119 <13122 <13123 <13121 <13120 >787\n", + "1538:SDFFARX1_RVT\"InstQueue_reg_10__7_\" <13084 <13087 <13088 <13086 <13085 >779\n", + "---------------\n", + "1563:SDFFARX1_RVT\"InstQueue_reg_11__0_\" <13144 <13147 <13148 <13146 <13145 >792\n", + "1561:SDFFARX1_RVT\"InstQueue_reg_11__1_\" <13139 <13142 <13143 <13141 <13140 >791\n", + "1565:SDFFARX1_RVT\"InstQueue_reg_11__2_\" <13149 <13152 <13153 <13151 <13150 >793\n", + "1559:SDFFARX1_RVT\"InstQueue_reg_11__3_\" <13134 <13137 <13138 <13136 <13135 >790\n", + "1567:SDFFARX1_RVT\"InstQueue_reg_11__4_\" <13154 <13157 <13158 <13156 <13155 >794\n", + "1557:SDFFARX1_RVT\"InstQueue_reg_11__5_\" <13129 <13132 <13133 <13131 <13130 >789\n", + "1569:SDFFARX1_RVT\"InstQueue_reg_11__6_\" <13159 <13162 <13163 <13161 <13160 >795\n", + "1555:SDFFARX1_RVT\"InstQueue_reg_11__7_\" <13124 <13127 <13128 <13126 <13125 >788\n", + "---------------\n", + "1579:SDFFARX1_RVT\"InstQueue_reg_12__0_\" <13184 <13187 <13188 <13186 <13185 >800\n", + "1577:SDFFARX1_RVT\"InstQueue_reg_12__1_\" <13179 <13182 <13183 <13181 <13180 >799\n", + "1581:SDFFARX1_RVT\"InstQueue_reg_12__2_\" <13189 <13192 <13193 <13191 <13190 >801\n", + "1575:SDFFARX1_RVT\"InstQueue_reg_12__3_\" <13174 <13177 <13178 <13176 <13175 >798\n", + "1583:SDFFARX1_RVT\"InstQueue_reg_12__4_\" <13194 <13197 <13198 <13196 <13195 >802\n", + "1573:SDFFARX1_RVT\"InstQueue_reg_12__5_\" <13169 <13172 <13173 <13171 <13170 >797\n", + "1585:SDFFARX1_RVT\"InstQueue_reg_12__6_\" <13199 <13202 <13203 <13201 <13200 >803\n", + "1571:SDFFARX1_RVT\"InstQueue_reg_12__7_\" <13164 <13167 <13168 <13166 <13165 >796\n", + "---------------\n", + "1595:SDFFARX1_RVT\"InstQueue_reg_13__0_\" <13224 <13227 <13228 <13226 <13225 >808\n", + "1593:SDFFARX1_RVT\"InstQueue_reg_13__1_\" <13219 <13222 <13223 <13221 <13220 >807\n", + "1597:SDFFARX1_RVT\"InstQueue_reg_13__2_\" <13229 <13232 <13233 <13231 <13230 >809\n", + "1591:SDFFARX1_RVT\"InstQueue_reg_13__3_\" <13214 <13217 <13218 <13216 <13215 >806\n", + "1599:SDFFARX1_RVT\"InstQueue_reg_13__4_\" <13234 <13237 <13238 <13236 <13235 >810\n", + "1589:SDFFARX1_RVT\"InstQueue_reg_13__5_\" <13209 <13212 <13213 <13211 <13210 >805\n", + "1601:SDFFARX1_RVT\"InstQueue_reg_13__6_\" <13239 <13242 <13243 <13241 <13240 >811\n", + "1587:SDFFARX1_RVT\"InstQueue_reg_13__7_\" <13204 <13207 <13208 <13206 <13205 >804\n", + "---------------\n", + "1611:SDFFARX1_RVT\"InstQueue_reg_14__0_\" <13264 <13267 <13268 <13266 <13265 >816\n", + "1609:SDFFARX1_RVT\"InstQueue_reg_14__1_\" <13259 <13262 <13263 <13261 <13260 >815\n", + "1613:SDFFARX1_RVT\"InstQueue_reg_14__2_\" <13269 <13272 <13273 <13271 <13270 >817\n", + "1607:SDFFARX1_RVT\"InstQueue_reg_14__3_\" <13254 <13257 <13258 <13256 <13255 >814\n", + "1615:SDFFARX1_RVT\"InstQueue_reg_14__4_\" <13274 <13277 <13278 <13276 <13275 >818\n", + "1605:SDFFARX1_RVT\"InstQueue_reg_14__5_\" <13249 <13252 <13253 <13251 <13250 >813\n", + "1617:SDFFARX1_RVT\"InstQueue_reg_14__6_\" <13279 <13282 <13283 <13281 <13280 >819\n", + "1603:SDFFARX1_RVT\"InstQueue_reg_14__7_\" <13244 <13247 <13248 <13246 <13245 >812\n", + "---------------\n", + "1627:SDFFARX1_RVT\"InstQueue_reg_15__0_\" <13304 <13307 <13308 <13306 <13305 >824\n", + "1625:SDFFARX1_RVT\"InstQueue_reg_15__1_\" <13299 <13302 <13303 <13301 <13300 >823\n", + "1629:SDFFARX1_RVT\"InstQueue_reg_15__2_\" <13309 <13312 <13313 <13311 <13310 >825\n", + "1623:SDFFARX1_RVT\"InstQueue_reg_15__3_\" <13294 <13297 <13298 <13296 <13295 >822\n", + "1631:SDFFARX1_RVT\"InstQueue_reg_15__4_\" <13314 <13317 <13318 <13316 <13315 >826\n", + "1621:SDFFARX1_RVT\"InstQueue_reg_15__5_\" <13289 <13292 <13293 <13291 <13290 >821\n", + "1633:SDFFARX1_RVT\"InstQueue_reg_15__6_\" <13319 <13322 <13323 <13321 <13320 >827\n", + "1619:SDFFARX1_RVT\"InstQueue_reg_15__7_\" <13284 <13287 <13288 <13286 <13285 >820\n" + ] + } + ], + "source": [ + "for l in b15.s_locs('InstQueue_reg'):\n", + " print('---------------')\n", + " for i in l:\n", + " print(b15.s_nodes[i])" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Example: Tracing A Scan Chain\n", + "\n", + "We start at the output of the scan chain \"test_so000\", then go backwards through the circuit.\n", + "\n", + "When we encounter a scan-cell (\"SDFF...\"), we continue with the \"SI\" pin.\n", + "\n", + "We do this on the original circuit `b15` that still contains the scan-cells themselves." + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "length (with forks): 1123\n", + "length (without forks): 562\n", + "length only SDFF: 417\n", + "output\"test_so000\" __fork__\"test_so000\" NBUFFX8_RVT\"ZBUF_15_inst_543\" __fork__\"aps_rename_15_\" SDFFARX1_RVT\"W_R_n_reg\" __fork__\"ZBUF_17_48\" NBUFFX2_RVT\"ZBUF_17_inst_981\" __fork__\"N3897\" SDFFARX1_RVT\"uWord_reg_14_\" __fork__\"N3896\" ... __fork__\"Address[0]\" NBUFFX2_RVT\"ZBUF_19_inst_438\" __fork__\"aps_rename_14_\" SDFFARX1_RVT\"Address_reg_0_\" __fork__\"ADS_n\" NBUFFX2_RVT\"ZBUF_34_inst_547\" __fork__\"aps_rename_18_\" SDFFARX1_RVT\"ADS_n_reg\" __fork__\"test_si000\" input\"test_si000\"\n" + ] + } + ], + "source": [ + "chain = [cell := b15.cells['test_so000']]\n", + "while len(cell.ins) > 0:\n", + " chain.append(cell := cell.ins[SAED32.pin_index(cell.kind,'SI') if cell.kind.startswith('SDFF') else 0].driver)\n", + " \n", + "print(f'length (with forks): {len(chain)}')\n", + "print(f'length (without forks): {len(list(filter(lambda n: n.kind != \"__fork__\", chain)))}')\n", + "print(f'length only SDFF: {len(list(filter(lambda n: n.kind.startswith(\"SDFF\"), chain)))}')\n", + "\n", + "names = [f'{c.kind}\"{c.name}\"' for c in chain]\n", + "print(' '.join(names[:10]) + ' ... ' + ' '.join(names[-10:]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Traversing a Circuit in Topological Order\n", + "\n", + "There are several generators to traverse the circuit in various topological orderings.\n", + "\n", + "The following loop prints all nodes:\n", + "* starting with primary inputs (nodes that don't have any input connections) and sequential elements,\n", + "* and continuing with nodes who's inputs are connected only to already printed nodes." + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0:DFFX1\"carry\" <7 <6 >0\n", + "5:input\"clk\" >3\n", + "7:input\"a\" >4\n", + "9:input\"b\" >5\n", + "1:__fork__\"cin\" <0 >10\n", + "6:__fork__\"clk\" <3 >7\n", + "8:__fork__\"a\" <4 >8\n", + "10:__fork__\"b\" <5 >9\n", + "2:ADDFX1\"adder\" <8 <9 <10 >1 >2\n", + "3:__fork__\"cout\" <1 >6\n", + "4:__fork__\"s\" <2 >11\n", + "11:output\"s\" <11\n" + ] + } + ], + "source": [ + "for n in adder.topological_order():\n", + " print(n)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Example: Determine Topological Level\n", + "\n", + "The topological (or logic level) of a node is its distance from inputs or sequential elements.\n", + "\n", + "Inputs and flip-flops themselves are level 0, *cells* driven by just inputs and flip-flops are level 1, and so on.\n", + "*Fork* nodes have the same level as their driver, because they do not increase the logic depth." + ] + }, + { + "cell_type": "code", + "execution_count": 36, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Maximum logic depth: 44\n" + ] + } + ], + "source": [ + "import numpy as np\n", + "\n", + "levels = np.zeros(len(b15.nodes), dtype=np.uint32) # array to store level for each node.\n", + "\n", + "for n in b15.topological_order():\n", + " if 'DFF' in n.kind or len(n.ins) == 0:\n", + " levels[n] = 0 # use the node n directly to index into the array.\n", + " elif n.kind == '__fork__':\n", + " levels[n] = levels[n.ins[0].driver] # forks only have exactly one driver\n", + " else:\n", + " levels[n] = max([levels[line.driver] for line in n.ins]) + 1\n", + " \n", + "print(f'Maximum logic depth: {np.max(levels)}')" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "List nodes with the highest depth and which nodes they are driving." + ] + }, + { + "cell_type": "code", + "execution_count": 37, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "depth: 44 node: __fork__ n4587 driving: SDFFARX1_RVT EAX_reg_31_ \n", + "depth: 44 node: NAND2X0_RVT U737 driving: __fork__ n4587 \n", + "depth: 43 node: __fork__ n4478 driving: SDFFARX1_RVT Address_reg_29_\n", + "depth: 43 node: NAND2X0_RVT U738 driving: __fork__ n684 \n", + "depth: 43 node: __fork__ n4416 driving: SDFFARX1_RVT PhyAddrPointer_reg_29_\n", + "depth: 43 node: NAND2X0_RVT U220 driving: __fork__ n4416 \n", + "depth: 43 node: NAND2X0_RVT U214 driving: __fork__ n4414 \n", + "depth: 43 node: __fork__ n4414 driving: SDFFARX1_RVT PhyAddrPointer_reg_31_\n", + "depth: 43 node: __fork__ n684 driving: NAND2X0_RVT U737 \n", + "depth: 43 node: NAND2X0_RVT U408 driving: __fork__ n4478 \n", + "depth: 42 node: NAND2X0_RVT U216 driving: __fork__ n332 \n", + "depth: 42 node: __fork__ n4510 driving: SDFFARX1_RVT rEIP_reg_29_ \n", + "depth: 42 node: NAND2X0_RVT U595 driving: __fork__ n4540 \n", + "depth: 42 node: __fork__ n4540 driving: SDFFARX1_RVT EBX_reg_31_ \n", + "depth: 42 node: __fork__ n4588 driving: SDFFARX1_RVT EAX_reg_30_ \n", + "depth: 42 node: __fork__ n332 driving: NAND2X0_RVT U214 \n", + "depth: 42 node: NAND2X0_RVT U222 driving: __fork__ n337 \n", + "depth: 42 node: __fork__ n463 driving: NAND2X0_RVT U408 \n", + "depth: 42 node: __fork__ n4446 driving: SDFFARX1_RVT InstAddrPointer_reg_31_\n", + "depth: 42 node: NAND2X0_RVT U311 driving: __fork__ n4446 \n" + ] + } + ], + "source": [ + "nodes_by_depth = np.argsort(levels)[::-1]\n", + "\n", + "for n_idx in nodes_by_depth[:20]:\n", + " n = b15.nodes[n_idx] # get the node itself by its index\n", + " readers = ', '.join([f'{l.reader.kind:12s} {l.reader.name:14s}' for l in n.outs])\n", + " print(f'depth: {levels[n_idx]} node: {n.kind:12s} {n.name:6s} driving: {readers}')" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Working With Technology Libraries\n", + "\n", + "Kyupy's simulators only supports cells with at most 4 inputs and exactly 1 output.\n", + "To map a circuit to the supported simulation primitives, we use `.resolve_tlib_cells()` with the corresponding library." + ] + }, + { + "cell_type": "code", + "execution_count": 38, + "metadata": {}, + "outputs": [], + "source": [ + "adder.resolve_tlib_cells(GSC180)" + ] + }, + { + "cell_type": "code", + "execution_count": 39, + "metadata": {}, + "outputs": [ + { + "data": { + "image/svg+xml": [ + "\n", + "\n", + "\n", + "\n", + "\n", + "\n", + "%3\n", + "\n", + "\n", + "0\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "0 [4]\n", + "DFF\n", + "carry\n", + "\n", + "0\n", + "\n", + "\n", + "12\n", + "\n", + "0\n", + "\n", + "12\n", + "__fork__\n", + "carry~Q\n", + "\n", + "0\n", + "\n", + "\n", + "0:o0->12:i0\n", + "\n", + "\n", + "12\n", + "\n", + "\n", + "5\n", + "\n", + " \n", + "\n", + "5 [0]\n", + "input\n", + "clk\n", + "\n", + "0\n", + "\n", + "\n", + "6\n", + "\n", + "0\n", + "\n", + "6\n", + "__fork__\n", + "clk\n", + "\n", + "0\n", + "\n", + "\n", + "5:o0->6:i0\n", + "\n", + "\n", + "3\n", + "\n", + "\n", + "7\n", + "\n", + " \n", + "\n", + "7 [1]\n", + "input\n", + "a\n", + "\n", + "0\n", + "\n", + "\n", + "8\n", + "\n", + "0\n", + "\n", + "8\n", + "__fork__\n", + "a\n", + "\n", + "0\n", + "\n", + "\n", + "7:o0->8:i0\n", + "\n", + "\n", + "4\n", + "\n", + "\n", + "9\n", + "\n", + " \n", + "\n", + "9 [2]\n", + "input\n", + "b\n", + "\n", + "0\n", + "\n", + "\n", + "10\n", + "\n", + "0\n", + "\n", + "10\n", + "__fork__\n", + "b\n", + "\n", + "0\n", + "\n", + "\n", + "9:o0->10:i0\n", + "\n", + "\n", + "5\n", + "\n", + "\n", + "1\n", + "\n", + "0\n", + "\n", + "1\n", + "__fork__\n", + "cin\n", + "\n", + "0\n", + "\n", + "\n", + "12:o0->1:i0\n", + "\n", + "\n", + "0\n", + "\n", + "\n", + "6:o0->0:i1\n", + "\n", + "\n", + "7\n", + "\n", + "\n", + "13\n", + "\n", + "0\n", + "\n", + "13\n", + "__fork__\n", + "adder~A\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "8:o0->13:i0\n", + "\n", + "\n", + "8\n", + "\n", + "\n", + "14\n", + "\n", + "0\n", + "\n", + "14\n", + "__fork__\n", + "adder~B\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "10:o0->14:i0\n", + "\n", + "\n", + "9\n", + "\n", + "\n", + "15\n", + "\n", + "0\n", + "\n", + "15\n", + "__fork__\n", + "adder~CI\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "1:o0->15:i0\n", + "\n", + "\n", + "10\n", + "\n", + "\n", + "16\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "16\n", + "XOR2\n", + "adder~AB\n", + "\n", + "0\n", + "\n", + "\n", + "13:o0->16:i0\n", + "\n", + "\n", + "14\n", + "\n", + "\n", + "18\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "2\n", + "\n", + "3\n", + "\n", + "18\n", + "AO22\n", + "adder~S\n", + "\n", + "0\n", + "\n", + "\n", + "13:o1->18:i2\n", + "\n", + "\n", + "20\n", + "\n", + "\n", + "14:o0->16:i1\n", + "\n", + "\n", + "15\n", + "\n", + "\n", + "14:o1->18:i3\n", + "\n", + "\n", + "21\n", + "\n", + "\n", + "2\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "2\n", + "XOR2\n", + "adder\n", + "\n", + "0\n", + "\n", + "\n", + "15:o0->2:i1\n", + "\n", + "\n", + "17\n", + "\n", + "\n", + "15:o1->18:i1\n", + "\n", + "\n", + "19\n", + "\n", + "\n", + "17\n", + "\n", + "0\n", + "\n", + "17\n", + "__fork__\n", + "adder~AB\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "16:o0->17:i0\n", + "\n", + "\n", + "13\n", + "\n", + "\n", + "17:o0->2:i0\n", + "\n", + "\n", + "16\n", + "\n", + "\n", + "17:o1->18:i0\n", + "\n", + "\n", + "18\n", + "\n", + "\n", + "3\n", + "\n", + "0\n", + "\n", + "3\n", + "__fork__\n", + "cout\n", + "\n", + "0\n", + "\n", + "\n", + "2:o0->3:i0\n", + "\n", + "\n", + "1\n", + "\n", + "\n", + "4\n", + "\n", + "0\n", + "\n", + "4\n", + "__fork__\n", + "s\n", + "\n", + "0\n", + "\n", + "\n", + "18:o0->4:i0\n", + "\n", + "\n", + "2\n", + "\n", + "\n", + "3:o0->0:i0\n", + "\n", + "\n", + "6\n", + "\n", + "\n", + "11\n", + "\n", + "0\n", + "\n", + "11 [3]\n", + "output\n", + "s\n", + "\n", + " \n", + "\n", + "\n", + "4:o0->11:i0\n", + "\n", + "\n", + "11\n", + "\n", + "\n", + "\n" + ], + "text/plain": [ + "" + ] + }, + "execution_count": 39, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.dot()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The graph is getting quite big due to all the forks. If we don't need the signal names anymore, we can remove all forks that only connect to one successor node." + ] + }, + { + "cell_type": "code", + "execution_count": 40, + "metadata": {}, + "outputs": [ + { + "data": { + "image/svg+xml": [ + "\n", + "\n", + "\n", + "\n", + "\n", + "\n", + "%3\n", + "\n", + "\n", + "0\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "0 [4]\n", + "DFF\n", + "carry\n", + "\n", + "0\n", + "\n", + "\n", + "6\n", + "\n", + "0\n", + "\n", + "6\n", + "__fork__\n", + "adder~CI\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "0:o0->6:i0\n", + "\n", + "\n", + "12\n", + "\n", + "\n", + "5\n", + "\n", + " \n", + "\n", + "5 [0]\n", + "input\n", + "clk\n", + "\n", + "0\n", + "\n", + "\n", + "5:o0->0:i1\n", + "\n", + "\n", + "3\n", + "\n", + "\n", + "7\n", + "\n", + " \n", + "\n", + "7 [1]\n", + "input\n", + "a\n", + "\n", + "0\n", + "\n", + "\n", + "10\n", + "\n", + "0\n", + "\n", + "10\n", + "__fork__\n", + "adder~A\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "7:o0->10:i0\n", + "\n", + "\n", + "4\n", + "\n", + "\n", + "9\n", + "\n", + " \n", + "\n", + "9 [2]\n", + "input\n", + "b\n", + "\n", + "0\n", + "\n", + "\n", + "8\n", + "\n", + "0\n", + "\n", + "8\n", + "__fork__\n", + "adder~B\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "9:o0->8:i0\n", + "\n", + "\n", + "5\n", + "\n", + "\n", + "2\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "2\n", + "XOR2\n", + "adder\n", + "\n", + "0\n", + "\n", + "\n", + "6:o0->2:i1\n", + "\n", + "\n", + "8\n", + "\n", + "\n", + "1\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "2\n", + "\n", + "3\n", + "\n", + "1\n", + "AO22\n", + "adder~S\n", + "\n", + "0\n", + "\n", + "\n", + "6:o1->1:i1\n", + "\n", + "\n", + "11\n", + "\n", + "\n", + "10:o1->1:i2\n", + "\n", + "\n", + "6\n", + "\n", + "\n", + "4\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "4\n", + "XOR2\n", + "adder~AB\n", + "\n", + "0\n", + "\n", + "\n", + "10:o0->4:i0\n", + "\n", + "\n", + "14\n", + "\n", + "\n", + "8:o0->4:i1\n", + "\n", + "\n", + "0\n", + "\n", + "\n", + "8:o1->1:i3\n", + "\n", + "\n", + "10\n", + "\n", + "\n", + "3\n", + "\n", + "0\n", + "\n", + "3\n", + "__fork__\n", + "adder~AB\n", + "\n", + "0\n", + "\n", + "1\n", + "\n", + "\n", + "4:o0->3:i0\n", + "\n", + "\n", + "13\n", + "\n", + "\n", + "3:o1->1:i0\n", + "\n", + "\n", + "7\n", + "\n", + "\n", + "3:o0->2:i0\n", + "\n", + "\n", + "9\n", + "\n", + "\n", + "2:o0->0:i0\n", + "\n", + "\n", + "1\n", + "\n", + "\n", + "11\n", + "\n", + "0\n", + "\n", + "11 [3]\n", + "output\n", + "s\n", + "\n", + " \n", + "\n", + "\n", + "1:o0->11:i0\n", + "\n", + "\n", + "2\n", + "\n", + "\n", + "\n" + ], + "text/plain": [ + "" + ] + }, + "execution_count": 40, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.eliminate_1to1_forks()\n", + "adder.dot()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Let's resolve the b15 circuit as well." + ] + }, + { + "cell_type": "code", + "execution_count": 41, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "{'__node__': 22819,\n", + " '__cell__': 11653,\n", + " '__fork__': 11166,\n", + " '__io__': 111,\n", + " '__line__': 33313,\n", + " '__const1__': 1,\n", + " '__comb__': 11125,\n", + " 'BUF1': 526,\n", + " 'INV1': 897,\n", + " 'AND2': 1464,\n", + " 'OR2': 1095,\n", + " 'NOR2': 114,\n", + " 'DFF': 417,\n", + " '__dff__': 417,\n", + " 'NAND2': 6611,\n", + " 'output': 71,\n", + " 'input': 40,\n", + " 'MUX21': 417,\n", + " '__latch__': 0,\n", + " '__seq__': 417}" + ] + }, + "execution_count": 41, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "b15_prim = b15.copy()\n", + "b15_prim.resolve_tlib_cells(SAED32)\n", + "b15_prim.stats" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The resolved circuit `b15_prim` contains primitive DFF and no scan-cells.\n", + "The scan-chain is still present but it now contains ordinary multiplexers and flip-flops." + ] + }, + { + "cell_type": "code", + "execution_count": 42, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "---------------\n", + "1385:DFF\"InstQueue_reg_0__0_\" <32766 <12707 >32767\n", + "1383:DFF\"InstQueue_reg_0__1_\" <32763 <12702 >32764\n", + "1387:DFF\"InstQueue_reg_0__2_\" <32769 <12712 >32770\n", + "1381:DFF\"InstQueue_reg_0__3_\" <32760 <12697 >32761\n", + "1389:DFF\"InstQueue_reg_0__4_\" <32772 <12717 >32773\n", + "1379:DFF\"InstQueue_reg_0__5_\" <32757 <12692 >32758\n", + "1391:DFF\"InstQueue_reg_0__6_\" <32775 <12722 >32776\n", + "1377:DFF\"InstQueue_reg_0__7_\" <32754 <12687 >32755\n", + "---------------\n", + "1401:DFF\"InstQueue_reg_1__0_\" <32790 <12747 >32791\n", + "1399:DFF\"InstQueue_reg_1__1_\" <32787 <12742 >32788\n", + "1403:DFF\"InstQueue_reg_1__2_\" <32793 <12752 >32794\n", + "1397:DFF\"InstQueue_reg_1__3_\" <32784 <12737 >32785\n", + "1405:DFF\"InstQueue_reg_1__4_\" <32796 <12757 >32797\n", + "1395:DFF\"InstQueue_reg_1__5_\" <32781 <12732 >32782\n", + "1407:DFF\"InstQueue_reg_1__6_\" <32799 <12762 >32800\n", + "1393:DFF\"InstQueue_reg_1__7_\" <32778 <12727 >32779\n", + "---------------\n", + "1417:DFF\"InstQueue_reg_2__0_\" <32814 <12787 >32815\n", + "1415:DFF\"InstQueue_reg_2__1_\" <32811 <12782 >32812\n", + "1419:DFF\"InstQueue_reg_2__2_\" <32817 <12792 >32818\n", + "1413:DFF\"InstQueue_reg_2__3_\" <32808 <12777 >32809\n", + "1421:DFF\"InstQueue_reg_2__4_\" <32820 <12797 >32821\n", + "1411:DFF\"InstQueue_reg_2__5_\" <32805 <12772 >32806\n", + "1423:DFF\"InstQueue_reg_2__6_\" <32823 <12802 >32824\n", + "1409:DFF\"InstQueue_reg_2__7_\" <32802 <12767 >32803\n", + "---------------\n", + "1433:DFF\"InstQueue_reg_3__0_\" <32838 <12827 >32839\n", + "1431:DFF\"InstQueue_reg_3__1_\" <32835 <12822 >32836\n", + "1435:DFF\"InstQueue_reg_3__2_\" <32841 <12832 >32842\n", + "1429:DFF\"InstQueue_reg_3__3_\" <32832 <12817 >32833\n", + "1437:DFF\"InstQueue_reg_3__4_\" <32844 <12837 >32845\n", + "1427:DFF\"InstQueue_reg_3__5_\" <32829 <12812 >32830\n", + "1439:DFF\"InstQueue_reg_3__6_\" <32847 <12842 >32848\n", + "1425:DFF\"InstQueue_reg_3__7_\" <32826 <12807 >32827\n", + "---------------\n", + "1449:DFF\"InstQueue_reg_4__0_\" <32862 <12867 >32863\n", + "1447:DFF\"InstQueue_reg_4__1_\" <32859 <12862 >32860\n", + "1451:DFF\"InstQueue_reg_4__2_\" <32865 <12872 >32866\n", + "1445:DFF\"InstQueue_reg_4__3_\" <32856 <12857 >32857\n", + "1453:DFF\"InstQueue_reg_4__4_\" <32868 <12877 >32869\n", + "1443:DFF\"InstQueue_reg_4__5_\" <32853 <12852 >32854\n", + "1455:DFF\"InstQueue_reg_4__6_\" <32871 <12882 >32872\n", + "1441:DFF\"InstQueue_reg_4__7_\" <32850 <12847 >32851\n", + "---------------\n", + "1465:DFF\"InstQueue_reg_5__0_\" <32886 <12907 >32887\n", + "1463:DFF\"InstQueue_reg_5__1_\" <32883 <12902 >32884\n", + "1467:DFF\"InstQueue_reg_5__2_\" <32889 <12912 >32890\n", + "1461:DFF\"InstQueue_reg_5__3_\" <32880 <12897 >32881\n", + "1469:DFF\"InstQueue_reg_5__4_\" <32892 <12917 >32893\n", + "1459:DFF\"InstQueue_reg_5__5_\" <32877 <12892 >32878\n", + "1471:DFF\"InstQueue_reg_5__6_\" <32895 <12922 >32896\n", + "1457:DFF\"InstQueue_reg_5__7_\" <32874 <12887 >32875\n", + "---------------\n", + "1481:DFF\"InstQueue_reg_6__0_\" <32910 <12947 >32911\n", + "1479:DFF\"InstQueue_reg_6__1_\" <32907 <12942 >32908\n", + "1483:DFF\"InstQueue_reg_6__2_\" <32913 <12952 >32914\n", + "1477:DFF\"InstQueue_reg_6__3_\" <32904 <12937 >32905\n", + "1485:DFF\"InstQueue_reg_6__4_\" <32916 <12957 >32917\n", + "1475:DFF\"InstQueue_reg_6__5_\" <32901 <12932 >32902\n", + "1487:DFF\"InstQueue_reg_6__6_\" <32919 <12962 >32920\n", + "1473:DFF\"InstQueue_reg_6__7_\" <32898 <12927 >32899\n", + "---------------\n", + "1497:DFF\"InstQueue_reg_7__0_\" <32934 <12987 >32935\n", + "1495:DFF\"InstQueue_reg_7__1_\" <32931 <12982 >32932\n", + "1499:DFF\"InstQueue_reg_7__2_\" <32937 <12992 >32938\n", + "1493:DFF\"InstQueue_reg_7__3_\" <32928 <12977 >32929\n", + "1501:DFF\"InstQueue_reg_7__4_\" <32940 <12997 >32941\n", + "1491:DFF\"InstQueue_reg_7__5_\" <32925 <12972 >32926\n", + "1503:DFF\"InstQueue_reg_7__6_\" <32943 <13002 >32944\n", + "1489:DFF\"InstQueue_reg_7__7_\" <32922 <12967 >32923\n", + "---------------\n", + "1513:DFF\"InstQueue_reg_8__0_\" <32958 <13027 >32959\n", + "1511:DFF\"InstQueue_reg_8__1_\" <32955 <13022 >32956\n", + "1515:DFF\"InstQueue_reg_8__2_\" <32961 <13032 >32963\n", + "1509:DFF\"InstQueue_reg_8__3_\" <32952 <13017 >32953\n", + "1518:DFF\"InstQueue_reg_8__4_\" <32965 <13037 >32966\n", + "1507:DFF\"InstQueue_reg_8__5_\" <32949 <13012 >32950\n", + "1520:DFF\"InstQueue_reg_8__6_\" <32968 <13042 >32969\n", + "1505:DFF\"InstQueue_reg_8__7_\" <32946 <13007 >32947\n", + "---------------\n", + "1530:DFF\"InstQueue_reg_9__0_\" <32983 <13067 >32984\n", + "1528:DFF\"InstQueue_reg_9__1_\" <32980 <13062 >32981\n", + "1532:DFF\"InstQueue_reg_9__2_\" <32986 <13072 >32987\n", + "1526:DFF\"InstQueue_reg_9__3_\" <32977 <13057 >32978\n", + "1534:DFF\"InstQueue_reg_9__4_\" <32989 <13077 >32990\n", + "1524:DFF\"InstQueue_reg_9__5_\" <32974 <13052 >32975\n", + "1536:DFF\"InstQueue_reg_9__6_\" <32992 <13082 >32993\n", + "1522:DFF\"InstQueue_reg_9__7_\" <32971 <13047 >32972\n", + "---------------\n", + "1546:DFF\"InstQueue_reg_10__0_\" <33007 <13107 >33008\n", + "1544:DFF\"InstQueue_reg_10__1_\" <33004 <13102 >33005\n", + "1548:DFF\"InstQueue_reg_10__2_\" <33010 <13112 >33011\n", + "1542:DFF\"InstQueue_reg_10__3_\" <33001 <13097 >33002\n", + "1550:DFF\"InstQueue_reg_10__4_\" <33013 <13117 >33015\n", + "1540:DFF\"InstQueue_reg_10__5_\" <32998 <13092 >32999\n", + "1553:DFF\"InstQueue_reg_10__6_\" <33017 <13122 >33018\n", + "1538:DFF\"InstQueue_reg_10__7_\" <32995 <13087 >32996\n", + "---------------\n", + "1563:DFF\"InstQueue_reg_11__0_\" <33032 <13147 >33033\n", + "1561:DFF\"InstQueue_reg_11__1_\" <33029 <13142 >33030\n", + "1565:DFF\"InstQueue_reg_11__2_\" <33035 <13152 >33036\n", + "1559:DFF\"InstQueue_reg_11__3_\" <33026 <13137 >33027\n", + "1567:DFF\"InstQueue_reg_11__4_\" <33038 <13157 >33039\n", + "1557:DFF\"InstQueue_reg_11__5_\" <33023 <13132 >33024\n", + "1569:DFF\"InstQueue_reg_11__6_\" <33041 <13162 >33042\n", + "1555:DFF\"InstQueue_reg_11__7_\" <33020 <13127 >33021\n", + "---------------\n", + "1579:DFF\"InstQueue_reg_12__0_\" <33056 <13187 >33057\n", + "1577:DFF\"InstQueue_reg_12__1_\" <33053 <13182 >33054\n", + "1581:DFF\"InstQueue_reg_12__2_\" <33059 <13192 >33060\n", + "1575:DFF\"InstQueue_reg_12__3_\" <33050 <13177 >33051\n", + "1583:DFF\"InstQueue_reg_12__4_\" <33062 <13197 >33063\n", + "1573:DFF\"InstQueue_reg_12__5_\" <33047 <13172 >33048\n", + "1585:DFF\"InstQueue_reg_12__6_\" <33065 <13202 >33066\n", + "1571:DFF\"InstQueue_reg_12__7_\" <33044 <13167 >33045\n", + "---------------\n", + "1595:DFF\"InstQueue_reg_13__0_\" <33080 <13227 >33081\n", + "1593:DFF\"InstQueue_reg_13__1_\" <33077 <13222 >33078\n", + "1597:DFF\"InstQueue_reg_13__2_\" <33083 <13232 >33084\n", + "1591:DFF\"InstQueue_reg_13__3_\" <33074 <13217 >33075\n", + "1599:DFF\"InstQueue_reg_13__4_\" <33086 <13237 >33087\n", + "1589:DFF\"InstQueue_reg_13__5_\" <33071 <13212 >33072\n", + "1601:DFF\"InstQueue_reg_13__6_\" <33089 <13242 >33090\n", + "1587:DFF\"InstQueue_reg_13__7_\" <33068 <13207 >33069\n", + "---------------\n", + "1611:DFF\"InstQueue_reg_14__0_\" <33104 <13267 >33105\n", + "1609:DFF\"InstQueue_reg_14__1_\" <33101 <13262 >33102\n", + "1613:DFF\"InstQueue_reg_14__2_\" <33107 <13272 >33108\n", + "1607:DFF\"InstQueue_reg_14__3_\" <33098 <13257 >33099\n", + "1615:DFF\"InstQueue_reg_14__4_\" <33110 <13277 >33111\n", + "1605:DFF\"InstQueue_reg_14__5_\" <33095 <13252 >33096\n", + "1617:DFF\"InstQueue_reg_14__6_\" <33113 <13282 >33114\n", + "1603:DFF\"InstQueue_reg_14__7_\" <33092 <13247 >33093\n", + "---------------\n", + "1627:DFF\"InstQueue_reg_15__0_\" <33128 <13307 >33129\n", + "1625:DFF\"InstQueue_reg_15__1_\" <33125 <13302 >33126\n", + "1629:DFF\"InstQueue_reg_15__2_\" <33131 <13312 >33132\n", + "1623:DFF\"InstQueue_reg_15__3_\" <33122 <13297 >33123\n", + "1631:DFF\"InstQueue_reg_15__4_\" <33134 <13317 >33135\n", + "1621:DFF\"InstQueue_reg_15__5_\" <33119 <13292 >33120\n", + "1633:DFF\"InstQueue_reg_15__6_\" <33137 <13322 >33138\n", + "1619:DFF\"InstQueue_reg_15__7_\" <33116 <13287 >33117\n" + ] + } + ], + "source": [ + "for l in b15_prim.s_locs('InstQueue_reg'):\n", + " print('---------------')\n", + " for i in l:\n", + " print(b15_prim.s_nodes[i])" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Working With Logic Values\n", + "\n", + "Sequential states of circuits, signals, and test patterns contain logic values.\n", + "\n", + "KyuPy provides some useful tools to deal with 2-valued, 4-valued, and 8-valued logic data.\n", + "\n", + "All logic values are stored in numpy arrays of dtype `np.uint8`.\n", + "\n", + "There are two storage formats:\n", + "* `mv` (for \"multi-valued\"): Each logic value is stored as uint8\n", + "* `bp` (for \"bit-parallel\"): Groups of 8 logic values are stored as three uint8" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### `mv` Arrays\n", + "\n", + "Suppose we want to simulate the adder circuit with 2 inputs, 1 output and 1 flip-flop." + ] + }, + { + "cell_type": "code", + "execution_count": 43, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[5:input\"clk\" >3,\n", + " 7:input\"a\" >4,\n", + " 9:input\"b\" >5,\n", + " 11:output\"s\" <2,\n", + " 0:DFF\"carry\" <1 <3 >12]" + ] + }, + "execution_count": 43, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "adder.s_nodes" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We can construct a set of vectors using the `mvarray` helper function.\n", + "\n", + "Each vector has 4 elements, one for each io_node and sequential element.\n", + "\n", + "This would be an exhaustive vector set (the output in `s_nodes` remains unassigned (\"-\")):" + ] + }, + { + "cell_type": "code", + "execution_count": 44, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([[0, 0, 0, 0, 0, 0, 0, 0],\n", + " [0, 3, 0, 3, 0, 3, 0, 3],\n", + " [0, 0, 3, 3, 0, 0, 3, 3],\n", + " [2, 2, 2, 2, 2, 2, 2, 2],\n", + " [0, 0, 0, 0, 3, 3, 3, 3]], dtype=uint8)" + ] + }, + "execution_count": 44, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "from kyupy import logic\n", + "\n", + "inputs = logic.mvarray('000-0', '010-0', '001-0', '011-0', '000-1', '010-1', '001-1', '011-1')\n", + "inputs" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The numeric values in this array are defined in `kyupy.logic`.\n", + "A logic-0 is stored as `0`, a logic-1 is stored as `3`, and 'unassigned' is stored as `2`.\n", + "\n", + "The **last** axis is always the number of vectors. It may be unintuitive at first, but it is more convenient for data-parallel simulations.\n", + "\n", + "The **second-to-last** axis corresponds to `s_nodes`. I.e., the first row is for input 'a', the second row for input 'b', and so on." + ] + }, + { + "cell_type": "code", + "execution_count": 45, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(5, 8)" + ] + }, + "execution_count": 45, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "inputs.shape" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Get a string representation of a vector set. Possible values are '0', '1', '-', 'X', 'R', 'F', 'P', and 'N'." + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "000-0\n", + "010-0\n", + "001-0\n", + "011-0\n", + "000-1\n", + "010-1\n", + "001-1\n", + "011-1\n" + ] + } + ], + "source": [ + "print(logic.mv_str(inputs))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Load a stuck-at fault test pattern set and expected fault-free responses from a STIL file. It contains 678 test vectors. Use the resolved circuit for arranging the patterns because the DFF positions may have changed by replacing the original technology cells." + ] + }, + { + "cell_type": "code", + "execution_count": 47, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy import stil\n", + "\n", + "s = stil.load('../tests/b15_2ig.sa_nf.stil.gz')\n", + "stuck_tests = s.tests(b15_prim)\n", + "stuck_responses = s.responses(b15_prim)" + ] + }, + { + "cell_type": "code", + "execution_count": 48, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "528" + ] + }, + "execution_count": 48, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "len(b15_prim.s_nodes)" + ] + }, + { + "cell_type": "code", + "execution_count": 49, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(528, 678)" + ] + }, + "execution_count": 49, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "stuck_tests.shape" + ] + }, + { + "cell_type": "code", + "execution_count": 50, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(528, 678)" + ] + }, + "execution_count": 50, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "stuck_responses.shape" + ] + }, + { + "cell_type": "code", + "execution_count": 51, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "------------------------------------------------------------------------------------------------------0----00--000110110011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "--------------------------------------1100011011011111--------10111110--------------------------------P-00000--0101111000011010-01----110---110-110---110-11001100110-11101010011010-10-1010-1010101010-10-10-1010-10-10-10-101-10101010101010-10-10100-01010101011-01-11101110111011101-101-111011101-111011101-111010101000-10-1010-101010010-10011--0100-100110000111100001-111111-000011100000-101-01000011110-11-001000011111111-0001010100010001000----1----1-----1---11-----------00-101010101010-1010-1010101010101--10-1101010111111111\n", + "---------------------------------------1-1--1--1---1--1000100000000011--------------------------------P-10-00--11000001101111011---0--01-1111111111111111--1111110-111011111-111---11--11-1--1--------------------------------000000001---1----1--010-1-1---10010110011111110101001-0-0-0-1-0-1-0-011-0-1-000-1-001-1000101111111111111111111101111001101----1----------1-----------------------------------------------------1-11011100011111111-----111-----1-111-0-1-1----------------01------1------1-1-------------1--011-01011110011101111\n", + "------------------------------------------------------------------------------------------------------P111100--11011-0110000-10--0-----0--0-0-1-0-1-0-0-1---1-0-1-------0-01-11---0-0--00-0-00--------------------------------001100110000011000111110011000100--0011-------------1---------------------0-1-----1---1-1-1-1111111111111111101000100--001000011001-----1--111111--1--11--111--011---------------------------------0-------0-0---------------------------------------------00--------------------------------10-110-10010010-01010\n", + "--------------------------------------1011011111010000--------00101101--------------------------------P001100--10001010001111100-1-10101-1-1-1-1-1-1-1-1-101-1-1-100-000----0--00--1-0---------------------------------------------1--------------------------110-0--00001-0011001-001-0011001-0-0-010-001-1-0110101-1-1-0-100000000000011--1-11-1110-1----1--------------0--00---00-01---00-0----00-00---11-00--00000001011-0001-00-01---001001--11100---00-00---00-00---------1100-----01-------------0---------------0--11------0101000-10---\n" + ] + } + ], + "source": [ + "print(logic.mv_str(stuck_tests[:,:5]))" + ] + }, + { + "cell_type": "code", + "execution_count": 52, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "11001100110011001100110011001100110000--------------------------------01001100110011001100110011001100--------0000110110011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "X0101010101010101X0101X01010101010XX11--------------------------------001X01X01X01X0101X01X01X01010101--------X0001010110000010X01XXXX110XXX110X110XXX110X11001100110X11101010011010X10X1010X1010101010X10X10X1010X10X10X10X101X10101010101010X10X10100X01010101110X00X01000100010001000X000X010001000X010001000X010000000000X10X1010X101010010X10001XX0100X100110000111100001X111111X000011100000X101X01000011110X11X001000011111111X00010101000100010001111011011110110110000011001110101X101010101010X1010X10101010101011X1001101010111111111\n", + "1X11XX1XXXXXXXXXXXXX1X1XXXXXX1XXXX1X1X--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------101010001101110010011111100000000000000000011000000110000000001000110000000001000XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX000000001XXX1XXXX1XX010X1X1XXX10110110011111110101001X0X0X0X1X0X1X0X011X0X1X000X1X001X1000101000000000000000000011111001010XXXX1XXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1X11011100011111111XXXXX111XXXXX1X111X0X1X1XXXXXXXXXXXXXXXX0110000100100010100101001011011001100100101100001110101\n", + "0101XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0X0X--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------011011X11100000000X0XXXXX0XX0X0X1X0X1X0X0X1XXX1X0X1XXXXXXX0X01X11XXX0X0XX00X0X00XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX011100110000011000111110011000100XX0011XXXXXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXX0X1XXXXX1XXX1X1X1X1111111111111111101000100XX001000011001XXXXX1XX111111XX1XX11XX111XX011XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0XXXXXXX0X0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX10X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX101110010110110X01010\n", + "1XXXXX0XXXXXXXXXXXXXXX0XXXXXXXXXXX1XXX--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------100011001101001100X1X10101X1X1X1X1X1X1X1X1X101X1X1X100X000XXXX0XX00XX1X0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXXXX110X0XX00101X0011001X001X0011001X0X0X010X001X1X0110101X1X1X0X100000000000011XX1X11X1110X1XX1000101111100010X0XX00XXX00X01XXX00X0XXXX00X00XXX11X00XX00000001011X0001X00X01XXX001001XX11100XXX00X00XXX00X00XX01101100011011001011XXXXXXXXXXX0XXXXXXXXXXXXXXX0XX010X1X1X0101000X10XXX\n" + ] + } + ], + "source": [ + "print(logic.mv_str(stuck_responses[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The order of values in the vectors correspond to the circuit's `s_nodes`.\n", + "The test data can be used directly in the simulators as they use the same ordering convention.\n", + "\n", + "`stuck_tests` has values for all primary inputs and scan flip-flops, `stuck_responses` contains the expected values for all primary outputs and scan flip-flops.\n", + "\n", + "Since this is a static test, only '0', '1' and 'X' are used with the exception of the clock input, which has a positive pulse 'P'.\n", + "\n", + "A transition fault test is a dynamic test that also contains 'R' for rising transition and 'F' for falling transition:" + ] + }, + { + "cell_type": "code", + "execution_count": 53, + "metadata": {}, + "outputs": [], + "source": [ + "s = stil.load('../tests/b15_2ig.tf_nf.stil.gz')\n", + "transition_tests = s.tests_loc(b15_prim)\n", + "transition_responses = s.responses(b15_prim)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "STIL files for delay tests usually only contain the initialization patterns.\n", + "When loading launch-on-capture transition fault tests, use `.tests_loc()`. This function performs a logic simulation of the launch cycle to calculate the transitions for the delay test itself." + ] + }, + { + "cell_type": "code", + "execution_count": 54, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "------------------------------------------------------------------------------------------------------X----XX--000110110011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "--------------------------------------RFRRRRFRRFRRRR1R--------RXXRXRRR--------------------------------00F0F00--RR1RRXRF00011010XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX011X00XXX101X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X1X0XXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXXRRXRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX00000000000000000111111000001001RFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX11XXXXX\n", + "--------------------------------------1X111XX11X1XX1X11XX11XX111X11X11--------------------------------0-XXR00--F1FF1X0110011X01XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFXX1XFFX1XFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFXFX0XFXFXFXF11110XX1XX1XXXXXXX1XXXX1XFR1F1XXXXXXXXXXXXXXXXX011101111000101101110111110001001000100010101011011001110111000X1XX11XXXXXXXX11XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX000X011111111111111111111111111111R0F1R0R001RR100FF1XXX\n", + "--------------------------------------------------------------XXXXXXXX--------------------------------0--FX00--F11F1XFRR00R01111XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0XX1XXXXXRX1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX111111111111111111111110X0XX10XXXXXXXXXRXXXRXRF1FFFRFRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXXXXXXXXXX0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX11X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX011001010010111111111\n", + "--------------------------------------------------------------FFXFFFFF--------------------------------0XXRR00--RF01FXRF00001XX1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX010X010111111111X111111111111111X11100XX0101XXXXXX01XXXX01XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1X1111X110111111XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0RXRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXXX\n" + ] + } + ], + "source": [ + "print(logic.mv_str(transition_tests[:,:5]))" + ] + }, + { + "cell_type": "code", + "execution_count": 55, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "11001100110011001100110011001100110000--------------------------------01001100110011001100110011001100--------0000110110011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------X01001X0110000010XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX011X00XXX000X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X1XXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXX11X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1111111111111111100000011111011010X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0X0X0X1XXXXXXX11XXXXX\n", + "0100111111111111111111111111111110101X--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------101011X0110011001000X0X0X1X0X0X1X0X0X0X1X1X0X0X1X1X010110100110001XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0XX1X00X1X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X0X000001XX1XX1XXXXXXX1XXXX1X01000XXXXXXXXXXXXXXXXX011101111000101101110111110001001000100010101011011001110111000X1XX11XXXXXXXX11XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0010100000000000000000000000000000111011011000000010XXX\n", + "1011XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX01--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------X00101X01100100010XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0XX1XXXXX1X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX000000000000000000000001X0XX11XXXXXXXX0100010101000101XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXXXXXXXXXX0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX11101XXXXXXXXXXXXXXXXXXXXXXXXXXXXX001100000100001110100\n", + "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1--------------------------------0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX--------X01000X1100101XX1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX000X0011000000000000000000000000000011XX1111XXXXXX11XXXX11XXXXXXXXXXXXXXXXXXXXXXXXXXXX11X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0100000001000000XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX01X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1X0X0X1XXXXXXXX0XXXXX\n" + ] + } + ], + "source": [ + "print(logic.mv_str(transition_responses[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Initialization patterns and launch patterns can be filtered by providing a call-back function. This can be used to fill in unassigned values." + ] + }, + { + "cell_type": "code", + "execution_count": 56, + "metadata": {}, + "outputs": [], + "source": [ + "import numpy as np\n", + "\n", + "def zero_fill(mva):\n", + " return np.choose(mva, logic.mvarray('0X01PRFN')) # maps '0X-1PRFN' -> '0X01PRFN'\n", + "\n", + "transition_tests_zf = s.tests_loc(b15_prim, init_filter=zero_fill, launch_filter=zero_fill)" + ] + }, + { + "cell_type": "code", + "execution_count": 57, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "00000000000000000000000000000000000000RFRRRRFRRFRRRR1R00000000RRRRRRRR0000000000000000000000000000000000F0F0000RR1RR0RF00011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010101010101010101010101010101010101010101010000000001000000000000000000000000RR0R000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000001001RF0R000000000000000000000000000000R00000R00000001100000\n", + "00000000000000000000000000000000000000101110011010010110011001110110110000000000000000000000000000000000R0R0000F1FF1001100110010RR0R0R000R0R000R0R0R00000R0R00000R0R00R0RR00RRR0000000000000000000000000000000000000000000000000000000000000000000000000000000F0010FF010F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F0F000F0F0F0F1111000100100000001000010FR1F1000000000000000000111011110001011011101111100010010001000101010110110011101110000100110000000011000000000000000000000000000000000000011111111111111111111111111111R0F1R0R001RR100FF1000\n", + "000000000000000000000000000000000000000000000000000000000000000FF00F0000000000000000000000000000000000000F00000F11F10FRR00R01111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000R010000000000000000000000000000000000000000000000000111111111111111111111110000010000000000R000R0RF1FFFRFR000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000011001010010111111111\n", + "00000000000000000000000000000000000000000000000000000000000000FFRFFFFF00000000000000000000000000000000000RR0000RF01F0RF00001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101111111110111111111111111011100000101000000010000010000000000000000000000000000RR0R0000000000000000000000000000000000000000000000000000000000000000000000000101111011011111100000000000000000000000000000000000000000R0R000000000000000000000000000000R0R000R00000001000000\n" + ] + } + ], + "source": [ + "print(logic.mv_str(transition_tests_zf[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### `bp` Arrays\n", + "\n", + "The logic simulator uses bit-parallel storage of logic values, but our loaded test data uses one `uint8` per logic value.\n", + "\n", + "Use `mv_to_bp` to convert mv data to the bit-parallel storage layout.\n", + "Bit-parallel storage is more compact, but individual values cannot be easily accessed anymore." + ] + }, + { + "cell_type": "code", + "execution_count": 58, + "metadata": {}, + "outputs": [], + "source": [ + "stuck_tests_bp = logic.mv_to_bp(stuck_tests)" + ] + }, + { + "cell_type": "code", + "execution_count": 59, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(528, 3, 85)" + ] + }, + "execution_count": 59, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "stuck_tests_bp.data.shape" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Instead of 678 bytes per s_node, bit-parallel storage only uses 3*85=255 bytes.\n", + "\n", + "The reverse operation is `bp_to_mv`. Note that the number of vectors may be rounded up to the next multiple of 8:" + ] + }, + { + "cell_type": "code", + "execution_count": 60, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(528, 680)" + ] + }, + "execution_count": 60, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "logic.bp_to_mv(stuck_tests_bp).shape" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "## Logic Simulation\n", + "\n", + "The following code performs a 8-valued logic simulation on all 678 vectors for one clock cycle." + ] + }, + { + "cell_type": "code", + "execution_count": 61, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy.logic_sim import LogicSim\n", + "\n", + "sim = LogicSim(b15_prim, sims=stuck_tests.shape[-1]) # 678 simulations in parallel\n", + "sim.s[0] = stuck_tests_bp\n", + "sim.s_to_c()\n", + "sim.c_prop()\n", + "sim.c_to_s()\n", + "sim_responses = logic.bp_to_mv(sim.s[1])[...,:stuck_tests.shape[-1]] # trim from 680 -> 678" + ] + }, + { + "cell_type": "code", + "execution_count": 62, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "11001100110011001100110011001100110000--------------------------------01001100110011001100110011001100--------010X110XXX011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "-0101010101010101-0101-01010101010--11--------------------------------001-01-01X01-0101-01-01-01010101---------0001010110000010X01XXXX110XXX110X110XXX110X11001100110X11101010011010X10X1010X1010101010X10X10X1010X10X10X10X101X10101010101010X10X10100X01010101110X00X01000100010001000X000X010001000X010001000X010000000000X10X1010X101010010X10001XX0100X100110000111100001X111111X000011100000X101X01000011110X11X001000011111111X00010101000100010001111011011110110110000011001110101X101010101010X1010X10101010101011X1001101010111111111\n", + "1-11--1-------------1-1------1----1-1---------------------------------0--------X------------------------------101010001101110010011111100000000000000000011000000110000000001000110000000001000XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX000000001XXX1XXXX1XX010X1X1XXX10110110011111110101001X0X0X0X1X0X1X0X011X0X1X000X1X001X1000101000000000000000000011111001010XXXX1XXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1X11011100011111111XXXXX111XXXXX1X111X0X1X1XXXXXXXXXXXXXXXX0110000100100010100101001011011001100100101100001110101\n", + "0101------------------------------0-0---------------------------------0--------X------------------------------011011X11100000000X0XXXXX0XX0X0X1X0X1X0X0X1XXX1X0X1XXXXXXX0X01X11XXX0X0XX00X0X00XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX011100110000011000111110011000100XX0011XXXXXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXX0X1XXXXX1XXX1X1X1X1111111111111111101000100XX001000011001XXXXX1XX111111XX1XX11XX111XX011XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX0XXXXXXX0X0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX10X1XXXXXXXXXXXXXXXXXXXXXXXXXXXXXX101110010110110X01010\n", + "1-----0---------------0-----------1-----------------------------------0--------X------------------------------100011001101001100X1X10101X1X1X1X1X1X1X1X1X101X1X1X100X000XXXX0XX00XX1X0XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX1XXXXXXXXXXXXXXXXXXXXXXXXXX110X0XX00101X0011001X001X0011001X0X0X010X001X1X0110101X1X1X0X100000000000011XX1X11X1110X1XX1000101111100010X0XX00XXX00X01XXX00X0XXXX00X00XXX11X00XX00000001011X0001X00X01XXX001001XX11100XXX00X00XXX00X00XX01101100011011001011XXXXXXXXXXX0XXXXXXXXXXXXXXX0XX010X1X1X0101000X10XXX\n" + ] + } + ], + "source": [ + "print(logic.mv_str(sim_responses[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Compare simulation results to expected fault-free responses loaded from STIL.\n", + "\n", + "The first test fails, because it is a flush test while simulation implicitly assumes a standard test with a capture clock.\n", + "\n", + "The remaining 677 responses should be compatible.\n", + "\n", + "The following checks for compatibility (unknown/unassigned values in STIL always match)." + ] + }, + { + "cell_type": "code", + "execution_count": 63, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "677" + ] + }, + "execution_count": 63, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.sum(np.min((sim_responses == stuck_responses) | \n", + " (stuck_responses == logic.UNASSIGNED) | \n", + " (stuck_responses == logic.UNKNOWN), axis=0))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Same simulation for the transition-fault test set:" + ] + }, + { + "cell_type": "code", + "execution_count": 64, + "metadata": {}, + "outputs": [], + "source": [ + "sim = LogicSim(b15_prim, sims=transition_tests_zf.shape[-1]) # 1147 simulations in parallel\n", + "sim.s[0] = logic.mv_to_bp(transition_tests_zf)\n", + "sim.s_to_c()\n", + "sim.c_prop()\n", + "sim.c_to_s()\n", + "sim_responses = logic.bp_to_mv(sim.s[1])[...,:transition_tests_zf.shape[-1]] # trim to 1147" + ] + }, + { + "cell_type": "code", + "execution_count": 65, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "11001100110011001100110011001100110000--------------------------------01001100110011001100110011001100--------0100110001011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "0000000000000000000000000000000000000R--------------------------------00000000000000000000000000000000--------0FNFFNPFRR0PFF01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000NNP0PP00F0FPFPFPFPFPFPFPFPFPFPFPFPFPFPFPFPF0F0F0F0FPRP0P0P0PN000000000000000000000000NNPNP000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000RRRRRRRRRRRRRRRRRFFFFFFRRRRRFRRFNPPNP00000000000000000000000000000FPP000NP0000P0NN00000\n", + "01001111111111111111111111111111101010--------------------------------00000000000000000000000000000000--------1PNPRN0PNN0011001PFF0F0F0R0F0F0R0F0F0F0R0R0F0F0R0R0FRFRRFRFFRRFFFR000000000000000PP0PP0PPP00PP00P00000000000000000000000000000000000000000000000P00N0PP0N0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P0P000P0P0P0PFFFFR00N00N0000000N0000N0PNFPF00000000000000000011101111000101101110111110001001000100010101011011001110111000010011000000001100000000000000000000000000000000PPRPRFFFFFFFFFFFFFFFFFFFFFFFFFFFFFNRRFNRFRRFFFFP0PRF000\n", + "10110000000000000000000000000000000001--------------------------------00000000000000000000000000000000--------0PFNP1PPNNPPNPFFNF000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000PPPNP00PPNPNPPP0P0P0P0P0P0P0P0P0P0P0P0P0P0P000000000000000000FFFFFFFFFFFFFFFFFFFFFFFR0PPRNR000000000N000N0NPNPPPNPN0P000P0P0P000P0P0P000P0P0P000P0P0P000P0P0PN00P0P0000000000000000000000000000000000000000000000000000000011RFR000000000000000000000000000000F1R0F0F0RFPFFNNNFNFF\n", + "0000000000000000000000000000000000000R--------------------------------00000000000000000000000000000000--------0FRPFPPNRP0RPN00N00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000F00PFRNFFFFFFFFPFFFFFFFFFFFFFFFPFFFRRP0RNRN000000RN0000RN000PP0PPPPPPP0P0000000000000NN0N0000000000000000000000000000000000000000000000000000000000000000000000000FRFFFFPFFRFFFFFFPPPPPPPPPPPPPPPP0000000000000000000000000N0N000000000000000000000000000000N0F000N00000PPF00PP00\n" + ] + } + ], + "source": [ + "print(logic.mv_str(sim_responses[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The simulator responses contain 'R' for rising transition, 'F' for falling transition, 'P' for possible positive pulse(s) (010) and 'N' for possible negative pulse(s) (101).\n", + "\n", + "We need to map each of these cases to the final logic values before we can compare:" + ] + }, + { + "cell_type": "code", + "execution_count": 66, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "11001100110011001100110011001100110000--------------------------------01001100110011001100110011001100--------0100110001011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "00000000000000000000000000000000000001--------------------------------00000000000000000000000000000000--------0010010011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000010000000100000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111110000001111101101001000000000000000000000000000000000000100000001100000\n", + "01001111111111111111111111111111101010--------------------------------00000000000000000000000000000000--------1010110011001100100000000100000100000001010000010100101101001100010000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000010010010000000100001001000000000000000000000111011110001011011101111100010010001000101010110110011101110000100110000000011000000000000000000000000000000000010100000000000000000000000000000111011011000000010000\n", + "10110000000000000000000000000000000001--------------------------------00000000000000000000000000000000--------0001010011001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000001000111000000000100010101000101000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000001100000100001110100\n", + "00000000000000000000000000000000000001--------------------------------00000000000000000000000000000000--------0010000110010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001100111100000011000011000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000101000000000000000000000000000000100000100000000000000\n" + ] + } + ], + "source": [ + "sim_responses_final = np.choose(sim_responses, logic.mvarray('0X-10101')) # maps '0X-1PRFN' -> '0X-10101'\n", + "print(logic.mv_str(sim_responses_final[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Again, first test is a flush test, so we expect 1146 matches.\n", + "\n", + "We simulated zero-filled patterns and therefore have more specified output bits.\n", + "\n", + "The following checks for compatability (unknown/unassigned values in STIL always match)." + ] + }, + { + "cell_type": "code", + "execution_count": 67, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "1146" + ] + }, + "execution_count": 67, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.sum(np.min((sim_responses_final == transition_responses) | \n", + " (transition_responses == logic.UNASSIGNED) | \n", + " (transition_responses == logic.UNKNOWN), axis=0))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "# Working With Delay Information and Timing Simulation" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Delay data for gates and interconnect can be loaded from SDF files. In kyupy's timing simulators, delays are associated with the lines between nodes, not with the nodes themselves.\n", + "Each line in the circuit has 4 delays for the IOPATH of the reading node;\n", + "one for each combination rising/falling edges at the input and output of that node.\n", + "\n", + "These contents of the SDF file is matched by node names to the original (non-resolved) circuit `b15`. Resolving library cells does not change the line indices, so the resulting array is compatible with `b15_prim`." + ] + }, + { + "cell_type": "code", + "execution_count": 68, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy import sdf\n", + "\n", + "df = sdf.load('../tests/b15_2ig.sdf.gz')\n", + "delays = df.iopaths(b15, tlib=SAED32)[0]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The returned delay information is an `ndarray` with a set of delay values for each line in the circuit." + ] + }, + { + "cell_type": "code", + "execution_count": 69, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(32032, 2, 2)" + ] + }, + "execution_count": 69, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "delays.shape" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Number of non-0 values loaded:" + ] + }, + { + "cell_type": "code", + "execution_count": 70, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "79010" + ] + }, + "execution_count": 70, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "(delays != 0).sum()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The available timing simulators are `WaveSim` and `WaveSimCuda`.\n", + "They work similarly to `LogicSim` in that they evaluate all cells in topological order.\n", + "Instead of propagating a logic value, however, they propagate waveforms.\n", + "\n", + "`WaveSim` uses the numba just-in-time compiler for acceleration on CPU.\n", + "It falls back to pure python if numba is not available. `WaveSimCuda` uses numba for GPU acceleration.\n", + "If no CUDA card is available, it will fall back to pure python (not jit-compiled for CPU!).\n", + "Pure python is too slow for most purposes.\n", + "\n", + "Both simulators operate data-parallel.\n", + "The following instanciates a new engine for 32 independent timing simulations and each signal line in the circuit can carry at most 16 transitions. All simulators share the same circuit and the same line delay specification." + ] + }, + { + "cell_type": "code", + "execution_count": 71, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy.wave_sim import WaveSimCuda, TMAX\n", + "\n", + "wsim = WaveSimCuda(b15_prim, delays, sims=32, c_caps=16)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "These are various memories allocated, with waveforms usually being the largest. " + ] + }, + { + "cell_type": "code", + "execution_count": 72, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Waveforms : 66856.0 kiB\n", + "State Allocation Table : 134.3 kiB\n", + "Circuit Timing : 1074.1 kiB\n", + "Circuit Netlist : 1171.2 kiB\n", + "Sequential State : 726.0 kiB\n" + ] + } + ], + "source": [ + "def print_mem(name, arr):\n", + " print(f'{name}: {arr.nbytes / 1024:.1f} kiB')\n", + " \n", + "print_mem('Waveforms ', wsim.c)\n", + "print_mem('State Allocation Table ', wsim.c_locs)\n", + "print_mem('Circuit Timing ', wsim.delays)\n", + "print_mem('Circuit Netlist ', wsim.ops)\n", + "print_mem('Sequential State ', wsim.s)" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "This is a typical simulation loop where the number of patterns is larger than the number of simulators available.\n", + "We simulate `transition_tests_zf`.\n", + "The initial values, transition times and final values are loaded into `wsim.s` and the following three calls will update this array with simulation results. We collect all results in `wsim_results`." + ] + }, + { + "cell_type": "code", + "execution_count": 73, + "metadata": {}, + "outputs": [], + "source": [ + "from kyupy import batchrange\n", + "import numpy as np\n", + "\n", + "sims = 128 # transition_tests_zf.shape[-1] # Feel free to simulate all tests if CUDA is set up correctly.\n", + "\n", + "wsim_results = np.zeros((11, wsim.s_len, sims)) # space to store all simulation results\n", + "\n", + "for offset, size in batchrange(sims, wsim.sims):\n", + " wsim.s[0] = (transition_tests_zf[:,offset:offset+size] >> 1) & 1 # initial value (bit 1)\n", + " wsim.s[1] = 0.0 # transition time\n", + " wsim.s[2] = transition_tests_zf[:,offset:offset+size] & 1 # final value (bit 0)\n", + " wsim.s_to_c()\n", + " wsim.c_prop(sims=size)\n", + " wsim.c_to_s(time=1.5) # capture at time 1.5\n", + " wsim_results[:,:,offset:offset+size] = wsim.s[:,:,:size]" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The arrays `wsim.s` and `wsim_results` contain various information for each PI, PO, and scan flip-flop (axis 1), and each test (axis 2):\n", + "* ``s[0]`` (P)PI initial value\n", + "* ``s[1]`` (P)PI transition time\n", + "* ``s[2]`` (P)PI final value\n", + "* ``s[3]`` (P)PO initial value\n", + "* ``s[4]`` (P)PO earliest arrival time (EAT): The time at which the output transitioned from its initial value.\n", + "* ``s[5]`` (P)PO latest stabilization time (LST): The time at which the output settled to its final value.\n", + "* ``s[6]`` (P)PO final value\n", + "* ``s[7]`` (P)PO capture value: probability of capturing a 1 at a given capture time\n", + "* ``s[8]`` (P)PO sampled capture value: decided by random sampling according to a given seed.\n", + "* ``s[9]`` (P)PO sampled capture slack: (capture time - LST) - decided by random sampling according to a given seed.\n", + "* ``s[10]`` Overflow indicator: If non-zero, some signals in the input cone of this output had more\n", + " transitions than specified in ``c_caps``. Some transitions have been discarded, the\n", + " final values in the waveforms are still valid." + ] + }, + { + "cell_type": "code", + "execution_count": 74, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(11, 528, 128)" + ] + }, + "execution_count": 74, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "wsim_results.shape" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "For validating against known logic values, convert the samples capture values `wsim_results[8]` into an mvarray like this:" + ] + }, + { + "cell_type": "code", + "execution_count": 75, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "110011001100110011001100110011001100000000000000000000000000000000000001001100110011001100110011001100000000000100110001011010011101101001011010010110100101101001001100110011001100110011001100011001100110011001100110011001110011001100110011001100110011001011101001011010010110100101101001011010010110100101101001011101100110011001101100110000010010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101011100110011001100110011001100110011111100001001011000100\n", + "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010010011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000010000000100000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111110000001111101101001000000000000000000000000000000000000100000001100000\n", + "010011111111111111111111111111111010100000000000000000000000000000000000000000000000000000000000000000000000001010110011001100100000000100000100000001010000010100101101001100010000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000010010010000000100001001000000000000000000000111011110001011011101111100010010001000101010110110011101110000100110000000011000000000000000000000000000000000010100000000000000000000000000000111011011000000010000\n", + "101100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001010011001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000001000111000000000100010101000101000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000001100000100001110100\n", + "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000010000110010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001100111100000011000011000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000101000000000000000000000000000000100000100000000000000\n" + ] + } + ], + "source": [ + "wsim_responses_final = ((wsim_results[8] > 0.5) * logic.ONE).astype(np.uint8)\n", + "print(logic.mv_str(wsim_responses_final[:,:5]))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "We expect 127 matches here." + ] + }, + { + "cell_type": "code", + "execution_count": 76, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "127" + ] + }, + "execution_count": 76, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.sum(np.min((wsim_responses_final == transition_responses[:,:sims]) | \n", + " (transition_responses[:,:sims] == logic.UNASSIGNED) | \n", + " (transition_responses[:,:sims] == logic.UNKNOWN), axis=0))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "The circuit delay is the maximum among all latest stabilization times:" + ] + }, + { + "cell_type": "code", + "execution_count": 77, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "1.0424000024795532" + ] + }, + "execution_count": 77, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "wsim_results[5].max()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Check for overflows. If too many of them occur, increase `c_caps` during engine instanciation:" + ] + }, + { + "cell_type": "code", + "execution_count": 78, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0.0" + ] + }, + "execution_count": 78, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "wsim_results[10].sum()" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Check for capture failures by comparing the samples PPO capture value with the final PPO value:" + ] + }, + { + "cell_type": "code", + "execution_count": 79, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0" + ] + }, + "execution_count": 79, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "(wsim_results[6] != wsim_results[8]).sum()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "Python 3 (ipykernel)", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.10.9" + }, + "vscode": { + "interpreter": { + "hash": "31f2aee4e71d21fbe5cf8b01ff0e069b9275f58929596ceb00d14d90e3e16cd6" + } + } + }, + "nbformat": 4, + "nbformat_minor": 4 +} diff --git a/setup.py b/setup.py index 0f443ff..47ef1f7 100644 --- a/setup.py +++ b/setup.py @@ -14,7 +14,7 @@ setup( url='https://github.com/s-holst/kyupy', author='Stefan Holst', author_email='mail@s-holst.de', - python_requires='>=3.6', + python_requires='>=3.8', install_requires=[ 'numpy>=1.17.0', 'lark-parser>=0.8.0' @@ -33,9 +33,8 @@ setup( 'Operating System :: OS Independent', 'Programming Language :: Python :: 3', 'Programming Language :: Python :: 3 :: Only', - 'Programming Language :: Python :: 3.6', - 'Programming Language :: Python :: 3.7', 'Programming Language :: Python :: 3.8', 'Programming Language :: Python :: 3.9', + 'Programming Language :: Python :: 3.10', ], ) diff --git a/src/kyupy/__init__.py b/src/kyupy/__init__.py index 07b15e0..123bd03 100644 --- a/src/kyupy/__init__.py +++ b/src/kyupy/__init__.py @@ -1,11 +1,12 @@ -"""A package for processing and analysis of non-hierarchical gate-level VLSI designs. +"""The kyupy package itself contains a miscellaneous utility functions. -The kyupy package itself contains a logger and other simple utility functions. In addition, it defines a ``numba`` and a ``cuda`` objects that point to the actual packages if they are available and otherwise point to mocks. """ import time +import sys +from collections import defaultdict import importlib.util import gzip @@ -15,15 +16,19 @@ import numpy as np _pop_count_lut = np.asarray([bin(x).count('1') for x in range(256)]) +def cdiv(x, y): + return -(x // -y) + + def popcount(a): - """Returns the number of 1-bits in a given packed numpy array.""" + """Returns the number of 1-bits in a given packed numpy array of type ``uint8``.""" return np.sum(_pop_count_lut[a]) def readtext(file): """Reads and returns the text in a given file. Transparently decompresses \\*.gz files.""" if hasattr(file, 'read'): - return file.read() + return file.read().decode() if str(file).endswith('.gz'): with gzip.open(file, 'rt') as f: return f.read() @@ -74,6 +79,39 @@ def hr_time(seconds): return s +def batchrange(nitems, maxsize): + """A simple generator that produces offsets and sizes for batch-loops.""" + for offset in range(0, nitems, maxsize): + yield offset, min(nitems-offset, maxsize) + + +class Timer: + def __init__(self, s=0): self.s = s + def __enter__(self): self.start_time = time.perf_counter(); return self + def __exit__(self, *args): self.s += time.perf_counter() - self.start_time + @property + def ms(self): return self.s*1e3 + @property + def us(self): return self.s*1e6 + def __repr__(self): return f'{self.s:.3f}' + def __add__(self, t): + return Timer(self.s + t.s) + + +class Timers: + def __init__(self, t={}): self.timers = defaultdict(Timer) | t + def __getitem__(self, name): return self.timers[name] + def __repr__(self): return '{' + ', '.join([f'{k}: {v}' for k, v in self.timers.items()]) + '}' + def __add__(self, t): + tmr = Timers(self.timers) + for k, v in t.timers.items(): tmr.timers[k] += v + return tmr + def sum(self): + return sum([v.s for v in self.timers.values()]) + def dict(self): + return dict([(k, v.s) for k, v in self.timers.items()]) + + class Log: """A very simple logger that formats the messages with the number of seconds since program start. @@ -81,25 +119,58 @@ class Log: def __init__(self): self.start = time.perf_counter() - self.logfile = None + self.logfile = sys.stdout """When set to a file handle, log messages are written to it instead to standard output. - After each write, ``flush()`` is called as well. """ + self.indent = 0 + self._limit = -1 + self.filtered = 0 + + def limit(self, log_limit): + class Limiter: + def __init__(self, l): self.l = l + def __enter__(self): self.l.start_limit(log_limit); return self + def __exit__(self, *args): self.l.stop_limit() + return Limiter(self) + + def start_limit(self, limit): + self.filtered = 0 + self._limit = limit + + def stop_limit(self): + if self.filtered > 0: + log.info(f'{self.filtered} more messages (filtered).') + self.filtered = 0 + self._limit = -1 def __getstate__(self): return {'elapsed': time.perf_counter() - self.start} def __setstate__(self, state): - self.logfile = None + self.logfile = sys.stdout + self.indent = 0 self.start = time.perf_counter() - state['elapsed'] + def write(self, s, indent=0): + self.logfile.write(' '*indent + s + '\n') + self.logfile.flush() + + def li(self, item): self.write('- ' + str(item).replace('\n', '\n'+' '*(self.indent+1)), self.indent) + def lib(self): self.write('-', self.indent); self.indent += 1 + def lin(self): self.write('-', self.indent-1) + def di(self, key, value): self.write(str(key) + ': ' + str(value).replace('\n', '\n'+' '*(self.indent+1)), self.indent) + def dib(self, key): self.write(str(key) + ':', self.indent); self.indent += 1 + def din(self, key): self.write(str(key) + ':', self.indent-1) + def ie(self, n=1): self.indent -= n + def log(self, level, message): + if self._limit == 0: + self.filtered += 1 + return t = time.perf_counter() - self.start - if self.logfile is None: - print(f'{t:011.3f} {level} {message}') - else: - self.logfile.write(f'{t:011.3f} {level} {message}\n') - self.logfile.flush() + self.logfile.write(f'# {t:011.3f} {level} {message}\n') + self.logfile.flush() + self._limit -= 1 def info(self, message): """Log an informational message.""" @@ -156,7 +227,7 @@ class MockCuda: self.x = 0 self.y = 0 - def jit(self, device=False): + def jit(self, func=None, device=False): _ = device # silence "not used" warning outer = self @@ -184,7 +255,7 @@ class MockCuda: return inner return Launcher(func) - return make_launcher + return make_launcher(func) if func else make_launcher @staticmethod def to_device(array, to=None): @@ -208,6 +279,8 @@ if importlib.util.find_spec('numba') is not None: try: list(numba.cuda.gpus) from numba import cuda + from numba.core import config + config.CUDA_LOW_OCCUPANCY_WARNINGS = False except CudaSupportError: log.warn('Cuda unavailable. Falling back to pure Python.') cuda = MockCuda() diff --git a/src/kyupy/bench.py b/src/kyupy/bench.py index 21310d5..aeec5a2 100644 --- a/src/kyupy/bench.py +++ b/src/kyupy/bench.py @@ -21,9 +21,9 @@ class BenchTransformer(Transformer): def start(self, _): return self.c - def parameters(self, args): return [self.c.get_or_add_fork(name) for name in args] + def parameters(self, args): return [self.c.get_or_add_fork(str(name)) for name in args] - def interface(self, args): self.c.interface.extend(args[0]) + def interface(self, args): self.c.io_nodes.extend(args[0]) def assignment(self, args): name, cell_type, drivers = args @@ -57,8 +57,8 @@ def parse(text, name=None): def load(file, name=None): """Parses the contents of ``file`` as ISCAS89 bench code. - :param file: The file to be loaded. - :param name: The name of the circuit. If none given, the file name is used as circuit name. + :param file: The file to be loaded. Files with `.gz`-suffix are decompressed on-the-fly. + :param name: The name of the circuit. If None, the file name is used as circuit name. :return: A :class:`Circuit` object. """ return parse(readtext(file), name=name or str(file)) diff --git a/src/kyupy/circuit.py b/src/kyupy/circuit.py index 53b2e51..46c9f38 100644 --- a/src/kyupy/circuit.py +++ b/src/kyupy/circuit.py @@ -1,11 +1,19 @@ -"""Data structures for representing non-hierarchical gate-level circuits. +"""Core module for handling non-hierarchical gate-level circuits. The class :class:`Circuit` is a container of nodes connected by lines. A node is an instance of class :class:`Node`, and a line is an instance of class :class:`Line`. + +The data structures are designed to work together nicely with numpy arrays. +For example, all the nodes and connections in the circuit graph have consecutive integer indices that can be used to access ndarrays with associated data. +Circuit graphs also define an ordering of inputs, outputs and other nodes to easily process test vector data and alike. + """ -from collections import deque +from collections import deque, defaultdict +import re + +import numpy as np class GrowingList(list): @@ -64,9 +72,9 @@ class Node: self.index = len(circuit.nodes) - 1 """A unique and consecutive integer index of the node within the circuit. - It can be used to store additional data about the node :code:`n` + It can be used to associate additional data to a node :code:`n` by allocating an array or list :code:`my_data` of length :code:`len(n.circuit.nodes)` and - accessing it by :code:`my_data[n.index]`. + accessing it by :code:`my_data[n.index]` or simply by :code:`my_data[n]`. """ self.ins = GrowingList() """A list of input connections (:class:`Line` objects). @@ -81,7 +89,9 @@ class Node: def __repr__(self): ins = ' '.join([f'<{line.index}' if line is not None else '{line.index}' if line is not None else '>None' for line in self.outs]) - return f'{self.index}:{self.kind}"{self.name}" {ins} {outs}' + ins = ' ' + ins if len(ins) else '' + outs = ' ' + outs if len(outs) else '' + return f'{self.index}:{self.kind}"{self.name}"{ins}{outs}' def remove(self): """Removes the node from its circuit. @@ -135,7 +145,7 @@ class Line: It can be used to store additional data about the line :code:`l` by allocating an array or list :code:`my_data` of length :code:`len(l.circuit.lines)` and - accessing it by :code:`my_data[l.index]`. + accessing it by :code:`my_data[l.index]` or simply by :code:`my_data[l]`. """ if not isinstance(driver, tuple): driver = (driver, driver.outs.free_index()) self.driver = driver[0] @@ -144,7 +154,7 @@ class Line: self.driver_pin = driver[1] """The output pin position of the driver node this line is connected to. - This is the position in the outs-list of the driving node this line referenced from: + This is the position in the list :py:attr:`Node.outs` of the driving node this line referenced from: :code:`self.driver.outs[self.driver_pin] == self`. """ if not isinstance(reader, tuple): reader = (reader, reader.ins.free_index()) @@ -154,7 +164,7 @@ class Line: self.reader_pin = reader[1] """The input pin position of the reader node this line is connected to. - This is the position in the ins-list of the reader node this line referenced from: + This is the position in the list :py:attr:`Node.ins` of the reader node this line referenced from: :code:`self.reader.ins[self.reader_pin] == self`. """ self.driver.outs[self.driver_pin] = self @@ -166,7 +176,11 @@ class Line: To keep the indices consecutive, the line with the highest index within the circuit will be assigned the index of the removed line. """ - if self.driver is not None: self.driver.outs[self.driver_pin] = None + if self.driver is not None: + self.driver.outs[self.driver_pin] = None + if self.driver.kind == '__fork__': # squeeze outputs + del self.driver.outs[self.driver_pin] + for i, l in enumerate(self.driver.outs): l.driver_pin = i if self.reader is not None: self.reader.ins[self.reader_pin] = None if self.circuit is not None: del self.circuit.lines[self.index] self.driver = None @@ -202,41 +216,237 @@ class Circuit: to enforce consecutiveness. A subset of nodes can be designated as primary input- or output-ports of the circuit. - This is done by adding them to the :py:attr:`interface` list. + This is done by adding them to the :py:attr:`io_nodes` list. """ def __init__(self, name=None): self.name = name """The name of the circuit. """ - self.nodes = IndexList() + self.nodes : list[Node] = IndexList() """A list of all :class:`Node` objects contained in the circuit. The position of a node in this list equals its index :code:`self.nodes[42].index == 42`. + This list must not be changed directly. + Use the :class:`Node` constructor and :py:attr:`Node.remove()` to add and remove nodes. """ - self.lines = IndexList() + self.lines : list[Line] = IndexList() """A list of all :class:`Line` objects contained in the circuit. The position of a line in this list equals its index :code:`self.lines[42].index == 42`. + This list must not be changed directly. + Use the :class:`Line` constructor and :py:attr:`Line.remove()` to add and remove lines. """ - self.interface = GrowingList() + self.io_nodes : list[Node] = GrowingList() """A list of nodes that are designated as primary input- or output-ports. - Port-nodes are contained in :py:attr:`nodes` as well as :py:attr:`interface`. - The position of a node in the interface list corresponds to positions of logic values in test vectors. + Port-nodes are contained in :py:attr:`nodes` as well as :py:attr:`io_nodes`. + The position of a node in the io_nodes list corresponds to positions of logic values in test vectors. The port direction is not stored explicitly. - Usually, nodes in the interface list without any lines in their :py:attr:`Node.ins` list are primary inputs, - and nodes without any lines in their :py:attr:`Node.outs` list are regarded as primary outputs. + Usually, nodes in the io_nodes list without any lines in their :py:attr:`Node.ins` list are primary inputs, + and all other nodes in the io_nodes list are regarded as primary outputs. """ - self.cells = {} + self.cells : dict[str, Node] = {} """A dictionary to access cells by name. + + This dictionary must not be changed directly. + Use the :class:`Node` constructor and :py:attr:`Node.remove()` to add and remove nodes. """ - self.forks = {} + self.forks : dict[str, Node] = {} """A dictionary to access forks by name. + + This dictionary must not be changed directly. + Use the :class:`Node` constructor and :py:attr:`Node.remove()` to add and remove nodes. """ + @property + def s_nodes(self): + """A list of all primary I/Os as well as all flip-flops and latches in the circuit (in that order). + + The s_nodes list defines the order of all ports and all sequential elements in the circuit. + This list is constructed on-the-fly. If used in some inner toop, consider caching the list for better performance. + """ + return list(self.io_nodes) + [n for n in self.nodes if 'dff' in n.kind.lower()] + [n for n in self.nodes if 'latch' in n.kind.lower()] + + def io_locs(self, prefix): + """Returns the indices of primary I/Os that start with given name prefix. + + The returned values are used to index into the :py:attr:`io_nodes` array. + If only one I/O cell matches the given prefix, a single integer is returned. + If a bus matches the given prefix, a sorted list of indices is returned. + Busses are identified by integers in the cell names following the given prefix. + Lists for bus indices are sorted from LSB (e.g. :code:`data[0]`) to MSB (e.g. :code:`data[31]`). + If a prefix matches multiple different signals or busses, alphanumerically sorted + lists of lists are returned. Therefore, higher-dimensional busses + (e.g. :code:`data0[0], data0[1], ...`, :code:`data1[0], data1[1], ...`) are supported as well. + """ + return self._locs(prefix, list(self.io_nodes)) + + def s_locs(self, prefix): + """Returns the indices of I/Os and sequential elements that start with given name prefix. + + The returned values are used to index into the :py:attr:`s_nodes` list. + It works the same as :py:attr:`io_locs`. See there for more details. + """ + return self._locs(prefix, self.s_nodes) + + def _locs(self, prefix, nodes): + d_top = dict() + for i, n in enumerate(nodes): + if m := re.match(fr'({prefix}.*?)((?:[\d_\[\]])*$)', n.name): + path = [m[1]] + [int(v) for v in re.split(r'[_\[\]]+', m[2]) if len(v) > 0] + d = d_top + for j in path[:-1]: + d[j] = d.get(j, dict()) + d = d[j] + d[path[-1]] = i + + # sort recursively for multi-dimensional lists. + def sorted_values(d): return [sorted_values(v) for k, v in sorted(d.items())] if isinstance(d, dict) else d + l = sorted_values(d_top) + while isinstance(l, list) and len(l) == 1: l = l[0] + return None if isinstance(l, list) and len(l) == 0 else l + + @property + def stats(self): + """A dictionary with the counts of all different elements in the circuit. + + The dictionary contains the number of all different kinds of nodes, the number + of lines, as well various sums like number of combinational gates, number of + primary I/Os, number of sequential elements, and so on. + + The count of regular cells use their :py:attr:`Node.kind` as key, other statistics use + dunder-keys like: `__comb__`, `__io__`, `__seq__`, and so on. + """ + stats = defaultdict(int) + stats['__node__'] = len(self.nodes) + stats['__cell__'] = len(self.cells) + stats['__fork__'] = len(self.forks) + stats['__io__'] = len(self.io_nodes) + stats['__line__'] = len(self.lines) + for n in self.cells.values(): + stats[n.kind] += 1 + if 'dff' in n.kind.lower(): stats['__dff__'] += 1 + elif 'latch' in n.kind.lower(): stats['__latch__'] += 1 + elif 'put' not in n.kind.lower(): stats['__comb__'] += 1 # no input or output + stats['__seq__'] = stats['__dff__'] + stats['__latch__'] + return dict(stats) + def get_or_add_fork(self, name): return self.forks[name] if name in self.forks else Node(self, name) + def remove_dangling_nodes(self, root_node:Node): + if len([l for l in root_node.outs if l is not None]) > 0: return + lines = [l for l in root_node.ins if l is not None] + drivers = [l.driver for l in lines] + root_node.remove() + for l in lines: + l.remove() + for d in drivers: + self.remove_dangling_nodes(d) + + def eliminate_1to1_forks(self): + """Removes all forks that drive only one node. + + Such forks are inserted by parsers to annotate signal names. If this + information is not needed, such forks can be removed and the two neighbors + can be connected directly using one line. Forks that drive more than one node + are not removed by this function. + + This function may remove some nodes and some lines from the circuit. + Therefore that indices of other nodes and lines may change to keep the indices consecutive. + It may therefore invalidate external data for nodes and lines. + """ + ios = set(self.io_nodes) + for n in list(self.forks.values()): + if n in ios: continue + if len(n.outs) != 1: continue + in_line = n.ins[0] + out_line = n.outs[0] + out_reader = out_line.reader + out_reader_pin = out_line.reader_pin + n.remove() + out_line.remove() + in_line.reader = out_reader + in_line.reader_pin = out_reader_pin + in_line.reader.ins[in_line.reader_pin] = in_line + + def substitute(self, node, impl): + """Replaces a given node with the given implementation circuit. + + The given node will be removed, the implementation is copied in and + the signal lines are connected appropriately. The number and arrangement + of the input and output ports must match the pins of the replaced node. + + This function tries to preserve node and line indices as much as possible. + Usually, it only adds additional nodes and lines, preserving the order of + all existing nodes and lines. If an implementation is empty, however, nodes + and lines may get removed, changing indices and invalidating external data. + """ + ios = set(impl.io_nodes) + impl_in_nodes = [n for n in impl.io_nodes if len(n.ins) == 0] + impl_out_lines = [n.ins[0] for n in impl.io_nodes if len(n.ins) > 0] + designated_cell = None + if len(impl_out_lines) > 0: + n = impl_out_lines[0].driver + while n.kind == '__fork__' and n not in ios: + n = n.ins[0].driver + designated_cell = n + node_in_lines = list(node.ins) + [None] * (len(impl_in_nodes)-len(node.ins)) + node_out_lines = list(node.outs) + [None] * (len(impl_out_lines)-len(node.outs)) + assert len(node_in_lines) == len(impl_in_nodes) + assert len(node_out_lines) == len(impl_out_lines) + node_map = dict() + if designated_cell is not None: + node.kind = designated_cell.kind + node_map[designated_cell] = node + node.ins = GrowingList() + node.outs = GrowingList() + else: + node.remove() + ios = set(impl.io_nodes) + for n in impl.nodes: # add all nodes to main circuit + if n not in ios: + if n != designated_cell: + node_map[n] = Node(self, f'{node.name}~{n.name}', n.kind) + elif len(n.outs) > 0 and len(n.ins) > 0: # output is also read by impl. circuit, need to add a fork. + node_map[n] = Node(self, f'{node.name}~{n.name}') + elif len(n.ins) == 0 and len(n.outs) > 1: # input is read by multiple nodes, need to add fork. + node_map[n] = Node(self, f'{node.name}~{n.name}') + for l in impl.lines: # add all internal lines to main circuit + if l.reader in node_map and l.driver in node_map: + Line(self, (node_map[l.driver], l.driver_pin), (node_map[l.reader], l.reader_pin)) + for inn, ll in zip(impl_in_nodes, node_in_lines): # connect inputs + if ll is None: continue + if len(inn.outs) == 1: + l = inn.outs[0] + ll.reader = node_map[l.reader] + ll.reader_pin = l.reader_pin + else: + ll.reader = node_map[inn] # connect to existing fork + ll.reader_pin = 0 + ll.reader.ins[ll.reader_pin] = ll + for l, ll in zip(impl_out_lines, node_out_lines): # connect outputs + if ll is None: + if l.driver in node_map: + self.remove_dangling_nodes(node_map[l.driver]) + continue + if len(l.reader.outs) > 0: # output is also read by impl. circuit, connect to fork. + ll.driver = node_map[l.reader] + ll.driver_pin = len(l.reader.outs) + else: + ll.driver = node_map[l.driver] + ll.driver_pin = l.driver_pin + ll.driver.outs[ll.driver_pin] = ll + + def resolve_tlib_cells(self, tlib): + """Substitute all technology library cells with kyupy native simulation primitives. + + See :py:attr:`substitute()` for more detail. + """ + for n in list(self.nodes): + if n.kind in tlib.cells: + self.substitute(n, tlib.cells[n.kind][0]) + def copy(self): """Returns a deep copy of the circuit. """ @@ -247,69 +457,71 @@ class Circuit: d = c.forks[line.driver.name] if line.driver.kind == '__fork__' else c.cells[line.driver.name] r = c.forks[line.reader.name] if line.reader.kind == '__fork__' else c.cells[line.reader.name] Line(c, (d, line.driver_pin), (r, line.reader_pin)) - for node in self.interface: + for node in self.io_nodes: if node.kind == '__fork__': n = c.forks[node.name] else: n = c.cells[node.name] - c.interface.append(n) + c.io_nodes.append(n) return c def __getstate__(self): nodes = [(node.name, node.kind) for node in self.nodes] lines = [(line.driver.index, line.driver_pin, line.reader.index, line.reader_pin) for line in self.lines] - interface = [n.index for n in self.interface] + io_nodes = [n.index for n in self.io_nodes] return {'name': self.name, 'nodes': nodes, 'lines': lines, - 'interface': interface } + 'io_nodes': io_nodes } def __setstate__(self, state): self.name = state['name'] self.nodes = IndexList() self.lines = IndexList() - self.interface = GrowingList() + self.io_nodes = GrowingList() self.cells = {} self.forks = {} for s in state['nodes']: Node(self, *s) for driver, driver_pin, reader, reader_pin in state['lines']: Line(self, (self.nodes[driver], driver_pin), (self.nodes[reader], reader_pin)) - for n in state['interface']: - self.interface.append(self.nodes[n]) + for n in state['io_nodes']: + self.io_nodes.append(self.nodes[n]) def __eq__(self, other): - return self.nodes == other.nodes and self.lines == other.lines and self.interface == other.interface - - def dump(self): - """Returns a string representation of the circuit and all its nodes. - """ - header = f'{self.name}({",".join([str(n.index) for n in self.interface])})\n' - return header + '\n'.join([str(n) for n in self.nodes]) + return self.nodes == other.nodes and self.lines == other.lines and self.io_nodes == other.io_nodes def __repr__(self): - name = f' {self.name}' if self.name else '' - return f'' + return f'{{name: "{self.name}", cells: {len(self.cells)}, forks: {len(self.forks)}, lines: {len(self.lines)}, io_nodes: {len(self.io_nodes)}}}' def topological_order(self): """Generator function to iterate over all nodes in topological order. - Nodes without input lines and nodes whose :py:attr:`Node.kind` contains the substring 'DFF' are - yielded first. + Nodes without input lines and nodes whose :py:attr:`Node.kind` contains the + substrings 'dff' or 'latch' are yielded first. """ - visit_count = [0] * len(self.nodes) - queue = deque(n for n in self.nodes if len(n.ins) == 0 or 'dff' in n.kind.lower()) + visit_count = np.zeros(len(self.nodes), dtype=np.uint32) + queue = deque(n for n in self.nodes if len(n.ins) == 0 or 'dff' in n.kind.lower() or 'latch' in n.kind.lower()) while len(queue) > 0: n = queue.popleft() for line in n.outs: if line is None: continue succ = line.reader visit_count[succ] += 1 - if visit_count[succ] == len(succ.ins) and 'dff' not in succ.kind.lower(): + if visit_count[succ] == len(succ.ins) and 'dff' not in succ.kind.lower() and 'latch' not in succ.kind.lower(): queue.append(succ) yield n + def topological_order_with_level(self): + level = np.zeros(len(self.nodes), dtype=np.int32) - 1 + for n in self.topological_order(): + if len(n.ins) == 0 or 'dff' in n.kind.lower() or 'latch' in n.kind.lower(): + l = 0 + else: + l = level[[l.driver.index for l in n.ins if l is not None]].max() + 1 + level[n] = l + yield n, l + def topological_line_order(self): """Generator function to iterate over all lines in topological order. """ @@ -321,17 +533,17 @@ class Circuit: def reversed_topological_order(self): """Generator function to iterate over all nodes in reversed topological order. - Nodes without output lines and nodes whose :py:attr:`Node.kind` contains the substring 'DFF' are - yielded first. + Nodes without output lines and nodes whose :py:attr:`Node.kind` contains the + substrings 'dff' or 'latch' are yielded first. """ visit_count = [0] * len(self.nodes) - queue = deque(n for n in self.nodes if len(n.outs) == 0 or 'dff' in n.kind.lower()) + queue = deque(n for n in self.nodes if len(n.outs) == 0 or 'dff' in n.kind.lower() or 'latch' in n.kind.lower()) while len(queue) > 0: n = queue.popleft() for line in n.ins: pred = line.driver visit_count[pred] += 1 - if visit_count[pred] == len(pred.outs) and 'dff' not in pred.kind.lower(): + if visit_count[pred] == len(pred.outs) and 'dff' not in pred.kind.lower() and 'latch' not in pred.kind.lower(): queue.append(pred) yield n @@ -371,3 +583,33 @@ class Circuit: queue.extend(preds) region.append(n) yield stem, region + + def dot(self, format='svg'): + from graphviz import Digraph + dot = Digraph(format=format, graph_attr={'rankdir': 'LR', 'splines': 'true'}) + + s_dict = dict((n, i) for i, n in enumerate(self.s_nodes)) + node_level = np.zeros(len(self.nodes), dtype=np.uint32) + level_nodes = defaultdict(list) + for n, lv in self.topological_order_with_level(): + level_nodes[lv].append(n) + node_level[n] = lv + + for lv in level_nodes: + with dot.subgraph() as s: + s.attr(rank='same') + for n in level_nodes[lv]: + ins = '|'.join([f'{i}' for i in range(len(n.ins))]) + outs = '|'.join([f'{i}' for i in range(len(n.outs))]) + io = f' [{s_dict[n]}]' if n in s_dict else '' + s.node(name=str(n.index), label = f'{{{{{ins}}}|{n.index}{io}\n{n.kind}\n{n.name}|{{{outs}}}}}', shape='record') + + for l in self.lines: + driver, reader = f'{l.driver.index}:o{l.driver_pin}', f'{l.reader.index}:i{l.reader_pin}' + if node_level[l.driver] >= node_level[l.reader]: + dot.edge(driver, reader, style='dotted', label=str(l.index)) + pass + else: + dot.edge(driver, reader, label=str(l.index)) + + return dot diff --git a/src/kyupy/def_file.py b/src/kyupy/def_file.py new file mode 100644 index 0000000..5275d74 --- /dev/null +++ b/src/kyupy/def_file.py @@ -0,0 +1,297 @@ +"""A simple and incomplete parser for the Design Exchange Format (DEF). + +This parser extracts information on components and nets from DEF files and make them available +as an intermediate representation (:class:`DefFile` object). +""" + +from collections import defaultdict + +from lark import Lark, Transformer, Tree + +from kyupy import readtext + + +class DefNet: + def __init__(self, name): + self.name = name + self.pins = [] + + @property + def wires(self): + ww = defaultdict(list) + [ww[dw.layer].append((int(dw.width), dw.wire_points)) for dw in self.routed if len(dw.wire_points) > 0] + return ww + + @property + def vias(self): + vv = defaultdict(list) + [vv[vtype].extend(locs) for dw in self.routed for vtype, locs in dw.vias.items()] + return vv + + +class DefWire: + def __init__(self): + self.layer = None + self.width = None + self.points = [] + + @property + def wire_points(self): + start = [self.points[0]] + rest = [p for p in self.points[1:] if not isinstance(p[0], str)] # skip over vias + return start + rest if len(rest) > 0 else [] + + @property + def vias(self): + vv = defaultdict(list) + loc = self.points[0] + for p in self.points[1:]: + if not isinstance(p[0], str): # new location + loc = (loc[0] if p[0] is None else p[0], loc[1] if p[1] is None else p[1]) # if None, keep previous value + continue + vtype, param = p + if isinstance(param, tuple): # expand "DO x BY y STEP xs ys" + x_cnt, y_cnt, x_sp, y_sp = param + [vv[vtype].append((loc[0] + x*x_sp, loc[1] + y*y_sp, 'N')) for x in range(x_cnt) for y in range(y_cnt)] + else: + vv[vtype].append((loc[0], loc[1], param or 'N')) + return vv + + def __repr__(self): + return f'' + + +class DefVia: + def __init__(self, name): + self.name = name + self.rowcol = [1, 1] + self.cutspacing = [0, 0] + + +class DefPin: + def __init__(self, name): + self.name = name + self.points = [] + + +class DefFile: + """Intermediate representation of a DEF file.""" + def __init__(self): + self.rows = [] + self.tracks = [] + self.units = [] + self.vias = {} + self.components = {} + self.pins = {} + self.specialnets = {} + self.nets = {} + + +class DefTransformer(Transformer): + def __init__(self): self.def_file = DefFile() + def start(self, args): return self.def_file + def design(self, args): self.def_file.design = args[0].value + def point(self, args): return tuple(int(arg.value) if arg != '*' else None for arg in args) + def do_step(self, args): return tuple(map(int, args)) + def spnet_wires(self, args): return args[0].lower(), args[1:] + def net_wires(self, args): return args[0].lower(), args[1:] + def sppoints(self, args): return args + def points(self, args): return args + def net_pin(self, args): return '__pin__', (args[0].value, args[1].value) + def net_opt(self, args): return args[0].lower(), args[1].value + + def file_stmt(self, args): + value = args[1].value + value = value[1:-1] if value[0] == '"' else value + setattr(self.def_file, args[0].lower(), value) + + def design_stmt(self, args): + stmt = args[0].lower() + if stmt == 'units': self.def_file.units.append((args[1].value, args[2].value, int(args[3]))) + elif stmt == 'diearea': self.def_file.diearea = args[1:] + elif stmt == 'row': + self.def_file.rows.append((args[1].value, # rowName + args[2].value, # siteName + (int(args[3]), int(args[4])), # origin x/y + args[5].value, # orientation + max(args[6][0], args[6][1]), # number of sites + max(args[6][2], args[6][3]) # site width + )) + elif stmt == 'tracks': + self.def_file.tracks.append((args[1].value, # orientation + int(args[2]), # start + int(args[3]), # number of tracks + int(args[4]), # spacing + args[5].value # layer + )) + + def vias_stmt(self, args): + via = DefVia(args[0].value) + [setattr(via, opt, val) for opt, val in args[1:]] + self.def_file.vias[via.name] = via + + def vias_opt(self, args): + opt = args[0].lower() + if opt in ['viarule', 'pattern']: val = args[1].value + elif opt in ['layers']: val = [arg.value for arg in args[1:]] + else: val = [int(arg) for arg in args[1:]] + return opt, val + + def comp_stmt(self, args): + name = args[0].value + kind = args[1].value + point = args[2] + orientation = args[3].value + self.def_file.components[name] = (kind, point, orientation) + + def pins_stmt(self, args): + pin = DefPin(args[0].value) + [pin.points.append(val) if opt == 'placed' else setattr(pin, opt, val) for opt, val in args[1:]] + self.def_file.pins[pin.name] = pin + + def pins_opt(self, args): + opt = args[0].lower() + if opt in ['net', 'direction', 'use']: val = args[1].value + elif opt in ['layer']: val = [args[1].value] + args[2:] + elif opt in ['placed']: val = (args[1][0], args[1][1], args[2].value) + else: val = [] + return opt, val + + def spnets_stmt(self, args): + dnet = DefNet(args[0].value) + for arg in args[1:]: + if arg[0] == '__pin__': dnet.pins.append(arg[1]) + else: setattr(dnet, arg[0], arg[1]) + self.def_file.specialnets[dnet.name] = dnet + + def nets_stmt(self, args): + dnet = DefNet(args[0].value) + for arg in args[1:]: + if arg[0] == '__pin__': dnet.pins.append(arg[1]) + else: setattr(dnet, arg[0], arg[1]) + self.def_file.nets[dnet.name] = dnet + + def spwire(self, args): + wire = DefWire() + wire.layer = args[0].value + wire.width = args[1].value + wire.points = args[-1] + return wire + + def wire(self, args): + wire = DefWire() + wire.layer = args[0].value + wire.points = args[-1] + return wire + + def sppoints_via(self, args): + if len(args) == 1: return args[0].value, None + else: return args[0].value, args[1] + + def points_via(self, args): + if len(args) == 1: return args[0].value, 'N' + else: return args[0].value, args[1].value.strip() + + +GRAMMAR = r""" + start: /#[^\n]*/? file_stmt* + + ?file_stmt: /VERSION/ ID ";" + | /DIVIDERCHAR/ STRING ";" + | /BUSBITCHARS/ STRING ";" + | design + + design: "DESIGN" ID ";" design_stmt* "END" "DESIGN" + + ?design_stmt: /UNITS/ ID ID NUMBER ";" + | /DIEAREA/ point+ ";" + | /ROW/ ID ID NUMBER NUMBER ID do_step ";" + | /TRACKS/ /[XY]/ NUMBER "DO" NUMBER "STEP" NUMBER "LAYER" ID ";" + | propdef | vias | nondef | comp | pins | pinprop | spnets | nets + + propdef: "PROPERTYDEFINITIONS" propdef_stmt* "END" "PROPERTYDEFINITIONS" + propdef_stmt: /COMPONENTPIN/ ID ID ";" + + vias: "VIAS" NUMBER ";" vias_stmt* "END" "VIAS" + vias_stmt: "-" ID vias_opt* ";" + vias_opt: "+" /VIARULE/ ID + | "+" /CUTSIZE/ NUMBER NUMBER + | "+" /LAYERS/ ID ID ID + | "+" /CUTSPACING/ NUMBER NUMBER + | "+" /ENCLOSURE/ NUMBER NUMBER NUMBER NUMBER + | "+" /ROWCOL/ NUMBER NUMBER + | "+" /PATTERN/ ID + + nondef: "NONDEFAULTRULES" NUMBER ";" nondef_stmt+ "END" "NONDEFAULTRULES" + nondef_stmt: "-" ID ( "+" /HARDSPACING/ + | "+" /LAYER/ ID "WIDTH" NUMBER "SPACING" NUMBER + | "+" /VIA/ ID )* ";" + + comp: "COMPONENTS" NUMBER ";" comp_stmt* "END" "COMPONENTS" + comp_stmt: "-" ID ID "+" "PLACED" point ID ";" + + pins: "PINS" NUMBER ";" pins_stmt* "END" "PINS" + pins_stmt: "-" ID pins_opt* ";" + pins_opt: "+" /NET/ ID + | "+" /SPECIAL/ + | "+" /DIRECTION/ ID + | "+" /USE/ ID + | "+" /PORT/ + | "+" /LAYER/ ID point point + | "+" /PLACED/ point ID + + pinprop: "PINPROPERTIES" NUMBER ";" pinprop_stmt* "END" "PINPROPERTIES" + pinprop_stmt: "-" "PIN" ID "+" "PROPERTY" ID STRING ";" + + spnets: "SPECIALNETS" NUMBER ";" spnets_stmt* "END" "SPECIALNETS" + spnets_stmt: "-" ID ( net_pin | net_opt | spnet_wires )* ";" + + spnet_wires: "+" ( /COVER/ | /FIXED/ | /ROUTED/ ) spwire ( "NEW" spwire )* + + spwire: ID NUMBER spwire_opt* sppoints + spwire_opt: "+" /SHAPE/ ID + | "+" /STYLE/ ID + + sppoints: point ( point | sppoints_via )+ + sppoints_via: ID do_step? + + nets: "NETS" NUMBER ";" nets_stmt* "END" "NETS" + nets_stmt: "-" ID ( net_pin | net_opt | net_wires )* ";" + + net_pin: "(" ID ID ")" + net_opt: "+" /USE/ ID + | "+" /NONDEFAULTRULE/ ID + net_wires: "+" ( /COVER/ | /FIXED/ | /ROUTED/ | /NOSHIELD/ ) wire ( "NEW" wire )* + + wire: ID wire_opt points + wire_opt: ( "TAPER" | "TAPERRULE" ID )? ("STYLE" ID)? + + points: point ( point | points_via )+ + points_via: ID ORIENTATION? + + point: "(" (NUMBER|/\*/) (NUMBER|/\*/) NUMBER? ")" + + do_step: "DO" NUMBER "BY" NUMBER "STEP" (NUMBER|SIGNED_NUMBER) (NUMBER|SIGNED_NUMBER) + + ORIENTATION.2: /F?[NWES]/ WS + ID: /[^ \t\f\r\n+][^ \t\f\r\n]*/ + STRING : "\"" /.*?/s /(?> 3] & _bit_in_lut[pos & 7] - - -class MVArray: - """An n-dimensional array of m-valued logic values. + The given values are interpreted and the axes are arranged as per KyuPy's convention. + Use this function to convert strings into multi-valued arrays. + """ + mva = np.array(interpret(a), dtype=np.uint8) + if mva.ndim < 2: return mva + if mva.shape[-2] > 1: return mva.swapaxes(-1, -2) + return mva[..., 0, :] - This class wraps a numpy.ndarray of type uint8 and adds support for encoding and - interpreting 2-valued, 4-valued, and 8-valued logic values. - Each logic value is stored as an uint8, manipulations of individual values are cheaper than in - :py:class:`BPArray`. - :param a: If a tuple is given, it is interpreted as desired shape. To make an array of ``n`` vectors - compatible with a simulator ``sim``, use ``(len(sim.interface), n)``. If a :py:class:`BPArray` or - :py:class:`MVArray` is given, a deep copy is made. If a string, a list of strings, a list of characters, - or a list of lists of characters are given, the data is interpreted best-effort and the array is - initialized accordingly. - :param m: The arity of the logic. Can be set to 2, 4, or 8. If None is given, the arity of a given - :py:class:`BPArray` or :py:class:`MVArray` is used, or, if the array is initialized differently, 8 is used. +def mv_str(mva, delim='\n'): + """Renders a given multi-valued array into a string. """ + sa = np.choose(mva, np.array([*'0X-1PRFN'], dtype=np.unicode_)) + if not hasattr(mva, 'ndim') or mva.ndim == 0: return sa + if mva.ndim == 1: return ''.join(sa) + return delim.join([''.join(c) for c in sa.swapaxes(-1,-2)]) + - def __init__(self, a, m=None): - self.m = m or 8 - assert self.m in [2, 4, 8] - - # Try our best to interpret given a. - if isinstance(a, MVArray): - self.data = a.data.copy() - """The wrapped 2-dimensional ndarray of logic values. - - * Axis 0 is PI/PO/FF position, the length of this axis is called "width". - * Axis 1 is vector/pattern, the length of this axis is called "length". - """ - self.m = m or a.m - elif hasattr(a, 'data'): # assume it is a BPArray. Can't use isinstance() because BPArray isn't declared yet. - self.data = np.zeros((a.width, a.length), dtype=np.uint8) - self.m = m or a.m - for i in range(a.data.shape[-2]): - self.data[...] <<= 1 - self.data[...] |= np.unpackbits(a.data[..., -i-1, :], axis=1)[:, :a.length] - if a.data.shape[-2] == 1: - self.data *= 3 - elif isinstance(a, int): - self.data = np.full((a, 1), UNASSIGNED, dtype=np.uint8) - elif isinstance(a, tuple): - self.data = np.full(a, UNASSIGNED, dtype=np.uint8) - else: - if isinstance(a, str): a = [a] - self.data = np.asarray(interpret(a), dtype=np.uint8) - self.data = self.data[:, np.newaxis] if self.data.ndim == 1 else np.moveaxis(self.data, -2, -1) - - # Cast data to m-valued logic. - if self.m == 2: - self.data[...] = ((self.data & 0b001) & ((self.data >> 1) & 0b001) | (self.data == RISE)) * ONE - elif self.m == 4: - self.data[...] = (self.data & 0b011) & ((self.data != FALL) * ONE) | ((self.data == RISE) * ONE) - elif self.m == 8: - self.data[...] = self.data & 0b111 - - self.length = self.data.shape[-1] - self.width = self.data.shape[-2] - - def __repr__(self): - return f'' - - def __str__(self): - return str([self[idx] for idx in range(self.length)]) - - def __getitem__(self, vector_idx): - """Returns a string representing the desired vector.""" - chars = ["0", "X", "-", "1", "P", "R", "F", "N"] - return ''.join(chars[v] for v in self.data[:, vector_idx]) - - def __len__(self): - return self.length - - -def mv_cast(*args, m=8): - return [a if isinstance(a, MVArray) else MVArray(a, m=m) for a in args] - - -def mv_getm(*args): - return max([a.m for a in args if isinstance(a, MVArray)] + [0]) or 8 - - -def _mv_not(m, out, inp): +def _mv_not(out, inp): np.bitwise_xor(inp, 0b11, out=out) # this also exchanges UNASSIGNED <-> UNKNOWN - if m > 2: - np.putmask(out, (inp == UNKNOWN), UNKNOWN) # restore UNKNOWN + np.putmask(out, (inp == UNKNOWN), UNKNOWN) # restore UNKNOWN -def mv_not(x1, out=None): +def mv_not(x1 : np.ndarray, out=None): """A multi-valued NOT operator. - :param x1: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param out: Optionally an :py:class:`MVArray` as storage destination. If None, a new :py:class:`MVArray` - is returned. - :return: An :py:class:`MVArray` with the result. + :param x1: A multi-valued array. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array with the result. """ - m = mv_getm(x1) - x1 = mv_cast(x1, m=m)[0] - out = out or MVArray(x1.data.shape, m=m) - _mv_not(m, out.data, x1.data) + out = out or np.empty(x1.shape, dtype=np.uint8) + _mv_not(out, x1) return out -def _mv_or(m, out, *ins): - if m > 2: - any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) - for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) - any_one = (ins[0] == ONE) - for inp in ins[1:]: any_one |= (inp == ONE) +def _mv_or(out, *ins): + any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) + for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) + any_one = (ins[0] == ONE) + for inp in ins[1:]: any_one |= (inp == ONE) - out[...] = ZERO - np.putmask(out, any_one, ONE) - for inp in ins: - np.bitwise_or(out, inp, out=out, where=~any_one) - np.putmask(out, (any_unknown & ~any_one), UNKNOWN) - else: - out[...] = ZERO - for inp in ins: np.bitwise_or(out, inp, out=out) + out[...] = ZERO + np.putmask(out, any_one, ONE) + for inp in ins: + np.bitwise_or(out, inp, out=out, where=~any_one) + np.putmask(out, (any_unknown & ~any_one), UNKNOWN) def mv_or(x1, x2, out=None): """A multi-valued OR operator. - :param x1: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param x2: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param out: Optionally an :py:class:`MVArray` as storage destination. If None, a new :py:class:`MVArray` - is returned. - :return: An :py:class:`MVArray` with the result. + :param x1: A multi-valued array. + :param x2: A multi-valued array. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array with the result. """ - m = mv_getm(x1, x2) - x1, x2 = mv_cast(x1, x2, m=m) - out = out or MVArray(np.broadcast(x1.data, x2.data).shape, m=m) - _mv_or(m, out.data, x1.data, x2.data) + out = out or np.empty(np.broadcast(x1, x2).shape, dtype=np.uint8) + _mv_or(out, x1, x2) return out -def _mv_and(m, out, *ins): - if m > 2: - any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) - for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) - any_zero = (ins[0] == ZERO) - for inp in ins[1:]: any_zero |= (inp == ZERO) +def _mv_and(out, *ins): + any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) + for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) + any_zero = (ins[0] == ZERO) + for inp in ins[1:]: any_zero |= (inp == ZERO) - out[...] = ONE - np.putmask(out, any_zero, ZERO) - for inp in ins: - np.bitwise_and(out, inp | 0b100, out=out, where=~any_zero) - if m > 4: np.bitwise_or(out, inp & 0b100, out=out, where=~any_zero) - np.putmask(out, (any_unknown & ~any_zero), UNKNOWN) - else: - out[...] = ONE - for inp in ins: np.bitwise_and(out, inp, out=out) + out[...] = ONE + np.putmask(out, any_zero, ZERO) + for inp in ins: + np.bitwise_and(out, inp | 0b100, out=out, where=~any_zero) + np.bitwise_or(out, inp & 0b100, out=out, where=~any_zero) + np.putmask(out, (any_unknown & ~any_zero), UNKNOWN) def mv_and(x1, x2, out=None): """A multi-valued AND operator. - :param x1: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param x2: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param out: Optionally an :py:class:`MVArray` as storage destination. If None, a new :py:class:`MVArray` - is returned. - :return: An :py:class:`MVArray` with the result. + :param x1: A multi-valued array. + :param x2: A multi-valued array. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array with the result. """ - m = mv_getm(x1, x2) - x1, x2 = mv_cast(x1, x2, m=m) - out = out or MVArray(np.broadcast(x1.data, x2.data).shape, m=m) - _mv_and(m, out.data, x1.data, x2.data) + out = out or np.empty(np.broadcast(x1, x2).shape, dtype=np.uint8) + _mv_and(out, x1, x2) return out -def _mv_xor(m, out, *ins): - if m > 2: - any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) - for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) +def _mv_xor(out, *ins): + any_unknown = (ins[0] == UNKNOWN) | (ins[0] == UNASSIGNED) + for inp in ins[1:]: any_unknown |= (inp == UNKNOWN) | (inp == UNASSIGNED) - out[...] = ZERO - for inp in ins: - np.bitwise_xor(out, inp & 0b011, out=out) - if m > 4: np.bitwise_or(out, inp & 0b100, out=out) - np.putmask(out, any_unknown, UNKNOWN) - else: - out[...] = ZERO - for inp in ins: np.bitwise_xor(out, inp, out=out) + out[...] = ZERO + for inp in ins: + np.bitwise_xor(out, inp & 0b011, out=out) + np.bitwise_or(out, inp & 0b100, out=out) + np.putmask(out, any_unknown, UNKNOWN) def mv_xor(x1, x2, out=None): """A multi-valued XOR operator. - :param x1: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param x2: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param out: Optionally an :py:class:`MVArray` as storage destination. If None, a new :py:class:`MVArray` - is returned. - :return: An :py:class:`MVArray` with the result. + :param x1: A multi-valued array. + :param x2: A multi-valued array. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array with the result. """ - m = mv_getm(x1, x2) - x1, x2 = mv_cast(x1, x2, m=m) - out = out or MVArray(np.broadcast(x1.data, x2.data).shape, m=m) - _mv_xor(m, out.data, x1.data, x2.data) + out = out or np.empty(np.broadcast(x1, x2).shape, dtype=np.uint8) + _mv_xor(out, x1, x2) return out def mv_latch(d, t, q_prev, out=None): - """A latch that is transparent if `t` is high. `q_prev` has to be the output value from the previous clock cycle. + """A multi-valued latch operator. + + A latch outputs ``d`` when transparent (``t`` is high). + It outputs ``q_prev`` when in latched state (``t`` is low). + + :param d: A multi-valued array for the data input. + :param t: A multi-valued array for the control input. + :param q_prev: A multi-valued array with the output value of this latch from the previous clock cycle. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array for the latch output ``q``. """ - m = mv_getm(d, t, q_prev) - d, t, q_prev = mv_cast(d, t, q_prev, m=m) - out = out or MVArray(np.broadcast(d.data, t.data, q_prev).shape, m=m) - out.data[...] = t.data & d.data & 0b011 - out.data[...] |= ~t.data & 0b010 & (q_prev.data << 1) - out.data[...] |= ~t.data & 0b001 & (out.data >> 1) - out.data[...] |= ((out.data << 1) ^ (out.data << 2)) & 0b100 - unknown = (t.data == UNKNOWN) \ - | (t.data == UNASSIGNED) \ - | (((d.data == UNKNOWN) | (d.data == UNASSIGNED)) & (t.data != ZERO)) - np.putmask(out.data, unknown, UNKNOWN) + out = out or np.empty(np.broadcast(d, t, q_prev).shape, dtype=np.uint8) + out[...] = t & d & 0b011 + out[...] |= ~t & 0b010 & (q_prev << 1) + out[...] |= ~t & 0b001 & (out >> 1) + out[...] |= ((out << 1) ^ (out << 2)) & 0b100 + unknown = (t == UNKNOWN) \ + | (t == UNASSIGNED) \ + | (((d == UNKNOWN) | (d == UNASSIGNED)) & (t != ZERO)) + np.putmask(out, unknown, UNKNOWN) return out @@ -313,191 +243,191 @@ def mv_transition(init, final, out=None): Pulses in the input data are ignored. If any of the inputs are ``UNKNOWN``, the result is ``UNKNOWN``. If both inputs are ``UNASSIGNED``, the result is ``UNASSIGNED``. - :param init: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param final: An :py:class:`MVArray` or data the :py:class:`MVArray` constructor accepts. - :param out: Optionally an :py:class:`MVArray` as storage destination. If None, a new :py:class:`MVArray` - is returned. - :return: An :py:class:`MVArray` with the result. + :param init: A multi-valued array. + :param final: A multi-valued array. + :param out: An optional storage destination. If None, a new multi-valued array is returned. + :return: A multi-valued array with the result. """ - m = mv_getm(init, final) - init, final = mv_cast(init, final, m=m) - init = init.data - final = final.data - out = out or MVArray(np.broadcast(init, final).shape, m=8) - out.data[...] = (init & 0b010) | (final & 0b001) - out.data[...] |= ((out.data << 1) ^ (out.data << 2)) & 0b100 + out = out or np.empty(np.broadcast(init, final).shape, dtype=np.uint8) + out[...] = (init & 0b010) | (final & 0b001) + out[...] |= ((out << 1) ^ (out << 2)) & 0b100 unknown = (init == UNKNOWN) | (init == UNASSIGNED) | (final == UNKNOWN) | (final == UNASSIGNED) unassigned = (init == UNASSIGNED) & (final == UNASSIGNED) - np.putmask(out.data, unknown, UNKNOWN) - np.putmask(out.data, unassigned, UNASSIGNED) + np.putmask(out, unknown, UNKNOWN) + np.putmask(out, unassigned, UNASSIGNED) return out -class BPArray: - """An n-dimensional array of m-valued logic values that uses bit-parallel storage. +def mv_to_bp(mva): + """Converts a multi-valued array into a bit-parallel array. + """ + if mva.ndim == 1: mva = mva[..., np.newaxis] + return np.packbits(unpackbits(mva)[...,:3], axis=-2, bitorder='little').swapaxes(-1,-2) + + +def bparray(*a): + """Converts (lists of) Boolean values or strings into a bit-parallel array. + + The given values are interpreted and the axes are arranged as per KyuPy's convention. + Use this function to convert strings into bit-parallel arrays. + """ + return mv_to_bp(mvarray(*a)) - The primary use of this format is in aiding efficient bit-parallel logic simulation. - The secondary benefit over :py:class:`MVArray` is its memory efficiency. - Accessing individual values is more expensive than with :py:class:`MVArray`. - Therefore it may be more efficient to unpack the data into an :py:class:`MVArray` and pack it again into a - :py:class:`BPArray` for simulation. - See :py:class:`MVArray` for constructor parameters. +def bp_to_mv(bpa): + """Converts a bit-parallel array into a multi-valued array. """ + return packbits(np.unpackbits(bpa, axis=-1, bitorder='little').swapaxes(-1,-2)) - def __init__(self, a, m=None): - if not isinstance(a, MVArray) and not isinstance(a, BPArray): - a = MVArray(a, m) - self.m = a.m - if isinstance(a, MVArray): - if m is not None and m != a.m: - a = MVArray(a, m) # cast data - self.m = a.m - assert self.m in [2, 4, 8] - nwords = math.ceil(math.log2(self.m)) - nbytes = (a.data.shape[-1] - 1) // 8 + 1 - self.data = np.zeros(a.data.shape[:-1] + (nwords, nbytes), dtype=np.uint8) - """The wrapped 3-dimensional ndarray. - - * Axis 0 is PI/PO/FF position, the length of this axis is called "width". - * Axis 1 has length ``ceil(log2(m))`` for storing all bits. - * Axis 2 are the vectors/patterns packed into uint8 words. - """ - for i in range(self.data.shape[-2]): - self.data[..., i, :] = np.packbits((a.data >> i) & 1, axis=-1) - else: # we have a BPArray - self.data = a.data.copy() # TODO: support conversion to different m - self.m = a.m - self.length = a.length - self.width = a.width - - def __repr__(self): - return f'' - - def __len__(self): - return self.length - - -def bp_buf(out, inp): - md = out.shape[-2] - assert md == inp.shape[-2] - if md > 1: - unknown = inp[..., 0, :] ^ inp[..., 1, :] - if md > 2: unknown &= ~inp[..., 2, :] - out[..., 0, :] = inp[..., 0, :] | unknown - out[..., 1, :] = inp[..., 1, :] & ~unknown - if md > 2: out[..., 2, :] = inp[..., 2, :] & ~unknown - else: - out[..., 0, :] = inp[..., 0, :] - - -def bp_not(out, inp): - md = out.shape[-2] - assert md == inp.shape[-2] - if md > 1: - unknown = inp[..., 0, :] ^ inp[..., 1, :] - if md > 2: unknown &= ~inp[..., 2, :] - out[..., 0, :] = ~inp[..., 0, :] | unknown - out[..., 1, :] = ~inp[..., 1, :] & ~unknown - if md > 2: out[..., 2, :] = inp[..., 2, :] & ~unknown - else: - out[..., 0, :] = ~inp[..., 0, :] - - -def bp_or(out, *ins): - md = out.shape[-2] - for inp in ins: assert md == inp.shape[-2] + +def bp4v_buf(out, inp): + unknown = inp[..., 0, :] ^ inp[..., 1, :] + out[..., 0, :] = inp[..., 0, :] | unknown + out[..., 1, :] = inp[..., 1, :] & ~unknown + return out + + +def bp8v_buf(out, inp): + unknown = (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] + out[..., 0, :] = inp[..., 0, :] | unknown + out[..., 1, :] = inp[..., 1, :] & ~unknown + out[..., 2, :] = inp[..., 2, :] & ~unknown + return out + + +def bp4v_not(out, inp): + unknown = inp[..., 0, :] ^ inp[..., 1, :] + out[..., 0, :] = ~inp[..., 0, :] | unknown + out[..., 1, :] = ~inp[..., 1, :] & ~unknown + return out + + +def bp8v_not(out, inp): + unknown = (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] + out[..., 0, :] = ~inp[..., 0, :] | unknown + out[..., 1, :] = ~inp[..., 1, :] & ~unknown + out[..., 2, :] = inp[..., 2, :] & ~unknown + return out + + +def bp4v_or(out, *ins): out[...] = 0 - if md == 1: - for inp in ins: out[..., 0, :] |= inp[..., 0, :] - elif md == 2: - any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] - for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] - any_one = ins[0][..., 0, :] & ins[0][..., 1, :] - for inp in ins[1:]: any_one |= inp[..., 0, :] & inp[..., 1, :] - for inp in ins: - out[..., 0, :] |= inp[..., 0, :] | any_unknown - out[..., 1, :] |= inp[..., 1, :] & (~any_unknown | any_one) - else: - any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] - for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] - any_one = ins[0][..., 0, :] & ins[0][..., 1, :] & ~ins[0][..., 2, :] - for inp in ins[1:]: any_one |= inp[..., 0, :] & inp[..., 1, :] & ~inp[..., 2, :] - for inp in ins: - out[..., 0, :] |= inp[..., 0, :] | any_unknown - out[..., 1, :] |= inp[..., 1, :] & (~any_unknown | any_one) - out[..., 2, :] |= inp[..., 2, :] & (~any_unknown | any_one) & ~any_one - - -def bp_and(out, *ins): - md = out.shape[-2] - for inp in ins: assert md == inp.shape[-2] + any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] + for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] + any_one = ins[0][..., 0, :] & ins[0][..., 1, :] + for inp in ins[1:]: any_one |= inp[..., 0, :] & inp[..., 1, :] + for inp in ins: + out[..., 0, :] |= inp[..., 0, :] | any_unknown + out[..., 1, :] |= inp[..., 1, :] & (~any_unknown | any_one) + return out + + +def bp8v_or(out, *ins): + out[...] = 0 + any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] + for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] + any_one = ins[0][..., 0, :] & ins[0][..., 1, :] & ~ins[0][..., 2, :] + for inp in ins[1:]: any_one |= inp[..., 0, :] & inp[..., 1, :] & ~inp[..., 2, :] + for inp in ins: + out[..., 0, :] |= inp[..., 0, :] | any_unknown + out[..., 1, :] |= inp[..., 1, :] & (~any_unknown | any_one) + out[..., 2, :] |= inp[..., 2, :] & (~any_unknown | any_one) & ~any_one + return out + + +def bp4v_and(out, *ins): + out[...] = 0xff + any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] + for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] + any_zero = ~ins[0][..., 0, :] & ~ins[0][..., 1, :] + for inp in ins[1:]: any_zero |= ~inp[..., 0, :] & ~inp[..., 1, :] + for inp in ins: + out[..., 0, :] &= inp[..., 0, :] | (any_unknown & ~any_zero) + out[..., 1, :] &= inp[..., 1, :] & ~any_unknown + return out + + +def bp8v_and(out, *ins): out[...] = 0xff - if md == 1: - for inp in ins: out[..., 0, :] &= inp[..., 0, :] - elif md == 2: - any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] - for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] - any_zero = ~ins[0][..., 0, :] & ~ins[0][..., 1, :] - for inp in ins[1:]: any_zero |= ~inp[..., 0, :] & ~inp[..., 1, :] - for inp in ins: - out[..., 0, :] &= inp[..., 0, :] | (any_unknown & ~any_zero) - out[..., 1, :] &= inp[..., 1, :] & ~any_unknown - else: - any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] - for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] - any_zero = ~ins[0][..., 0, :] & ~ins[0][..., 1, :] & ~ins[0][..., 2, :] - for inp in ins[1:]: any_zero |= ~inp[..., 0, :] & ~inp[..., 1, :] & ~inp[..., 2, :] - out[..., 2, :] = 0 - for inp in ins: - out[..., 0, :] &= inp[..., 0, :] | (any_unknown & ~any_zero) - out[..., 1, :] &= inp[..., 1, :] & ~any_unknown - out[..., 2, :] |= inp[..., 2, :] & (~any_unknown | any_zero) & ~any_zero - - -def bp_xor(out, *ins): - md = out.shape[-2] - for inp in ins: assert md == inp.shape[-2] + any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] + for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] + any_zero = ~ins[0][..., 0, :] & ~ins[0][..., 1, :] & ~ins[0][..., 2, :] + for inp in ins[1:]: any_zero |= ~inp[..., 0, :] & ~inp[..., 1, :] & ~inp[..., 2, :] + out[..., 2, :] = 0 + for inp in ins: + out[..., 0, :] &= inp[..., 0, :] | (any_unknown & ~any_zero) + out[..., 1, :] &= inp[..., 1, :] & ~any_unknown + out[..., 2, :] |= inp[..., 2, :] & (~any_unknown | any_zero) & ~any_zero + return out + + +def bp4v_xor(out, *ins): out[...] = 0 - if md == 1: - for inp in ins: out[..., 0, :] ^= inp[..., 0, :] - elif md == 2: - any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] - for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] - for inp in ins: out[...] ^= inp - out[..., 0, :] |= any_unknown - out[..., 1, :] &= ~any_unknown - else: - any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] - for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] - for inp in ins: - out[..., 0, :] ^= inp[..., 0, :] - out[..., 1, :] ^= inp[..., 1, :] - out[..., 2, :] |= inp[..., 2, :] - out[..., 0, :] |= any_unknown - out[..., 1, :] &= ~any_unknown - out[..., 2, :] &= ~any_unknown - - -def bp_latch(out, d, t, q_prev): - md = out.shape[-2] - assert md == d.shape[-2] - assert md == t.shape[-2] - assert md == q_prev.shape[-2] - if md == 1: - out[...] = (d & t) | (q_prev & ~t) - elif md == 2: - any_unknown = t[..., 0, :] ^ t[..., 1, :] - any_unknown |= (d[..., 0, :] ^ d[..., 1, :]) & (t[..., 0, :] | t[..., 1, :]) - out[...] = (d & t) | (q_prev & ~t) - out[..., 0, :] |= any_unknown - out[..., 1, :] &= ~any_unknown - else: - any_unknown = (t[..., 0, :] ^ t[..., 1, :]) & ~t[..., 2, :] - any_unknown |= ((d[..., 0, :] ^ d[..., 1, :]) & ~d[..., 2, :]) & (t[..., 0, :] | t[..., 1, :] | t[..., 2, :]) - out[..., 1, :] = (d[..., 1, :] & t[..., 1, :]) | (q_prev[..., 0, :] & ~t[..., 1, :]) - out[..., 0, :] = (d[..., 0, :] & t[..., 0, :]) | (out[..., 1, :] & ~t[..., 0, :]) - out[..., 2, :] = out[..., 1, :] ^ out[..., 0, :] - out[..., 0, :] |= any_unknown - out[..., 1, :] &= ~any_unknown - out[..., 2, :] &= ~any_unknown + any_unknown = ins[0][..., 0, :] ^ ins[0][..., 1, :] + for inp in ins[1:]: any_unknown |= inp[..., 0, :] ^ inp[..., 1, :] + for inp in ins: + out[..., 0, :] ^= inp[..., 0, :] + out[..., 1, :] ^= inp[..., 1, :] + out[..., 0, :] |= any_unknown + out[..., 1, :] &= ~any_unknown + return out + + +def bp8v_xor(out, *ins): + out[...] = 0 + any_unknown = (ins[0][..., 0, :] ^ ins[0][..., 1, :]) & ~ins[0][..., 2, :] + for inp in ins[1:]: any_unknown |= (inp[..., 0, :] ^ inp[..., 1, :]) & ~inp[..., 2, :] + for inp in ins: + out[..., 0, :] ^= inp[..., 0, :] + out[..., 1, :] ^= inp[..., 1, :] + out[..., 2, :] |= inp[..., 2, :] + out[..., 0, :] |= any_unknown + out[..., 1, :] &= ~any_unknown + out[..., 2, :] &= ~any_unknown + return out + + +def bp8v_latch(out, d, t, q_prev): + any_unknown = (t[..., 0, :] ^ t[..., 1, :]) & ~t[..., 2, :] + any_unknown |= ((d[..., 0, :] ^ d[..., 1, :]) & ~d[..., 2, :]) & (t[..., 0, :] | t[..., 1, :] | t[..., 2, :]) + out[..., 1, :] = (d[..., 1, :] & t[..., 1, :]) | (q_prev[..., 0, :] & ~t[..., 1, :]) + out[..., 0, :] = (d[..., 0, :] & t[..., 0, :]) | (out[..., 1, :] & ~t[..., 0, :]) + out[..., 2, :] = out[..., 1, :] ^ out[..., 0, :] + out[..., 0, :] |= any_unknown + out[..., 1, :] &= ~any_unknown + out[..., 2, :] &= ~any_unknown + return out + + +_bit_in_lut = np.array([2 ** x for x in range(7, -1, -1)], dtype='uint8') + + +@numba.njit +def bit_in(a, pos): + return a[pos >> 3] & _bit_in_lut[pos & 7] + + +def unpackbits(a : np.ndarray): + """Unpacks the bits of given ndarray ``a``. + + Similar to ``np.unpackbits``, but accepts any dtype, preserves the shape of ``a`` and + adds a new last axis with the bits of each item. Bits are in 'little'-order, i.e., + a[...,0] is the least significant bit of each item. + """ + return np.unpackbits(a.view(np.uint8), bitorder='little').reshape(*a.shape, 8*a.itemsize) + + +def packbits(a, dtype=np.uint8): + """Packs the values of a boolean-valued array ``a`` along its last axis into bits. + + Similar to ``np.packbits``, but returns an array of given dtype and the shape of ``a`` with the last axis removed. + The last axis of `a` is truncated or padded according to the bit-width of the given dtype. + Signed integer datatypes are padded with the most significant bit, all others are padded with `0`. + """ + dtype = np.dtype(dtype) + bits = 8 * dtype.itemsize + a = a[...,:bits] + if a.shape[-1] < bits: + p = [(0,0)]*(len(a.shape)-1) + [(0, bits-a.shape[-1])] + a = np.pad(a, p, 'edge') if dtype.name[0] == 'i' else np.pad(a, p, 'constant', constant_values=0) + return np.packbits(a, bitorder='little').view(dtype).reshape(a.shape[:-1]) diff --git a/src/kyupy/logic_sim.py b/src/kyupy/logic_sim.py index 29be2b6..81ef44b 100644 --- a/src/kyupy/logic_sim.py +++ b/src/kyupy/logic_sim.py @@ -1,7 +1,7 @@ """A high-throughput combinational logic simulator. The class :py:class:`~kyupy.logic_sim.LogicSim` performs parallel simulations of the combinational part of a circuit. -The logic operations are performed bit-parallel on packed numpy arrays. +The logic operations are performed bit-parallel on packed numpy arrays (see bit-parallel (bp) array description in :py:mod:`~kyupy.logic`). Simple sequential circuits can be simulated by repeated assignments and propagations. However, this simulator ignores the clock network and simply assumes that all state-elements are clocked all the time. """ @@ -10,127 +10,52 @@ import math import numpy as np -from . import logic, hr_bytes +from . import numba, logic, hr_bytes, sim +from .circuit import Circuit - -class LogicSim: +class LogicSim(sim.SimOps): """A bit-parallel naïve combinational simulator for 2-, 4-, or 8-valued logic. :param circuit: The circuit to simulate. - :type circuit: :py:class:`~kyupy.circuit.Circuit` :param sims: The number of parallel logic simulations to perform. - :type sims: int :param m: The arity of the logic, must be 2, 4, or 8. - :type m: int + :param c_reuse: If True, intermediate signal values may get overwritten when not needed anymore to save memory. + :param strip_forks: If True, forks are not included in the simulation model to save memory and simulation time. """ - def __init__(self, circuit, sims=8, m=8): + def __init__(self, circuit: Circuit, sims: int = 8, m: int = 8, c_reuse: bool = False, strip_forks: bool = False): assert m in [2, 4, 8] + super().__init__(circuit, c_reuse=c_reuse, strip_forks=strip_forks) self.m = m - mdim = math.ceil(math.log2(m)) - self.circuit = circuit + self.mdim = math.ceil(math.log2(m)) self.sims = sims nbytes = (sims - 1) // 8 + 1 - dffs = [n for n in circuit.nodes if 'dff' in n.kind.lower()] - latches = [n for n in circuit.nodes if 'latch' in n.kind.lower()] - self.interface = list(circuit.interface) + dffs + latches - - self.width = len(self.interface) - """The number of bits in the circuit state (number of ports + number of state-elements).""" - - self.state = np.zeros((len(circuit.lines), mdim, nbytes), dtype='uint8') - self.state_epoch = np.zeros(len(circuit.nodes), dtype='int8') - 1 - self.tmp = np.zeros((5, mdim, nbytes), dtype='uint8') - self.zero = np.zeros((mdim, nbytes), dtype='uint8') - self.epoch = 0 - - self.latch_dict = dict((n.index, i) for i, n in enumerate(latches)) - self.latch_state = np.zeros((len(latches), mdim, nbytes), dtype='uint8') - - known_fct = [(f[:-4], getattr(self, f)) for f in dir(self) if f.endswith('_fct')] - self.node_fct = [] - for n in circuit.nodes: - t = n.kind.lower().replace('__fork__', 'fork') - t = t.replace('nbuff', 'fork') - t = t.replace('input', 'fork') - t = t.replace('output', 'fork') - t = t.replace('__const0__', 'const0') - t = t.replace('__const1__', 'const1') - t = t.replace('tieh', 'const1') - t = t.replace('ibuff', 'not') - t = t.replace('inv', 'not') - - fcts = [f for n, f in known_fct if t.startswith(n)] - if len(fcts) < 1: - raise ValueError(f'Unknown node kind {n.kind}') - self.node_fct.append(fcts[0]) - def __repr__(self): - return f'' + self.c = np.zeros((self.c_len, self.mdim, nbytes), dtype=np.uint8) + self.s = np.zeros((2, self.s_len, 3, nbytes), dtype=np.uint8) + """Logic values of the sequential elements (flip-flops) and ports. - def assign(self, stimuli): - """Assign stimuli to the primary inputs and state-elements (flip-flops). + It is a pair of arrays in bit-parallel (bp) storage format: - :param stimuli: The input data to assign. Must be in bit-parallel storage format and in a compatible shape. - :type stimuli: :py:class:`~kyupy.logic.BPArray` - :returns: The given stimuli object. - """ - for node, stim in zip(self.interface, stimuli.data if hasattr(stimuli, 'data') else stimuli): - if len(node.outs) == 0: continue - if node.index in self.latch_dict: - self.latch_state[self.latch_dict[node.index]] = stim - else: - outputs = [self.state[line] if line else self.tmp[3] for line in node.outs] - self.node_fct[node]([stim], outputs) - for line in node.outs: - if line is not None: self.state_epoch[line.reader] = self.epoch - for n in self.circuit.nodes: - if n.kind in ('__const1__', '__const0__'): - outputs = [self.state[line] if line else self.tmp[3] for line in n.outs] - self.node_fct[n]([], outputs) - for line in n.outs: - if line is not None: self.state_epoch[line.reader] = self.epoch - return stimuli - - def capture(self, responses): - """Capture the current values at the primary outputs and in the state-elements (flip-flops). - For primary outputs, the logic value is stored unmodified in the given target array. - For flip-flops, the logic value is constructed from the previous state and the new state. - - :param responses: A bit-parallel storage target for the responses in a compatible shape. - :type responses: :py:class:`~kyupy.logic.BPArray` - :returns: The given responses object. + * ``s[0]`` Assigned values. Simulator will read (P)PI value from here. + * ``s[1]`` Result values. Simulator will write (P)PO values here. + + Access this array to assign new values to the (P)PIs or read values from the (P)POs. """ - for node, resp in zip(self.interface, responses.data if hasattr(responses, 'data') else responses): - if len(node.ins) == 0: continue - if node.index in self.latch_dict: - resp[...] = self.state[node.outs[0]] - else: - resp[...] = self.state[node.ins[0]] - # FIXME: unclear why we should use outs for DFFs - #if self.m > 2 and 'dff' in node.kind.lower() and len(node.outs) > 0: - # if node.outs[0] is None: - # resp[1, :] = ~self.state[node.outs[1], 0, :] # assume QN is connected, take inverse of that. - # else: - # resp[1, :] = self.state[node.outs[0], 0, :] - # if self.m > 4: - # resp[..., 2, :] = resp[..., 0, :] ^ resp[..., 1, :] - # # We don't handle X or - correctly. - - return responses - - def propagate(self, inject_cb=None): - """Propagate the input values towards the outputs (Perform all logic operations in topological order). + self.s[:,:,1,:] = 255 # unassigned - If the circuit is sequential (it contains flip-flops), one call simulates one clock cycle. - Multiple clock cycles are simulated by a assign-propagate-capture loop: + def __repr__(self): + return f'{{name: "{self.circuit.name}", sims: {self.sims}, m: {self.m}, c_bytes: {self.c.nbytes}}}' + + def s_to_c(self): + """Copies the values from ``s[0]`` the inputs of the combinational portion. + """ + self.c[self.pippi_c_locs] = self.s[0, self.pippi_s_locs, :self.mdim] - .. code-block:: python + def c_prop(self, inject_cb=None): + """Propagate the input values through the combinational circuit towards the outputs. - # initial state in state_bp - for cycle in range(10): # simulate 10 clock cycles - sim.assign(state_bp) - sim.propagate() - sim.capture(state_bp) + Performs all logic operations in topological order. + If the circuit is sequential (it contains flip-flops), one call simulates one clock cycle. :param inject_cb: A callback function for manipulating intermediate signal values. This function is called with a line and its new logic values (in bit-parallel format) after @@ -138,83 +63,273 @@ class LogicSim: resumes with the manipulated values after the callback returns. :type inject_cb: ``f(Line, ndarray)`` """ - for node in self.circuit.topological_order(): - if self.state_epoch[node] != self.epoch: continue - inputs = [self.state[line] if line else self.zero for line in node.ins] - outputs = [self.state[line] if line else self.tmp[3] for line in node.outs] - if node.index in self.latch_dict: - inputs.append(self.latch_state[self.latch_dict[node.index]]) - self.node_fct[node](inputs, outputs) - for line in node.outs: - if inject_cb is not None: inject_cb(line, self.state[line]) - self.state_epoch[line.reader] = self.epoch - self.epoch = (self.epoch + 1) % 128 - - def cycle(self, state, inject_cb=None): - """Assigns the given state, propagates it and captures the new state. - - :param state: A bit-parallel array in a compatible shape holding the current circuit state. - The contained data is assigned to the PI and PPI and overwritten by data at the PO and PPO after - propagation. - :type state: :py:class:`~kyupy.logic.BPArray` - :param inject_cb: A callback function for manipulating intermediate signal values. See :py:func:`propagate`. - :returns: The given state object. + t0 = self.c_locs[self.tmp_idx] + t1 = self.c_locs[self.tmp2_idx] + if self.m == 2: + if inject_cb is None: + _prop_cpu(self.ops, self.c_locs, self.c) + else: + for op, o0, i0, i1, i2, i3 in self.ops[:,:6]: + o0, i0, i1, i2, i3 = [self.c_locs[x] for x in (o0, i0, i1, i2, i3)] + if op == sim.BUF1: self.c[o0]=self.c[i0] + elif op == sim.INV1: self.c[o0] = ~self.c[i0] + elif op == sim.AND2: self.c[o0] = self.c[i0] & self.c[i1] + elif op == sim.AND3: self.c[o0] = self.c[i0] & self.c[i1] & self.c[i2] + elif op == sim.AND4: self.c[o0] = self.c[i0] & self.c[i1] & self.c[i2] & self.c[i3] + elif op == sim.NAND2: self.c[o0] = ~(self.c[i0] & self.c[i1]) + elif op == sim.NAND3: self.c[o0] = ~(self.c[i0] & self.c[i1] & self.c[i2]) + elif op == sim.NAND4: self.c[o0] = ~(self.c[i0] & self.c[i1] & self.c[i2] & self.c[i3]) + elif op == sim.OR2: self.c[o0] = self.c[i0] | self.c[i1] + elif op == sim.OR3: self.c[o0] = self.c[i0] | self.c[i1] | self.c[i2] + elif op == sim.OR4: self.c[o0] = self.c[i0] | self.c[i1] | self.c[i2] | self.c[i3] + elif op == sim.NOR2: self.c[o0] = ~(self.c[i0] | self.c[i1]) + elif op == sim.NOR3: self.c[o0] = ~(self.c[i0] | self.c[i1] | self.c[i2]) + elif op == sim.NOR4: self.c[o0] = ~(self.c[i0] | self.c[i1] | self.c[i2] | self.c[i3]) + elif op == sim.XOR2: self.c[o0] = self.c[i0] ^ self.c[i1] + elif op == sim.XOR3: self.c[o0] = self.c[i0] ^ self.c[i1] ^ self.c[i2] + elif op == sim.XOR4: self.c[o0] = self.c[i0] ^ self.c[i1] ^ self.c[i2] ^ self.c[i3] + elif op == sim.XNOR2: self.c[o0] = ~(self.c[i0] ^ self.c[i1]) + elif op == sim.XNOR3: self.c[o0] = ~(self.c[i0] ^ self.c[i1] ^ self.c[i2]) + elif op == sim.XNOR4: self.c[o0] = ~(self.c[i0] ^ self.c[i1] ^ self.c[i2] ^ self.c[i3]) + elif op == sim.AO21: self.c[o0] = (self.c[i0] & self.c[i1]) | self.c[i2] + elif op == sim.AOI21: self.c[o0] = ~((self.c[i0] & self.c[i1]) | self.c[i2]) + elif op == sim.OA21: self.c[o0] = (self.c[i0] | self.c[i1]) & self.c[i2] + elif op == sim.OAI21: self.c[o0] = ~((self.c[i0] | self.c[i1]) & self.c[i2]) + elif op == sim.AO22: self.c[o0] = (self.c[i0] & self.c[i1]) | (self.c[i2] & self.c[i3]) + elif op == sim.AOI22: self.c[o0] = ~((self.c[i0] & self.c[i1]) | (self.c[i2] & self.c[i3])) + elif op == sim.OA22: self.c[o0] = (self.c[i0] | self.c[i1]) & (self.c[i2] | self.c[i3]) + elif op == sim.OAI22: self.c[o0] = ~((self.c[i0] | self.c[i1]) & (self.c[i2] | self.c[i3])) + elif op == sim.AO211: self.c[o0] = (self.c[i0] & self.c[i1]) | self.c[i2] | self.c[i3] + elif op == sim.AOI211:self.c[o0] = ~((self.c[i0] & self.c[i1]) | self.c[i2] | self.c[i3]) + elif op == sim.OA211: self.c[o0] = (self.c[i0] | self.c[i1]) & self.c[i2] & self.c[i3] + elif op == sim.OAI211:self.c[o0] = ~((self.c[i0] | self.c[i1]) & self.c[i2] & self.c[i3]) + elif op == sim.MUX21: self.c[o0] = (self.c[i0] & ~self.c[i2]) | (self.c[i1] & self.c[i2]) + else: print(f'unknown op {op}') + inject_cb(o0, self.s[o0]) + elif self.m == 4: + for op, o0, i0, i1, i2, i3 in self.ops[:,:6]: + o0, i0, i1, i2, i3 = [self.c_locs[x] for x in (o0, i0, i1, i2, i3)] + if op == sim.BUF1: self.c[o0]=self.c[i0] + elif op == sim.INV1: logic.bp4v_not(self.c[o0], self.c[i0]) + elif op == sim.AND2: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.AND3: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.AND4: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.NAND2: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.NAND3: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.NAND4: logic.bp4v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.OR2: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.OR3: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.OR4: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.NOR2: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.NOR3: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.NOR4: logic.bp4v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.XOR2: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.XOR3: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.XOR4: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.XNOR2: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.XNOR3: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.XNOR4: logic.bp4v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.AO21: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[i2]) + elif op == sim.AOI21: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[i2]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.OA21: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[i2]) + elif op == sim.OAI21: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[i2]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.AO22: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[t1], self.c[i2], self.c[i3]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[t1]) + elif op == sim.AOI22: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[t1], self.c[i2], self.c[i3]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[t1]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.OA22: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[t1], self.c[i2], self.c[i3]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[t1]) + elif op == sim.OAI22: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[t1], self.c[i2], self.c[i3]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[t1]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.AO211: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + elif op == sim.AOI211: + logic.bp4v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.OA211: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + elif op == sim.OAI211: + logic.bp4v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp4v_and(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + logic.bp4v_not(self.c[o0], self.c[o0]) + elif op == sim.MUX21: + logic.bp4v_not(self.c[t1], self.c[i2]) + logic.bp4v_and(self.c[t0], self.c[i0], self.c[t1]) + logic.bp4v_and(self.c[t1], self.c[i1], self.c[i2]) + logic.bp4v_or(self.c[o0], self.c[t0], self.c[t1]) + else: print(f'unknown op {op}') + else: + for op, o0, i0, i1, i2, i3 in self.ops[:,:6]: + o0, i0, i1, i2, i3 = [self.c_locs[x] for x in (o0, i0, i1, i2, i3)] + if op == sim.BUF1: self.c[o0]=self.c[i0] + elif op == sim.INV1: logic.bp8v_not(self.c[o0], self.c[i0]) + elif op == sim.AND2: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.AND3: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.AND4: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.NAND2: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.NAND3: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.NAND4: logic.bp8v_and(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.OR2: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.OR3: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.OR4: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.NOR2: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.NOR3: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.NOR4: logic.bp8v_or(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.XOR2: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1]) + elif op == sim.XOR3: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2]) + elif op == sim.XOR4: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]) + elif op == sim.XNOR2: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.XNOR3: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.XNOR4: logic.bp8v_xor(self.c[o0], self.c[i0], self.c[i1], self.c[i2], self.c[i3]); logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.AO21: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[i2]) + elif op == sim.AOI21: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[i2]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.OA21: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[i2]) + elif op == sim.OAI21: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[i2]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.AO22: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[t1], self.c[i2], self.c[i3]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[t1]) + elif op == sim.AOI22: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[t1], self.c[i2], self.c[i3]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[t1]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.OA22: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[t1], self.c[i2], self.c[i3]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[t1]) + elif op == sim.OAI22: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[t1], self.c[i2], self.c[i3]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[t1]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.AO211: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + elif op == sim.AOI211: + logic.bp8v_and(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.OA211: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + elif op == sim.OAI211: + logic.bp8v_or(self.c[t0], self.c[i0], self.c[i1]) + logic.bp8v_and(self.c[o0], self.c[t0], self.c[i2], self.c[i3]) + logic.bp8v_not(self.c[o0], self.c[o0]) + elif op == sim.MUX21: + logic.bp8v_not(self.c[t1], self.c[i2]) + logic.bp8v_and(self.c[t0], self.c[i0], self.c[t1]) + logic.bp8v_and(self.c[t1], self.c[i1], self.c[i2]) + logic.bp8v_or(self.c[o0], self.c[t0], self.c[t1]) + else: print(f'unknown op {op}') + if inject_cb is not None: inject_cb(o0, self.s[o0]) + + def c_to_s(self): + """Copies (captures) the results of the combinational portion to ``s[1]``. """ - self.assign(state) - self.propagate(inject_cb) - return self.capture(state) - - def fork_fct(self, inputs, outputs): - for o in outputs: o[...] = inputs[0] + self.s[1, self.poppo_s_locs, :self.mdim] = self.c[self.poppo_c_locs] + if self.mdim == 1: + self.s[1, self.poppo_s_locs, 1:2] = self.c[self.poppo_c_locs] - def const0_fct(self, _, outputs): - for o in outputs: o[...] = 0 + def s_ppo_to_ppi(self): + """Constructs a new assignment based on the current data in ``s``. - def const1_fct(self, _, outputs): - for o in outputs: - o[...] = 0 - logic.bp_not(o, o) + Use this function for simulating consecutive clock cycles. - def not_fct(self, inputs, outputs): - logic.bp_not(outputs[0], inputs[0]) - - def and_fct(self, inputs, outputs): - logic.bp_and(outputs[0], *inputs) - - def or_fct(self, inputs, outputs): - logic.bp_or(outputs[0], *inputs) - - def xor_fct(self, inputs, outputs): - logic.bp_xor(outputs[0], *inputs) - - def sdff_fct(self, inputs, outputs): - logic.bp_buf(outputs[0], inputs[0]) - if len(outputs) > 1: - logic.bp_not(outputs[1], inputs[0]) - - def dff_fct(self, inputs, outputs): - logic.bp_buf(outputs[0], inputs[0]) - if len(outputs) > 1: - logic.bp_not(outputs[1], inputs[0]) - - def latch_fct(self, inputs, outputs): - logic.bp_latch(outputs[0], inputs[0], inputs[1], inputs[2]) - if len(outputs) > 1: - logic.bp_not(outputs[1], inputs[0]) - - def nand_fct(self, inputs, outputs): - logic.bp_and(outputs[0], *inputs) - logic.bp_not(outputs[0], outputs[0]) - - def nor_fct(self, inputs, outputs): - logic.bp_or(outputs[0], *inputs) - logic.bp_not(outputs[0], outputs[0]) - - def xnor_fct(self, inputs, outputs): - logic.bp_xor(outputs[0], *inputs) - logic.bp_not(outputs[0], outputs[0]) - - def aoi21_fct(self, inputs, outputs): - logic.bp_and(self.tmp[0], inputs[0], inputs[1]) - logic.bp_or(outputs[0], self.tmp[0], inputs[2]) - logic.bp_not(outputs[0], outputs[0]) \ No newline at end of file + For 2-valued or 4-valued simulations, all valued from PPOs (in ``s[1]``) and copied to the PPIs (in ``s[0]``). + For 8-valued simulations, PPI transitions are constructed from the final values of the assignment (in ``s[0]``) and the + final values of the results (in ``s[1]``). + """ + # TODO: handle latches correctly + if self.mdim < 3: + self.s[0, self.ppio_s_locs] = self.s[1, self.ppio_s_locs] + else: + self.s[0, self.ppio_s_locs, 1] = self.s[0, self.ppio_s_locs, 0] # initial value is previously assigned final value + self.s[0, self.ppio_s_locs, 0] = self.s[1, self.ppio_s_locs, 0] # final value is newly captured final value + self.s[0, self.ppio_s_locs, 2] = self.s[0, self.ppio_s_locs, 0] ^ self.s[0, self.ppio_s_locs, 1] # TODO: not correct for X, - + + def cycle(self, cycles: int = 1, inject_cb=None): + """Repeatedly assigns a state, propagates it, captures the new state, and transfers PPOs to PPIs. + + :param cycles: The number of cycles to simulate. + :param inject_cb: A callback function for manipulating intermediate signal values. See :py:func:`c_prop`. + """ + for _ in range(cycles): + self.s_to_c() + self.c_prop(inject_cb) + self.c_to_s() + self.s_ppo_to_ppi() + + +@numba.njit +def _prop_cpu(ops, c_locs, c): + for op, o0, i0, i1, i2, i3 in ops[:,:6]: + o0, i0, i1, i2, i3 = [c_locs[x] for x in (o0, i0, i1, i2, i3)] + if op == sim.BUF1: c[o0]=c[i0] + elif op == sim.INV1: c[o0] = ~c[i0] + elif op == sim.AND2: c[o0] = c[i0] & c[i1] + elif op == sim.AND3: c[o0] = c[i0] & c[i1] & c[i2] + elif op == sim.AND4: c[o0] = c[i0] & c[i1] & c[i2] & c[i3] + elif op == sim.NAND2: c[o0] = ~(c[i0] & c[i1]) + elif op == sim.NAND3: c[o0] = ~(c[i0] & c[i1] & c[i2]) + elif op == sim.NAND4: c[o0] = ~(c[i0] & c[i1] & c[i2] & c[i3]) + elif op == sim.OR2: c[o0] = c[i0] | c[i1] + elif op == sim.OR3: c[o0] = c[i0] | c[i1] | c[i2] + elif op == sim.OR4: c[o0] = c[i0] | c[i1] | c[i2] | c[i3] + elif op == sim.NOR2: c[o0] = ~(c[i0] | c[i1]) + elif op == sim.NOR3: c[o0] = ~(c[i0] | c[i1] | c[i2]) + elif op == sim.NOR4: c[o0] = ~(c[i0] | c[i1] | c[i2] | c[i3]) + elif op == sim.XOR2: c[o0] = c[i0] ^ c[i1] + elif op == sim.XOR3: c[o0] = c[i0] ^ c[i1] ^ c[i2] + elif op == sim.XOR4: c[o0] = c[i0] ^ c[i1] ^ c[i2] ^ c[i3] + elif op == sim.XNOR2: c[o0] = ~(c[i0] ^ c[i1]) + elif op == sim.XNOR3: c[o0] = ~(c[i0] ^ c[i1] ^ c[i2]) + elif op == sim.XNOR4: c[o0] = ~(c[i0] ^ c[i1] ^ c[i2] ^ c[i3]) + elif op == sim.AO21: c[o0] = (c[i0] & c[i1]) | c[i2] + elif op == sim.OA21: c[o0] = (c[i0] | c[i1]) & c[i2] + elif op == sim.AO22: c[o0] = (c[i0] & c[i1]) | (c[i2] & c[i3]) + elif op == sim.OA22: c[o0] = (c[i0] | c[i1]) & (c[i2] | c[i3]) + elif op == sim.AOI21: c[o0] = ~((c[i0] & c[i1]) | c[i2]) + elif op == sim.OAI21: c[o0] = ~((c[i0] | c[i1]) & c[i2]) + elif op == sim.AOI22: c[o0] = ~((c[i0] & c[i1]) | (c[i2] & c[i3])) + elif op == sim.OAI22: c[o0] = ~((c[i0] | c[i1]) & (c[i2] | c[i3])) + elif op == sim.AO211: c[o0] = (c[i0] & c[i1]) | c[i2] | c[i3] + elif op == sim.OA211: c[o0] = (c[i0] | c[i1]) & c[i2] & c[i3] + elif op == sim.AOI211: c[o0] = ~((c[i0] & c[i1]) | c[i2] | c[i3]) + elif op == sim.OAI211: c[o0] = ~((c[i0] | c[i1]) & c[i2] & c[i3]) + elif op == sim.MUX21: c[o0] = (c[i0] & ~c[i2]) | (c[i1] & c[i2]) + else: print(f'unknown op {op}') diff --git a/src/kyupy/sdf.py b/src/kyupy/sdf.py index c1e3ebf..015f975 100644 --- a/src/kyupy/sdf.py +++ b/src/kyupy/sdf.py @@ -1,11 +1,10 @@ """A simple and incomplete parser for the Standard Delay Format (SDF). -The main purpose of this parser is to extract pin-to-pin delay and interconnect delay information from SDF files. -Sophisticated timing specifications (timing checks, conditional delays, etc.) are currently not supported. - -The functions :py:func:`load` and :py:func:`read` return an intermediate representation (:class:`DelayFile` object). -Call :py:func:`DelayFile.annotation` to match the intermediate representation to a given circuit. +This parser extracts pin-to-pin delay and interconnect delay information from SDF files. +Sophisticated timing specifications (timing checks, conditional delays, etc.) are ignored. +The functions :py:func:`parse` and :py:func:`load` return an intermediate representation (:class:`DelayFile` object). +Call :py:func:`DelayFile.iopaths` and :py:func:`DelayFile.interconnects` to generate delay information for a given circuit. """ from collections import namedtuple @@ -15,6 +14,7 @@ import numpy as np from lark import Lark, Transformer from . import log, readtext +from .circuit import Circuit from .techlib import TechLib @@ -27,145 +27,112 @@ class DelayFile: """ def __init__(self, name, cells): self.name = name - if None in cells: - self.interconnects = cells[None] - else: - self.interconnects = None + self._interconnects = cells.get(None, None) self.cells = dict((n, l) for n, l in cells.items() if n) def __repr__(self): return '\n'.join(f'{n}: {l}' for n, l in self.cells.items()) + '\n' + \ - '\n'.join(str(i) for i in self.interconnects) - - def annotation(self, circuit, tlib=TechLib(), dataset=1, interconnect=True, ffdelays=True): - """Constructs an 3-dimensional ndarray with timing data for each line in ``circuit``. - - An IOPATH delay for a node is annotated to the line connected to the input pin specified in the IOPATH. - - Currently, only ABSOLUTE IOPATH and INTERCONNECT delays are supported. - Pulse rejection limits are derived from absolute delays, explicit declarations (PATHPULSE etc.) are ignored. - - :param circuit: The circuit to annotate. Names from the STIL file are matched to the node names. - :type circuit: :class:`~kyupy.circuit.Circuit` - :param tlib: A technology library object that provides pin name mappings. - :type tlib: :py:class:`~kyupy.techlib.TechLib` - :param dataset: SDFs store multiple values for each delay (e.g. minimum, typical, maximum). - An integer selects the dataset to use (default is 1 for 'typical'). - If a tuple is given, the annotator will calculate the average of multiple datasets. - :type dataset: ``int`` or ``tuple`` - :param interconnect: Whether or not to include the delays of interconnects in the annotation. - To properly annotate interconnect delays, the circuit model has to include a '__fork__' node on - every signal and every fanout-branch. The Verilog parser aids in this by setting the parameter - `branchforks=True` in :py:func:`kyupy.verilog.parse`. - :type interconnect: ``bool`` - :param ffdelays: Whether or not to include the delays of flip-flops in the annotation. - :type ffdelays: ``bool`` - :return: A 3-dimensional ndarray with timing data. - - * Axis 0: line index. - * Axis 1: type of timing data: 0='delay', 1='pulse rejection limit'. - * Axis 2: The polarity of the output transition of the reading node: 0='rising', 1='falling'. - - The polarity for pulse rejection is determined by the latter transition of the pulse. - E.g., ``timing[42, 1, 0]`` is the rejection limit of a negative pulse at the output - of the reader of line 42. + '\n'.join(str(i) for i in self._interconnects) + + def iopaths(self, circuit:Circuit, tlib:TechLib): + """Constructs an ndarray containing all IOPATH delays. + + All IOPATH delays for a node ``n`` are annotated to the line connected to the input pin specified in the IOPATH. + + Limited support of SDF spec: + + * Only ABSOLUTE delay values are supported. + * Only two delvals per delval_list is supported. First is rising/posedge, second is falling/negedge + transition at the output of the IOPATH (SDF spec, pp. 3-17). + * PATHPULSE declarations are ignored. + + The axes convention of KyuPy's delay data arrays is as follows: + + * Axis 0: dataset (usually 3 datasets per SDF-file) + * Axis 1: line index (e.g. ``n.ins[0]``, ``n.ins[1]``) + * Axis 2: polarity of the transition at the IOPATH-input (e.g. at ``n.ins[0]`` or ``n.ins[1]``), 0='rising/posedge', 1='falling/negedge' + * Axis 3: polarity of the transition at the IOPATH-output (at ``n.outs[0]``), 0='rising/posedge', 1='falling/negedge' """ - def select_del(_delvals, idx): - if isinstance(dataset, tuple): - return sum(_delvals[idx][d] for d in dataset) / len(dataset) - return _delvals[idx][dataset] - - def find_cell(name): - if name not in circuit.cells: - name = name.replace('\\', '') - if name not in circuit.cells: - name = name.replace('[', '_').replace(']', '_') - if name not in circuit.cells: - return None - return circuit.cells[name] - - timing = np.zeros((len(circuit.lines), 2, 2)) - for cn, iopaths in self.cells.items(): - for ipn, opn, *delvals in iopaths: - delvals = [d if len(d) > 0 else [0, 0, 0] for d in delvals] - if max(max(delvals)) == 0: - continue - cell = find_cell(cn) - if cell is None: - #log.warn(f'Cell from SDF not found in circuit: {cn}') - continue - ipn = re.sub(r'\((neg|pos)edge ([^)]+)\)', r'\2', ipn) - ipin = tlib.pin_index(cell.kind, ipn) - opin = tlib.pin_index(cell.kind, opn) - kind = cell.kind.lower() - - def add_delays(_line): - if _line is not None: - timing[_line, :, 0] += select_del(delvals, 0) - timing[_line, :, 1] += select_del(delvals, 1) - - take_avg = False - if kind.startswith('sdff'): - if not ipn.startswith('CLK'): - continue - if ffdelays and (len(cell.outs) > opin): - add_delays(cell.outs[opin]) - else: - if ipin < len(cell.ins): - if kind.startswith(('xor', 'xnor')): - # print(ipn, ipin, times[cell.i_lines[ipin], 0, 0]) - take_avg = timing[cell.ins[ipin]].sum() > 0 - add_delays(cell.ins[ipin]) - if take_avg: - timing[cell.ins[ipin]] /= 2 + + def find_cell(name:str): + if name not in circuit.cells: name = name.replace('\\', '') + if name not in circuit.cells: name = name.replace('[', '_').replace(']', '_') + return circuit.cells.get(name, None) + + delays = np.zeros((len(circuit.lines), 2, 2, 3)) # dataset last during construction. + + for name, iopaths in self.cells.items(): + name = name.replace('\\', '') + if cell := circuit.cells.get(name, None): + for i_pin_spec, o_pin_spec, *dels in iopaths: + if i_pin_spec.startswith('(posedge '): i_pol_idxs = [0] + elif i_pin_spec.startswith('(negedge '): i_pol_idxs = [1] + else: i_pol_idxs = [0, 1] + i_pin_spec = re.sub(r'\((neg|pos)edge ([^)]+)\)', r'\2', i_pin_spec) + if line := cell.ins[tlib.pin_index(cell.kind, i_pin_spec)]: + delays[line, i_pol_idxs] = [d if len(d) > 0 else [0, 0, 0] for d in dels] else: - log.warn(f'No line to annotate pin {ipn} of {cell}') + log.warn(f'No line to annotate in circuit: {i_pin_spec} for {cell}') + else: + log.warn(f'Name from SDF not found in circuit: {name}') + + return np.moveaxis(delays, -1, 0) + + def interconnects(self, circuit:Circuit, tlib:TechLib): + """Constructs an ndarray containing all INTERCONNECT delays. + + To properly annotate interconnect delays, the circuit model has to include a '__fork__' node on + every signal and every fanout-branch. The Verilog parser aids in this by setting the parameter + `branchforks=True` in :py:func:`~kyupy.verilog.parse` or :py:func:`~kyupy.verilog.load`. - if not interconnect or self.interconnects is None: - return timing + Limited support of SDF spec: - for n1, n2, *delvals in self.interconnects: + * Only ABSOLUTE delay values are supported. + * Only two delvals per delval_list is supported. First is rising/posedge, second is falling/negedge + transition. + * PATHPULSE declarations are ignored. + + The axes convention of KyuPy's delay data arrays is as follows: + + * Axis 0: dataset (usually 3 datasets per SDF-file) + * Axis 1: line index. Usually input line of a __fork__. + * Axis 2: (axis of size 2 for compatability to IOPATH results. Values are broadcast along this axis.) + * Axis 3: polarity of the transition, 0='rising/posedge', 1='falling/negedge' + """ + + delays = np.zeros((len(circuit.lines), 2, 2, 3)) # dataset last during construction. + + for n1, n2, *delvals in self._interconnects: delvals = [d if len(d) > 0 else [0, 0, 0] for d in delvals] - if max(max(delvals)) == 0: + if max(max(delvals)) == 0: continue + cn1, pn1 = n1.split('/') if '/' in n1 else (n1, None) + cn2, pn2 = n2.split('/') if '/' in n2 else (n2, None) + cn1 = cn1.replace('\\','') + cn2 = cn2.replace('\\','') + c1, c2 = circuit.cells[cn1], circuit.cells[cn2] + p1 = tlib.pin_index(c1.kind, pn1) if pn1 is not None else 0 + p2 = tlib.pin_index(c2.kind, pn2) if pn2 is not None else 0 + if len(c1.outs) <= p1 or c1.outs[p1] is None: + log.warn(f'No line to annotate pin {pn1} of {c1}') continue - if '/' in n1: - i = n1.rfind('/') - cn1 = n1[0:i] - pn1 = n1[i+1:] - else: - cn1, pn1 = (n1, 'Z') - if '/' in n2: - i = n2.rfind('/') - cn2 = n2[0:i] - pn2 = n2[i+1:] - else: - cn2, pn2 = (n2, 'IN') - c1 = find_cell(cn1) - if c1 is None: - #log.warn(f'Cell from SDF not found in circuit: {cn1}') - continue - c2 = find_cell(cn2) - if c2 is None: - #log.warn(f'Cell from SDF not found in circuit: {cn2}') - continue - p1, p2 = tlib.pin_index(c1.kind, pn1), tlib.pin_index(c2.kind, pn2) - line = None - if len(c2.ins) <= p2: + if len(c2.ins) <= p2 or c2.ins[p2] is None: log.warn(f'No line to annotate pin {pn2} of {c2}') continue - f1, f2 = c1.outs[p1].reader, c2.ins[p2].driver - if f1 != f2: # possible branchfork - assert len(f2.ins) == 1 + f1, f2 = c1.outs[p1].reader, c2.ins[p2].driver # find the forks between cells. + assert f1.kind == '__fork__' + assert f2.kind == '__fork__' + if f1 != f2: # at least two forks, make sure f2 is a branchfork connected to f1 + assert len(f2.outs) == 1 + assert f1.outs[f2.ins[0].driver_pin] == f2.ins[0] line = f2.ins[0] - assert f1.outs[f2.ins[0].driver_pin] == line - elif len(f2.outs) == 1: # no fanout? + elif len(f2.outs) == 1: # f1==f2, only OK when there is no fanout. line = f2.ins[0] - if line is not None: - timing[line, :, 0] += select_del(delvals, 0) - timing[line, :, 1] += select_del(delvals, 1) else: - log.warn(f'No branchfork for annotating interconnect delay {c1.name}/{p1}->{c2.name}/{p2}') - return timing + log.warn(f'No branchfork to annotate interconnect delay {c1.name}/{p1}->{c2.name}/{p2}') + continue + delays[line, :] = delvals + + return np.moveaxis(delays, -1, 0) def sanitize(args): @@ -236,6 +203,6 @@ def parse(text): def load(file): """Parses the contents of ``file`` and returns a :class:`DelayFile` object. - The given file may be gzip compressed. + Files with `.gz`-suffix are decompressed on-the-fly. """ return parse(readtext(file)) diff --git a/src/kyupy/sim.py b/src/kyupy/sim.py new file mode 100644 index 0000000..de21b27 --- /dev/null +++ b/src/kyupy/sim.py @@ -0,0 +1,333 @@ + +from collections import defaultdict +from bisect import bisect, insort_left + +import numpy as np + +BUF1 = np.uint16(0b1010_1010_1010_1010) +INV1 = ~BUF1 + +AND2 = np.uint16(0b1000_1000_1000_1000) +AND3 = np.uint16(0b1000_0000_1000_0000) +AND4 = np.uint16(0b1000_0000_0000_0000) + +NAND2, NAND3, NAND4 = ~AND2, ~AND3, ~AND4 + +OR2 = np.uint16(0b1110_1110_1110_1110) +OR3 = np.uint16(0b1111_1110_1111_1110) +OR4 = np.uint16(0b1111_1111_1111_1110) + +NOR2, NOR3, NOR4 = ~OR2, ~OR3, ~OR4 + +XOR2 = np.uint16(0b0110_0110_0110_0110) +XOR3 = np.uint16(0b1001_0110_1001_0110) +XOR4 = np.uint16(0b0110_1001_1001_0110) + +XNOR2, XNOR3, XNOR4 = ~XOR2, ~XOR3, ~XOR4 + +AO21 = np.uint16(0b1111_1000_1111_1000) # (i0 & i1) | i2 +AO22 = np.uint16(0b1111_1000_1000_1000) # (i0 & i1) | (i2 & i3) +OA21 = np.uint16(0b1110_0000_1110_0000) # (i0 | i1) & i2 +OA22 = np.uint16(0b1110_1110_1110_0000) # (i0 | i1) & (i2 | i3) + +AOI21, AOI22, OAI21, OAI22 = ~AO21, ~AO22, ~OA21, ~OA22 + +AO211 = np.uint16(0b1111_1111_1111_1000) # (i0 & i1) | i2 | i3 +OA211 = np.uint16(0b1110_0000_0000_0000) # (i0 | i1) & i2 & i3 + +AOI211, OAI211 = ~AO211, ~OA211 + +MUX21 = np.uint16(0b1100_1010_1100_1010) # z = i1 if i2 else i0 (i2 is select) + +names = dict([(v, k) for k, v in globals().items() if isinstance(v, np.uint16)]) + +kind_prefixes = { + 'nand': (NAND4, NAND3, NAND2), + 'nor': (NOR4, NOR3, NOR2), + 'and': (AND4, AND3, AND2), + 'or': (OR4, OR3, OR2), + 'isolor': (OR2, OR2, OR2), + 'xor': (XOR4, XOR3, XOR2), + 'xnor': (XNOR4, XNOR3, XNOR2), + + 'not': (INV1, INV1, INV1), + 'inv': (INV1, INV1, INV1), + 'ibuf': (INV1, INV1, INV1), + '__const1__': (INV1, INV1, INV1), + 'tieh': (INV1, INV1, INV1), + + 'buf': (BUF1, BUF1, BUF1), + 'nbuf': (BUF1, BUF1, BUF1), + 'delln': (BUF1, BUF1, BUF1), + '__const0__': (BUF1, BUF1, BUF1), + 'tiel': (BUF1, BUF1, BUF1), + + 'ao211': (AO211, AO211, AO211), + 'oa211': (OA211, OA211, OA211), + 'aoi211': (AOI211, AOI211, AOI211), + 'oai211': (OAI211, OAI211, OAI211), + + 'ao22': (AO22, AO22, AO22), + 'aoi22': (AOI22, AOI22, AOI22), + 'ao21': (AO21, AO21, AO21), + 'aoi21': (AOI21, AOI21, AOI21), + + 'oa22': (OA22, OA22, OA22), + 'oai22': (OAI22, OAI22, OAI22), + 'oa21': (OA21, OA21, OA21), + 'oai21': (OAI21, OAI21, OAI21), + + 'mux21': (MUX21, MUX21, MUX21), +} + +class Heap: + def __init__(self): + self.chunks = dict() # map start location to chunk size + self.released = list() # chunks that were released + self.current_size = 0 + self.max_size = 0 + + def alloc(self, size): + for idx, loc in enumerate(self.released): + if self.chunks[loc] == size: + del self.released[idx] + return loc + if self.chunks[loc] > size: # split chunk + chunksize = self.chunks[loc] + self.chunks[loc] = size + self.chunks[loc + size] = chunksize - size + self.released[idx] = loc + size # move released pointer: loc -> loc+size + return loc + # no previously released chunk; make new one + loc = self.current_size + self.chunks[loc] = size + self.current_size += size + self.max_size = max(self.max_size, self.current_size) + return loc + + def free(self, loc): + size = self.chunks[loc] + if loc + size == self.current_size: # end of managed area, remove chunk + del self.chunks[loc] + self.current_size -= size + # check and remove prev chunk if free + if len(self.released) > 0: + prev = self.released[-1] + if prev + self.chunks[prev] == self.current_size: + chunksize = self.chunks[prev] + del self.chunks[prev] + del self.released[-1] + self.current_size -= chunksize + return + released_idx = bisect(self.released, loc) + if released_idx < len(self.released) and loc + size == self.released[released_idx]: # next chunk is free, merge + chunksize = size + self.chunks[loc + size] + del self.chunks[loc + size] + self.chunks[loc] = chunksize + size = self.chunks[loc] + self.released[released_idx] = loc + else: + insort_left(self.released, loc) # put in a new release + if released_idx > 0: # check if previous chunk is free + prev = self.released[released_idx - 1] + if prev + self.chunks[prev] == loc: # previous chunk is adjacent to freed one, merge + chunksize = size + self.chunks[prev] + del self.chunks[loc] + self.chunks[prev] = chunksize + del self.released[released_idx] + + def __repr__(self): + r = [] + for loc in sorted(self.chunks.keys()): + size = self.chunks[loc] + released_idx = bisect(self.released, loc) + is_released = released_idx > 0 and len(self.released) > 0 and self.released[released_idx - 1] == loc + r.append(f'{loc:5d}: {"free" if is_released else "used"} {size}') + return "\n".join(r) + + +class SimOps: + """A static scheduler that translates a Circuit into a topologically sorted list of basic logic operations (self.ops) and + a memory mapping (self.c_locs, self.c_caps) for use in simulators. + + :param circuit: The circuit to create a schedule for. + :param strip_forks: If enabled, the scheduler will not include fork nodes to safe simulation time. + Stripping forks will cause interconnect delay annotations of lines read by fork nodes to be ignored. + :param c_reuse: If enabled, memory of intermediate signal waveforms will be re-used. This greatly reduces + memory footprint, but intermediate signal waveforms become unaccessible after a propagation. + """ + def __init__(self, circuit, c_caps=1, c_caps_min=1, a_ctrl=None, c_reuse=False, strip_forks=False): + self.circuit = circuit + self.s_len = len(circuit.s_nodes) + + if isinstance(c_caps, int): + c_caps = [c_caps] * (len(circuit.lines)+3) + + if a_ctrl is None: + a_ctrl = np.zeros((len(circuit.lines)+3, 3), dtype=np.int32) # add 3 for zero, tmp, tmp2 + a_ctrl[:,0] = -1 + + # special locations and offsets in c_locs/c_caps + self.zero_idx = len(circuit.lines) + self.tmp_idx = self.zero_idx + 1 + self.tmp2_idx = self.tmp_idx + 1 + self.ppi_offset = self.tmp2_idx + 1 + self.ppo_offset = self.ppi_offset + self.s_len + self.c_locs_len = self.ppo_offset + self.s_len + + # translate circuit structure into self.ops + ops = [] + interface_dict = dict((n, i) for i, n in enumerate(circuit.s_nodes)) + for n in circuit.topological_order(): + if n in interface_dict: + inp_idx = self.ppi_offset + interface_dict[n] + if len(n.outs) > 0 and n.outs[0] is not None: # first output of a PI/PPI + ops.append((BUF1, n.outs[0].index, inp_idx, self.zero_idx, self.zero_idx, self.zero_idx, *a_ctrl[n.outs[0]])) + if 'dff' in n.kind.lower(): # second output of DFF is inverted + if len(n.outs) > 1 and n.outs[1] is not None: + ops.append((INV1, n.outs[1].index, inp_idx, self.zero_idx, self.zero_idx, self.zero_idx, *a_ctrl[n.outs[1]])) + else: # if not DFF, no output is inverted. + for o_line in n.outs[1:]: + if o_line is not None: + ops.append((BUF1, o_line.index, inp_idx, self.zero_idx, self.zero_idx, self.zero_idx, *a_ctrl[o_line])) + continue + # regular node, not PI/PPI or PO/PPO + o0_idx = n.outs[0].index if len(n.outs) > 0 and n.outs[0] is not None else self.tmp_idx + i0_idx = n.ins[0].index if len(n.ins) > 0 and n.ins[0] is not None else self.zero_idx + i1_idx = n.ins[1].index if len(n.ins) > 1 and n.ins[1] is not None else self.zero_idx + i2_idx = n.ins[2].index if len(n.ins) > 2 and n.ins[2] is not None else self.zero_idx + i3_idx = n.ins[3].index if len(n.ins) > 3 and n.ins[3] is not None else self.zero_idx + kind = n.kind.lower() + if kind == '__fork__': + if not strip_forks: + for o_line in n.outs: + if o_line is not None: + ops.append((BUF1, o_line.index, i0_idx, i1_idx, i2_idx, i3_idx, *a_ctrl[o_line])) + continue + sp = None + for prefix, prims in kind_prefixes.items(): + if kind.startswith(prefix): + sp = prims[0] + if i3_idx == self.zero_idx: + sp = prims[1] + if i2_idx == self.zero_idx: + sp = prims[2] + break + if sp is None: + print('unknown cell type', kind) + else: + ops.append((sp, o0_idx, i0_idx, i1_idx, i2_idx, i3_idx, *a_ctrl[o0_idx])) + + self.ops = np.asarray(ops, dtype='int32') + + # create a map from fanout lines to stem lines for fork stripping + stems = np.zeros(self.c_locs_len, dtype='int32') - 1 # default to -1: 'no fanout line' + if strip_forks: + for f in circuit.forks.values(): + prev_line = f.ins[0] + while prev_line.driver.kind == '__fork__': + prev_line = prev_line.driver.ins[0] + stem_idx = prev_line.index + for ol in f.outs: + if ol is not None: + stems[ol] = stem_idx + + # calculate level (distance from PI/PPI) and reference count for each line + levels = np.zeros(self.c_locs_len, dtype='int32') + ref_count = np.zeros(self.c_locs_len, dtype='int32') + level_starts = [0] + current_level = 1 + for i, op in enumerate(self.ops): + # if we fork-strip, always take the stems for determining fan-in level + i0_idx = stems[op[2]] if stems[op[2]] >= 0 else op[2] + i1_idx = stems[op[3]] if stems[op[3]] >= 0 else op[3] + i2_idx = stems[op[4]] if stems[op[4]] >= 0 else op[4] + i3_idx = stems[op[5]] if stems[op[5]] >= 0 else op[5] + if levels[i0_idx] >= current_level or levels[i1_idx] >= current_level or levels[i2_idx] >= current_level or levels[i3_idx] >= current_level: + current_level += 1 + level_starts.append(i) + levels[op[1]] = current_level # set level of the output line + ref_count[i0_idx] += 1 + ref_count[i1_idx] += 1 + ref_count[i2_idx] += 1 + ref_count[i3_idx] += 1 + self.level_starts = np.asarray(level_starts, dtype='int32') + self.level_stops = np.asarray(level_starts[1:] + [len(self.ops)], dtype='int32') + + # combinational signal allocation table. maps line and interface indices to self.c memory locations + self.c_locs = np.full((self.c_locs_len,), -1, dtype=np.int32) + self.c_caps = np.zeros((self.c_locs_len,), dtype=np.int32) + + h = Heap() + + # allocate and keep memory for special fields + self.c_locs[self.zero_idx], self.c_caps[self.zero_idx] = h.alloc(c_caps_min), c_caps_min + self.c_locs[self.tmp_idx], self.c_caps[self.tmp_idx] = h.alloc(c_caps_min), c_caps_min + self.c_locs[self.tmp2_idx], self.c_caps[self.tmp2_idx] = h.alloc(c_caps_min), c_caps_min + ref_count[self.zero_idx] += 1 + ref_count[self.tmp_idx] += 1 + ref_count[self.tmp2_idx] += 1 + + # allocate and keep memory for PI/PPI, keep memory for PO/PPO (allocated later) + for i, n in enumerate(circuit.s_nodes): + if len(n.outs) > 0: + self.c_locs[self.ppi_offset + i], self.c_caps[self.ppi_offset + i] = h.alloc(c_caps_min), c_caps_min + ref_count[self.ppi_offset + i] += 1 + if len(n.ins) > 0: + i0_idx = stems[n.ins[0]] if stems[n.ins[0]] >= 0 else n.ins[0] + ref_count[i0_idx] += 1 + + # allocate memory for the rest of the circuit + for op_start, op_stop in zip(self.level_starts, self.level_stops): + free_set = set() + for op in self.ops[op_start:op_stop]: + # if we fork-strip, always take the stems + i0_idx = stems[op[2]] if stems[op[2]] >= 0 else op[2] + i1_idx = stems[op[3]] if stems[op[3]] >= 0 else op[3] + i2_idx = stems[op[4]] if stems[op[4]] >= 0 else op[4] + i3_idx = stems[op[5]] if stems[op[5]] >= 0 else op[5] + ref_count[i0_idx] -= 1 + ref_count[i1_idx] -= 1 + ref_count[i2_idx] -= 1 + ref_count[i3_idx] -= 1 + if ref_count[i0_idx] <= 0: free_set.add(self.c_locs[i0_idx]) + if ref_count[i1_idx] <= 0: free_set.add(self.c_locs[i1_idx]) + if ref_count[i2_idx] <= 0: free_set.add(self.c_locs[i2_idx]) + if ref_count[i3_idx] <= 0: free_set.add(self.c_locs[i3_idx]) + o_idx = op[1] + cap = max(c_caps_min, c_caps[o_idx]) + self.c_locs[o_idx], self.c_caps[o_idx] = h.alloc(cap), cap + if c_reuse: + for loc in free_set: + h.free(loc) + + # copy memory location and capacity from stems to fanout lines + for lidx, stem in enumerate(stems): + if stem >= 0: # if at a fanout line + self.c_locs[lidx], self.c_caps[lidx] = self.c_locs[stem], self.c_caps[stem] + + # copy memory location to PO/PPO area + for i, n in enumerate(circuit.s_nodes): + if len(n.ins) > 0: + self.c_locs[self.ppo_offset + i], self.c_caps[self.ppo_offset + i] = self.c_locs[n.ins[0]], self.c_caps[n.ins[0]] + + self.c_len = h.max_size + + d = defaultdict(int) + for op in self.ops[:,0]: d[names[op]] += 1 + self.prim_counts = dict(d) + + self.pi_s_locs = np.flatnonzero(self.c_locs[self.ppi_offset+np.arange(len(self.circuit.io_nodes))] >= 0) + self.po_s_locs = np.flatnonzero(self.c_locs[self.ppo_offset+np.arange(len(self.circuit.io_nodes))] >= 0) + self.ppio_s_locs = np.arange(len(self.circuit.io_nodes), self.s_len) + + self.pippi_s_locs = np.concatenate([self.pi_s_locs, self.ppio_s_locs]) + self.poppo_s_locs = np.concatenate([self.po_s_locs, self.ppio_s_locs]) + + self.pi_c_locs = self.c_locs[self.ppi_offset+self.pi_s_locs] + self.po_c_locs = self.c_locs[self.ppo_offset+self.po_s_locs] + self.ppi_c_locs = self.c_locs[self.ppi_offset+self.ppio_s_locs] + self.ppo_c_locs = self.c_locs[self.ppo_offset+self.ppio_s_locs] + + self.pippi_c_locs = np.concatenate([self.pi_c_locs, self.ppi_c_locs]) + self.poppo_c_locs = np.concatenate([self.po_c_locs, self.ppo_c_locs]) diff --git a/src/kyupy/stil.py b/src/kyupy/stil.py index 7cacc5b..98cc2df 100644 --- a/src/kyupy/stil.py +++ b/src/kyupy/stil.py @@ -1,16 +1,17 @@ """A simple and incomplete parser for the Standard Test Interface Language (STIL). The main purpose of this parser is to load scan pattern sets from STIL files. -It supports only a very limited subset of STIL. +It supports only a subset of STIL. -The functions :py:func:`load` and :py:func:`read` return an intermediate representation (:class:`StilFile` object). -Call :py:func:`StilFile.tests`, :py:func:`StilFile.tests_loc`, or :py:func:`StilFile.responses` to +The functions :py:func:`parse` and :py:func:`load` return an intermediate representation (:py:class:`StilFile` object). +Call :py:func:`StilFile.tests()`, :py:func:`StilFile.tests_loc()`, or :py:func:`StilFile.responses()` to obtain the appropriate vector sets. """ import re from collections import namedtuple +import numpy as np from lark import Lark, Transformer from . import readtext, logic @@ -55,7 +56,7 @@ class StilFile: capture = dict((k, v.replace('\n', '').replace('N', '-')) for k, v in call.parameters.items()) def _maps(self, c): - interface = list(c.interface) + [n for n in c.nodes if 'DFF' in n.kind] + interface = list(c.io_nodes) + [n for n in c.nodes if 'DFF' in n.kind] intf_pos = dict((n.name, i) for i, n in enumerate(interface)) pi_map = [intf_pos[n] for n in self.signal_groups['_pi']] po_map = [intf_pos[n] for n in self.signal_groups['_po']] @@ -81,73 +82,99 @@ class StilFile: scan_out_inversion.append(inversion) scan_maps[chain[0]] = scan_map scan_maps[chain[-1]] = scan_map - scan_inversions[chain[0]] = scan_in_inversion - scan_inversions[chain[-1]] = scan_out_inversion + scan_inversions[chain[0]] = logic.mvarray(scan_in_inversion)[0] + scan_inversions[chain[-1]] = logic.mvarray(scan_out_inversion)[0] return interface, pi_map, po_map, scan_maps, scan_inversions def tests(self, circuit): """Assembles and returns a scan test pattern set for given circuit. This function assumes a static (stuck-at fault) test. + + :param circuit: The circuit to assemble the patterns for. The patterns will follow the + :py:attr:`~kyupy.circuit.Circuit.s_nodes` ordering of the this circuit. + :return: A 4-valued multi-valued (mv) logic array (see :py:mod:`~kyupy.logic`). + The values for primary inputs and sequential elements are filled, the primary outputs are left unassigned. """ interface, pi_map, _, scan_maps, scan_inversions = self._maps(circuit) - tests = logic.MVArray((len(interface), len(self.patterns))) + tests = np.full((len(interface), len(self.patterns)), logic.UNASSIGNED) for i, p in enumerate(self.patterns): for si_port in self.si_ports.keys(): - pattern = logic.mv_xor(p.load[si_port], scan_inversions[si_port]) - tests.data[scan_maps[si_port], i] = pattern.data[:, 0] - tests.data[pi_map, i] = logic.MVArray(p.capture['_pi']).data[:, 0] + pattern = logic.mvarray(p.load[si_port]) + inversions = np.choose((pattern == logic.UNASSIGNED) | (pattern == logic.UNKNOWN), + [scan_inversions[si_port], logic.ZERO]).astype(np.uint8) + np.bitwise_xor(pattern, inversions, out=pattern) + tests[scan_maps[si_port], i] = pattern + tests[pi_map, i] = logic.mvarray(p.capture['_pi']) return tests - def tests_loc(self, circuit): + def tests_loc(self, circuit, init_filter=None, launch_filter=None): """Assembles and returns a LoC scan test pattern set for given circuit. This function assumes a launch-on-capture (LoC) delay test. It performs a logic simulation to obtain the first capture pattern (the one that launches the delay test) and assembles the test pattern set from from pairs for initialization- and launch-patterns. + + :param circuit: The circuit to assemble the patterns for. The patterns will follow the + :py:attr:`~kyupy.circuit.Circuit.s_nodes` ordering of the this circuit. + :param init_filter: A function for filtering the initialization patterns. This function is called + with the initialization patterns from the STIL file as mvarray before logic simulation. + It shall return an mvarray with the same shape. This function can be used, for example, to fill + patterns. + :param launch_filter: A function for filtering the launch patterns. This function is called + with the launch patterns generated by logic simulation before they are combined with + the initialization patterns to form the final 8-valued test patterns. + The function shall return an mvarray with the same shape. This function can be used, for example, to fill + patterns. + :return: An 8-valued multi-valued (mv) logic array (see :py:mod:`~kyupy.logic`). The values for primary + inputs and sequential elements are filled, the primary outputs are left unassigned. """ interface, pi_map, po_map, scan_maps, scan_inversions = self._maps(circuit) - init = logic.MVArray((len(interface), len(self.patterns)), m=4) - # init = PackedVectors(len(self.patterns), len(interface), 2) + init = np.full((len(interface), len(self.patterns)), logic.UNASSIGNED) for i, p in enumerate(self.patterns): # init.set_values(i, '0' * len(interface)) for si_port in self.si_ports.keys(): - pattern = logic.mv_xor(p.load[si_port], scan_inversions[si_port]) - init.data[scan_maps[si_port], i] = pattern.data[:, 0] - init.data[pi_map, i] = logic.MVArray(p.launch['_pi'] if '_pi' in p.launch else p.capture['_pi']).data[:, 0] - launch_bp = logic.BPArray(init) - sim4v = LogicSim(circuit, len(init), m=4) - sim4v.assign(launch_bp) - sim4v.propagate() - sim4v.capture(launch_bp) - launch = logic.MVArray(launch_bp) + pattern = logic.mvarray(p.load[si_port]) + inversions = np.choose((pattern == logic.UNASSIGNED) | (pattern == logic.UNKNOWN), + [scan_inversions[si_port], logic.ZERO]).astype(np.uint8) + np.bitwise_xor(pattern, inversions, out=pattern) + init[scan_maps[si_port], i] = pattern + init[pi_map, i] = logic.mvarray(p.launch['_pi'] if '_pi' in p.launch else p.capture['_pi']) + if init_filter: init = init_filter(init) + sim8v = LogicSim(circuit, init.shape[-1], m=8) + sim8v.s[0] = logic.mv_to_bp(init) + sim8v.s_to_c() + sim8v.c_prop() + sim8v.c_to_s() + launch = logic.bp_to_mv(sim8v.s[1])[..., :init.shape[-1]] for i, p in enumerate(self.patterns): # if there was no launch cycle or launch clock, then init = launch if '_pi' not in p.launch or 'P' not in p.launch['_pi'] or 'P' not in p.capture['_pi']: for si_port in self.si_ports.keys(): - pattern = logic.mv_xor(p.load[si_port], scan_inversions[si_port]) - launch.data[scan_maps[si_port], i] = pattern.data[:, 0] + pattern = logic.mv_xor(logic.mvarray(p.load[si_port]), scan_inversions[si_port]) + launch[scan_maps[si_port], i] = pattern if '_pi' in p.capture and 'P' in p.capture['_pi']: - launch.data[pi_map, i] = logic.MVArray(p.capture['_pi']).data[:, 0] - launch.data[po_map, i] = logic.UNASSIGNED + launch[pi_map, i] = logic.mvarray(p.capture['_pi']) + launch[po_map, i] = logic.UNASSIGNED + if launch_filter: launch = launch_filter(launch) return logic.mv_transition(init, launch) def responses(self, circuit): - """Assembles and returns a scan test response pattern set for given circuit.""" + """Assembles and returns a scan test response pattern set for given circuit. + + :param circuit: The circuit to assemble the patterns for. The patterns will follow the + :py:attr:`~kyupy.circuit.Circuit.s_nodes` ordering of the this circuit. + :return: A 4-valued multi-valued (mv) logic array (see :py:mod:`~kyupy.logic`). + The values for primary outputs and sequential elements are filled, the primary inputs are left unassigned. + """ interface, _, po_map, scan_maps, scan_inversions = self._maps(circuit) - resp = logic.MVArray((len(interface), len(self.patterns))) - # resp = PackedVectors(len(self.patterns), len(interface), 2) + resp = np.full((len(interface), len(self.patterns)), logic.UNASSIGNED) for i, p in enumerate(self.patterns): - resp.data[po_map, i] = logic.MVArray(p.capture['_po'] if len(p.capture) > 0 else p.launch['_po']).data[:, 0] - # if len(p.capture) > 0: - # resp.set_values(i, p.capture['_po'], po_map) - # else: - # resp.set_values(i, p.launch['_po'], po_map) + resp[po_map, i] = logic.mvarray(p.capture['_po'] if len(p.capture) > 0 else p.launch['_po']) for so_port in self.so_ports.keys(): - pattern = logic.mv_xor(p.unload[so_port], scan_inversions[so_port]) - resp.data[scan_maps[so_port], i] = pattern.data[:, 0] - # resp.set_values(i, p.unload[so_port], scan_maps[so_port], scan_inversions[so_port]) + pattern = logic.mv_xor(logic.mvarray(p.unload[so_port]), scan_inversions[so_port]) + resp[scan_maps[so_port], i] = pattern return resp @@ -246,6 +273,6 @@ def parse(text): def load(file): """Parses the contents of ``file`` and returns a :class:`StilFile` object. - The given file may be gzip compressed. + Files with `.gz`-suffix are decompressed on-the-fly. """ return parse(readtext(file)) diff --git a/src/kyupy/techlib.py b/src/kyupy/techlib.py index 21c82a6..ce15ed1 100644 --- a/src/kyupy/techlib.py +++ b/src/kyupy/techlib.py @@ -1,38 +1,27 @@ -from .circuit import Node, Line - - -def add_and_connect(circuit, name, kind, in1=None, in2=None, out=None): - n = Node(circuit, name, kind) - if in1 is not None: - n.ins[0] = in1 - in1.reader = n - in1.reader_pin = 0 - if in2 is not None: - n.ins[1] = in2 - in2.reader = n - in2.reader_pin = 1 - if out is not None: - n.outs[0] = out - out.driver = n - out.driver_pin = 0 - return n +"""KyuPy's Built-In Technology Libraries +Technology libraries provide cell definitions and their implementation with simulation primitives. +A couple of common standard cell libraries are built-in. +Others can be easily added by providing a bench-like description of the cells. +""" -class TechLib: - """Provides some information specific to standard cell libraries necessary - for loading gate-level designs. :py:class:`~kyupy.circuit.Node` objects do not - have pin names. The methods defined here map pin names to pin directions and defined - positions in the ``node.ins`` and ``node.outs`` lists. The default implementation - provides mappings for SAED-inspired standard cell libraries. - """ +import re +from itertools import product + +from . import bench + +class TechLibOld: @staticmethod def pin_index(kind, pin): - """Returns a pin list position for a given node kind and pin name.""" + if isinstance(pin, int): + return max(0, pin-1) if kind[:3] in ('OAI', 'AOI'): if pin[0] == 'A': return int(pin[1]) - 1 - if pin[0] == 'B': return int(pin[1]) + int(kind[4]) - 1 + if pin == 'B': return int(kind[3]) + if pin[0] == 'B': return int(pin[1]) - 1 + int(kind[3]) for prefix, pins, index in [('HADD', ('B0', 'SO'), 1), + ('HADD', ('A0', 'C1'), 0), ('MUX21', ('S', 'S0'), 2), ('MX2', ('S0',), 2), ('TBUF', ('OE',), 1), @@ -45,7 +34,9 @@ class TechLib: ('SDFF', ('QN',), 1), ('SDFF', ('CLK',), 3), ('SDFF', ('RSTB', 'RN'), 4), - ('SDFF', ('SETB',), 5)]: + ('SDFF', ('SETB',), 5), + ('ISOL', ('ISO',), 0), + ('ISOL', ('D',), 1)]: if kind.startswith(prefix) and pin in pins: return index for index, pins in enumerate([('A1', 'IN1', 'A', 'S', 'INP', 'I', 'Q', 'QN', 'Y', 'Z', 'ZN'), ('A2', 'IN2', 'B', 'CK', 'CLK', 'CO', 'SE'), @@ -58,254 +49,367 @@ class TechLib: @staticmethod def pin_is_output(kind, pin): - """Returns True, if given pin name of a node kind is an output.""" + if isinstance(pin, int): + return pin == 0 if 'MUX' in kind and pin == 'S': return False return pin in ('Q', 'QN', 'Z', 'ZN', 'Y', 'CO', 'S', 'SO', 'C1') - @staticmethod - def split_complex_gates(circuit): - node_list = circuit.nodes - for n in node_list: - name = n.name - ins = n.ins - outs = n.outs - if n.kind.startswith('AO21X'): - n.remove() - n_and = add_and_connect(circuit, name+'~and', 'AND2', ins[0], ins[1], None) - n_or = add_and_connect(circuit, name+'~or', 'OR2', None, ins[2], outs[0]) - Line(circuit, n_and, n_or) - elif n.kind.startswith('AOI21X'): - n.remove() - n_and = add_and_connect(circuit, name+'~and', 'AND2', ins[0], ins[1], None) - n_nor = add_and_connect(circuit, name+'~nor', 'NOR2', None, ins[2], outs[0]) - Line(circuit, n_and, n_nor) - elif n.kind.startswith('OA21X'): - n.remove() - n_or = add_and_connect(circuit, name+'~or', 'OR2', ins[0], ins[1], None) - n_and = add_and_connect(circuit, name+'~and', 'AND2', None, ins[2], outs[0]) - Line(circuit, n_or, n_and) - elif n.kind.startswith('OAI21X'): - n.remove() - n_or = add_and_connect(circuit, name+'~or', 'OR2', ins[0], ins[1], None) - n_nand = add_and_connect(circuit, name+'~nand', 'NAND2', None, ins[2], outs[0]) - Line(circuit, n_or, n_nand) - elif n.kind.startswith('OA22X'): - n.remove() - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n_and = add_and_connect(circuit, name+'~and', 'AND2', None, None, outs[0]) - Line(circuit, n_or0, n_and) - Line(circuit, n_or1, n_and) - elif n.kind.startswith('OAI22X'): - n.remove() - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n_nand = add_and_connect(circuit, name+'~nand', 'NAND2', None, None, outs[0]) - Line(circuit, n_or0, n_nand) - Line(circuit, n_or1, n_nand) - elif n.kind.startswith('AO22X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_or = add_and_connect(circuit, name+'~or', 'OR2', None, None, outs[0]) - Line(circuit, n_and0, n_or) - Line(circuit, n_and1, n_or) - elif n.kind.startswith('AOI22X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_nor = add_and_connect(circuit, name+'~nor', 'NOR2', None, None, outs[0]) - Line(circuit, n_and0, n_nor) - Line(circuit, n_and1, n_nor) - elif n.kind.startswith('AO221X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', None, None, None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', None, ins[4], outs[0]) - Line(circuit, n_and0, n_or0) - Line(circuit, n_and1, n_or0) - Line(circuit, n_or0, n_or1) - elif n.kind.startswith('AOI221X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_or = add_and_connect(circuit, name+'~or', 'OR2', None, None, None) - n_nor = add_and_connect(circuit, name+'~nor', 'NOR2', None, ins[4], outs[0]) - Line(circuit, n_and0, n_or) - Line(circuit, n_and1, n_or) - Line(circuit, n_or, n_nor) - elif n.kind.startswith('OA221X'): - n.remove() - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', None, None, None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', None, ins[4], outs[0]) - Line(circuit, n_or0, n_and0) - Line(circuit, n_or1, n_and0) - Line(circuit, n_and0, n_and1) - elif n.kind.startswith('OAI221X'): - n.remove() - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', None, None, None) - n_nand1 = add_and_connect(circuit, name+'~nand1', 'NAND2', None, ins[4], outs[0]) - Line(circuit, n_or0, n_and0) - Line(circuit, n_or1, n_and0) - Line(circuit, n_and0, n_nand1) - elif n.kind.startswith('AO222X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_and2 = add_and_connect(circuit, name+'~and2', 'AND2', ins[4], ins[5], None) - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', None, None, None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', None, None, outs[0]) - Line(circuit, n_and0, n_or0) - Line(circuit, n_and1, n_or0) - Line(circuit, n_and2, n_or1) - Line(circuit, n_or0, n_or1) - elif n.kind.startswith('AOI222X'): - n.remove() - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n_and2 = add_and_connect(circuit, name+'~and2', 'AND2', ins[4], ins[5], None) - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', None, None, None) - n_nor1 = add_and_connect(circuit, name+'~nor1', 'NOR2', None, None, outs[0]) - Line(circuit, n_and0, n_or0) - Line(circuit, n_and1, n_or0) - Line(circuit, n_and2, n_nor1) - Line(circuit, n_or0, n_nor1) - elif n.kind.startswith('OA222X'): - n.remove() - n_or0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n_or1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n_or2 = add_and_connect(circuit, name+'~or2', 'OR2', ins[4], ins[5], None) - n_and0 = add_and_connect(circuit, name+'~and0', 'AND2', None, None, None) - n_and1 = add_and_connect(circuit, name+'~and1', 'AND2', None, None, outs[0]) - Line(circuit, n_or0, n_and0) - Line(circuit, n_or1, n_and0) - Line(circuit, n_or2, n_and1) - Line(circuit, n_and0, n_and1) - elif n.kind.startswith('OAI222X'): - n.remove() - n0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n2 = add_and_connect(circuit, name+'~or2', 'OR2', ins[4], ins[5], None) - n3 = add_and_connect(circuit, name+'~and0', 'AND2', None, None, None) - n4 = add_and_connect(circuit, name+'~nand1', 'NAND2', None, None, outs[0]) - Line(circuit, n0, n3) - Line(circuit, n1, n3) - Line(circuit, n2, n4) - Line(circuit, n3, n4) - elif n.kind.startswith('AND3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~and1', 'AND2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('OR3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~or1', 'OR2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('XOR3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~xor0', 'XOR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~xor1', 'XOR2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('NAND3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~and', 'AND2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~nand', 'NAND2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('NOR3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~or', 'OR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~nor', 'NOR2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('XNOR3X'): - n.remove() - n0 = add_and_connect(circuit, name+'~xor', 'XOR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~xnor', 'XNOR2', None, ins[2], outs[0]) - Line(circuit, n0, n1) - elif n.kind.startswith('AND4X'): - n.remove() - n0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n2 = add_and_connect(circuit, name+'~and2', 'AND2', None, None, outs[0]) - Line(circuit, n0, n2) - Line(circuit, n1, n2) - elif n.kind.startswith('OR4X'): - n.remove() - n0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n2 = add_and_connect(circuit, name+'~or2', 'OR2', None, None, outs[0]) - Line(circuit, n0, n2) - Line(circuit, n1, n2) - elif n.kind.startswith('NAND4X'): - n.remove() - n0 = add_and_connect(circuit, name+'~and0', 'AND2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~and1', 'AND2', ins[2], ins[3], None) - n2 = add_and_connect(circuit, name+'~nand2', 'NAND2', None, None, outs[0]) - Line(circuit, n0, n2) - Line(circuit, n1, n2) - elif n.kind.startswith('NOR4X'): - n.remove() - n0 = add_and_connect(circuit, name+'~or0', 'OR2', ins[0], ins[1], None) - n1 = add_and_connect(circuit, name+'~or1', 'OR2', ins[2], ins[3], None) - n2 = add_and_connect(circuit, name+'~nor2', 'NOR2', None, None, outs[0]) - Line(circuit, n0, n2) - Line(circuit, n1, n2) - elif n.kind.startswith('FADDX'): - n.remove() - # forks for fan-outs - f_a = add_and_connect(circuit, name + '~fork0', '__fork__', ins[0]) - f_b = add_and_connect(circuit, name + '~fork1', '__fork__', ins[1]) - f_ci = add_and_connect(circuit, name + '~fork2', '__fork__', ins[2]) - f_ab = Node(circuit, name + '~fork3') - # sum-block - n_xor0 = Node(circuit, name + '~xor0', 'XOR2') - Line(circuit, f_a, n_xor0) - Line(circuit, f_b, n_xor0) - Line(circuit, n_xor0, f_ab) - if len(outs) > 0 and outs[0] is not None: - n_xor1 = add_and_connect(circuit, name + '~xor1', 'XOR2', None, None, outs[0]) - Line(circuit, f_ab, n_xor1) - Line(circuit, f_ci, n_xor1) - # carry-block - if len(outs) > 1 and outs[1] is not None: - n_and0 = Node(circuit, name + '~and0', 'AND2') - Line(circuit, f_ab, n_and0) - Line(circuit, f_ci, n_and0) - n_and1 = Node(circuit, name + '~and1', 'AND2') - Line(circuit, f_a, n_and1) - Line(circuit, f_b, n_and1) - n_or = add_and_connect(circuit, name + '~or0', 'OR2', None, None, outs[1]) - Line(circuit, n_and0, n_or) - Line(circuit, n_and1, n_or) - elif n.kind.startswith('HADDX'): - n.remove() - # forks for fan-outs - f_a = add_and_connect(circuit, name + '~fork0', '__fork__', ins[0]) - f_b = add_and_connect(circuit, name + '~fork1', '__fork__', ins[1]) - n_xor0 = add_and_connect(circuit, name + '~xor0', 'XOR2', None, None, outs[1]) - Line(circuit, f_a, n_xor0) - Line(circuit, f_b, n_xor0) - n_and0 = add_and_connect(circuit, name + '~and0', 'AND2', None, None, outs[0]) - Line(circuit, f_a, n_and0) - Line(circuit, f_b, n_and0) - elif n.kind.startswith('MUX21X'): - n.remove() - f_s = add_and_connect(circuit, name + '~fork0', '__fork__', ins[2]) - n_not = Node(circuit, name + '~not', 'INV') - Line(circuit, f_s, n_not) - n_and0 = add_and_connect(circuit, name + '~and0', 'AND2', ins[0]) - n_and1 = add_and_connect(circuit, name + '~and1', 'AND2', ins[1]) - n_or0 = add_and_connect(circuit, name + '~or0', 'OR2', None, None, outs[0]) - Line(circuit, n_not, n_and0) - Line(circuit, f_s, n_and1) - Line(circuit, n_and0, n_or0) - Line(circuit, n_and1, n_or0) - elif n.kind.startswith('DFFSSR'): - n.kind = 'DFFX1' - n_and0 = add_and_connect(circuit, name + '~and0', 'AND2', ins[0], ins[2], None) - Line(circuit, n_and0, (n, 0)) + +class TechLib: + """Class for standard cell library definitions. + + :py:class:`~kyupy.circuit.Node` objects do not have pin names. + This class maps pin names to pin directions and defined positions in the ``node.ins`` and ``node.outs`` lists. + Furthermore, it gives access to implementations of complex cells. See also :py:func:`~kyupy.circuit.substitute` and + :py:func:`~kyupy.circuit.resolve_tlib_cells`. + """ + def __init__(self, lib_src): + self.cells = dict() + """A dictionary with pin definitions and circuits for each cell kind (type). + """ + for c_str in re.split(r';\s+', lib_src): + c_str = re.sub(r'^\s+', '', c_str) + name_len = c_str.find(' ') + if name_len <= 0: continue + c = bench.parse(c_str[name_len:]) + c.name = c_str[:name_len] + c.eliminate_1to1_forks() + i_idx, o_idx = 0, 0 + pin_dict = dict() + for n in c.io_nodes: + if len(n.ins) == 0: + pin_dict[n.name] = (i_idx, False) + i_idx += 1 + else: + pin_dict[n.name] = (o_idx, True) + o_idx += 1 + parts = [s[1:-1].split(',') if s[0] == '{' else [s] for s in re.split(r'({[^}]+})', c.name) if len(s) > 0] + for name in [''.join(item) for item in product(*parts)]: + self.cells[name] = (c, pin_dict) + + def pin_index(self, kind, pin): + """Returns a pin list position for a given node kind and pin name.""" + assert kind in self.cells, f'Unknown cell: {kind}' + assert pin in self.cells[kind][1], f'Unknown pin: {pin} for cell {kind}' + return self.cells[kind][1][pin][0] + + def pin_is_output(self, kind, pin): + """Returns True, if given pin name of a node kind is an output.""" + assert kind in self.cells, f'Unknown cell: {kind}' + assert pin in self.cells[kind][1], f'Unknown pin: {pin} for cell {kind}' + return self.cells[kind][1][pin][1] + + +GSC180 = TechLib(r""" +BUFX{1,3} input(A) output(Y) Y=BUF1(A) ; +CLKBUFX{1,2,3} input(A) output(Y) Y=BUF1(A) ; +INVX{1,2,4,8} input(A) output(Y) Y=INV1(A) ; +TBUFX{1,2,4,8} input(A,OE) output(Y) Y=AND2(A,OE) ; +TINVX1 input(A,OE) output(Y) AB=INV1(A) Y=AND2(AB,OE) ; + +AND2X1 input(A,B) output(Y) Y=AND2(A,B) ; +NAND2X{1,2} input(A,B) output(Y) Y=NAND2(A,B) ; +NAND3X1 input(A,B,C) output(Y) Y=NAND3(A,B,C) ; +NAND4X1 input(A,B,C,D) output(Y) Y=NAND4(A,B,C,D) ; +OR2X1 input(A,B) output(Y) Y=OR2(A,B) ; +OR4X1 input(A,B,C,D) output(Y) Y=OR4(A,B,C,D) ; +NOR2X1 input(A,B) output(Y) Y=NOR2(A,B) ; +NOR3X1 input(A,B,C) output(Y) Y=NOR3(A,B,C) ; +NOR4X1 input(A,B,C,D) output(Y) Y=NOR4(A,B,C,D) ; +XOR2X1 input(A,B) output(Y) Y=XOR2(A,B) ; + +MX2X1 input(A,B,S0) output(Y) Y=MUX21(A,B,S0) ; +AOI21X1 input(A0,A1,B0) output(Y) Y=AOI21(A0,A1,B0) ; +AOI22X1 input(A0,A1,B0,B1) output(Y) Y=AOI22(A0,A1,B0,B1) ; +OAI21X1 input(A0,A1,B0) output(Y) Y=OAI21(A0,A1,B0) ; +OAI22X1 input(A0,A1,B0,B1) output(Y) Y=OAI22(A0,A1,B0,B1) ; +OAI33X1 input(A0,A1,A2,B0,B1,B2) output(Y) AA=OR2(A0,A1) BB=OR2(B0,B1) Y=OAI22(AA,A2,BB,B2) ; +ADDFX1 input(A,B,CI) output(CO,S) AB=XOR2(A,B) CO=XOR2(AB,CI) S=AO22(AB,CI,A,B) ; +ADDHX1 input(A,B) output(CO,S) CO=XOR2(A,B) S=AND2(A,B) ; + +DFFX1 input(CK,D) output(Q,QN) Q=DFF(D,CK) QN=INV1(Q) ; +DFFSRX1 input(CK,D,RN,SN) output(Q,QN) DR=AND2(D,RN) SET=INV1(SN) DRS=OR2(DR,SET) Q=DFF(DRS,CK) QN=INV1(Q) ; +SDFFSRX1 input(CK,D,RN,SE,SI,SN) output(Q,QN) DR=AND2(D,RN) SET=INV1(SN) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CK) QN=INV1(Q) ; + +TLATSRX1 input(D,G,RN,SN) output(Q,QN) DR=AND2(D,RN) SET=INV1(SN) DRS=OR2(DR,SET) Q=LATCH(DRS,G) QN=INV1(Q) ; +TLATX1 input(C,D) output(Q,QN) Q=LATCH(D,C) QN=INV1(Q) ; +""") +"""The GSC 180nm generic standard cell library. +""" + + +_nangate_common = r""" +FILLCELL_X{1,2,4,8,16,32} ; + +LOGIC0_X1 output(Z) Z=__const0__() ; +LOGIC1_X1 output(Z) Z=__const1__() ; + +BUF_X{1,2,4,8,16,32} input(A) output(Z) Z=BUF1(A) ; +CLKBUF_X{1,2,3} input(A) output(Z) Z=BUF1(A) ; + +NAND2_X{1,2,4} input(A1,A2) output(ZN) ZN=NAND2(A1,A2) ; +NAND3_X{1,2,4} input(A1,A2,A3) output(ZN) ZN=NAND3(A1,A2,A3) ; +NAND4_X{1,2,4} input(A1,A2,A3,A4) output(ZN) ZN=NAND4(A1,A2,A3,A4) ; +NOR2_X{1,2,4} input(A1,A2) output(ZN) ZN=NOR2(A1,A2) ; +NOR3_X{1,2,4} input(A1,A2,A3) output(ZN) ZN=NOR3(A1,A2,A3) ; +NOR4_X{1,2,4} input(A1,A2,A3,A4) output(ZN) ZN=NOR4(A1,A2,A3,A4) ; + +AOI21_X{1,2,4} input(A,B1,B2) output(ZN) ZN=AOI21(B1,B2,A) ; +OAI21_X{1,2,4} input(A,B1,B2) output(ZN) ZN=OAI21(B1,B2,A) ; +AOI22_X{1,2,4} input(A1,A2,B1,B2) output(ZN) ZN=AOI22(A1,A2,B1,B2) ; +OAI22_X{1,2,4} input(A1,A2,B1,B2) output(ZN) ZN=OAI22(A1,A2,B1,B2) ; + +OAI211_X{1,2,4} input(A,B,C1,C2) output(ZN) ZN=OAI211(C1,C2,A,B) ; +AOI211_X{1,2,4} input(A,B,C1,C2) output(ZN) ZN=AOI211(C1,C2,A,B) ; + +MUX2_X{1,2} input(A,B,S) output(Z) Z=MUX21(A,B,S) ; + +AOI221_X{1,2,4} input(A,B1,B2,C1,C2) output(ZN) BC=AO22(B1,B2,C1,C2) ZN=NOR2(BC,A) ; +OAI221_X{1,2,4} input(A,B1,B2,C1,C2) output(ZN) BC=OA22(B1,B2,C1,C2) ZN=NAND2(BC,A) ; + +AOI222_X{1,2,4} input(A1,A2,B1,B2,C1,C2) output(ZN) BC=AO22(B1,B2,C1,C2) ZN=AOI21(A1,A2,BC) ; +OAI222_X{1,2,4} input(A1,A2,B1,B2,C1,C2) output(ZN) BC=OA22(B1,B2,C1,C2) ZN=OAI21(A1,A2,BC) ; + +OAI33_X1 input(A1,A2,A3,B1,B2,B3) output(ZN) AA=OR2(A1,A2) BB=OR2(B1,B2) ZN=OAI22(AA,A3,BB,B3) ; + +HA_X1 input(A,B) output(CO,S) CO=XOR2(A,B) S=AND2(A,B) ; + +FA_X1 input(A,B,CI) output(CO,S) AB=XOR2(A,B) CO=XOR2(AB,CI) S=AO22(CI,A,B) ; + +CLKGATE_X{1,2,4,8} input(CK,E) output(GCK) GCK=AND2(CK,E) ; + +CLKGATETST_X{1,2,4,8} input(CK,E,SE) output(GCK) GCK=OA21(CK,E,SE) ; + +DFF_X{1,2} input(D,CK) output(Q,QN) Q=DFF(D,CK) QN=INV1(Q) ; +DFFR_X{1,2} input(D,RN,CK) output(Q,QN) DR=AND2(D,RN) Q=DFF(DR,CK) QN=INV1(Q) ; +DFFS_X{1,2} input(D,SN,CK) output(Q,QN) S=INV1(SN) DS=OR2(D,S) Q=DFF(DS,CK) QN=INV1(Q) ; +DFFRS_X{1,2} input(D,RN,SN,CK) output(Q,QN) S=INV1(SN) DS=OR2(D,S) DRS=AND2(DS,RN) Q=DFF(DRS,CK) QN=INV1(Q) ; + +SDFF_X{1,2} input(D,SE,SI,CK) output(Q,QN) DI=MUX21(D,SI,SE) Q=DFF(DI,CK) QN=INV1(Q) ; +SDFFR_X{1,2} input(D,RN,SE,SI,CK) output(Q,QN) DR=AND2(D,RN) DI=MUX21(DR,SI,SE) Q=DFF(DI,CK) QN=INV1(Q) ; +SDFFS_X{1,2} input(D,SE,SI,SN,CK) output(Q,QN) S=INV1(SN) DS=OR2(D,S) DI=MUX21(DS,SI,SE) Q=DFF(DI,CK) QN=INV1(Q) ; +SDFFRS_X{1,2} input(D,RN,SE,SI,SN,CK) output(Q,QN) S=INV1(SN) DS=OR2(D,S) DRS=AND2(DS,RN) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CK) QN=INV1(Q) ; + +TBUF_X{1,2,4,8,16} input(A,EN) output(Z) Z=BUF1(A) ; +TINV_X1 input(I,EN) output(ZN) ZN=INV1(I) ; +TLAT_X1 input(D,G,OE) output(Q) Q=LATCH(D,G) ; + +DLH_X{1,2} input(D,G) output(Q) Q=LATCH(D,G) ; +DLL_X{1,2} input(D,GN) output(Q) G=INV1(GN) Q=LATCH(D,G) ; +""" + + +NANGATE = TechLib(_nangate_common + r""" +INV_X{1,2,4,8,16,32} input(I) output(ZN) ZN=INV1(I) ; + +AND2_X{1,2,4} input(A1,A2) output(Z) Z=AND2(A1,A2) ; +AND3_X{1,2,4} input(A1,A2,A3) output(Z) Z=AND3(A1,A2,A3) ; +AND4_X{1,2,4} input(A1,A2,A3,A4) output(Z) Z=AND4(A1,A2,A3,A4) ; +OR2_X{1,2,4} input(A1,A2) output(Z) Z=OR2(A1,A2) ; +OR3_X{1,2,4} input(A1,A2,A3) output(Z) Z=OR3(A1,A2,A3) ; +OR4_X{1,2,4} input(A1,A2,A3,A4) output(Z) Z=OR4(A1,A2,A3,A4) ; +XOR2_X{1,2} input(A1,A2) output(Z) Z=XOR2(A1,A2) ; +XNOR2_X{1,2} input(A1,A2) output(ZN) ZN=XNOR2(A1,A2) ; +""") +"""An newer NANGATE-variant that uses 'Z' as output pin names for AND and OR gates. +""" + + +NANGATE_ZN = TechLib(_nangate_common + r""" +INV_X{1,2,4,8,16,32} input(A) output(ZN) ZN=INV1(A) ; + +AND2_X{1,2,4} input(A1,A2) output(ZN) ZN=AND2(A1,A2) ; +AND3_X{1,2,4} input(A1,A2,A3) output(ZN) ZN=AND3(A1,A2,A3) ; +AND4_X{1,2,4} input(A1,A2,A3,A4) output(ZN) ZN=AND4(A1,A2,A3,A4) ; +OR2_X{1,2,4} input(A1,A2) output(ZN) ZN=OR2(A1,A2) ; +OR3_X{1,2,4} input(A1,A2,A3) output(ZN) ZN=OR3(A1,A2,A3) ; +OR4_X{1,2,4} input(A1,A2,A3,A4) output(ZN) ZN=OR4(A1,A2,A3,A4) ; +XOR2_X{1,2} input(A,B) output(Z) Z=XOR2(A,B) ; +XNOR2_X{1,2} input(A,B) output(ZN) ZN=XNOR2(A,B) ; +""") +"""An older NANGATE-variant that uses 'ZN' as output pin names for AND and OR gates. +""" + + +SAED32 = TechLib(r""" +NBUFFX{2,4,8,16,32}$ input(A) output(Y) Y=BUF1(A) ; +AOBUFX{1,2,4}$ input(A) output(Y) Y=BUF1(A) ; +DELLN{1,2,3}X2$ input(A) output(Y) Y=BUF1(A) ; + +INVX{0,1,2,4,8,16,32}$ input(A) output(Y) Y=INV1(A) ; +AOINVX{1,2,4}$ input(A) output(Y) Y=INV1(A) ; +IBUFFX{2,4,8,16,32}$ input(A) output(Y) Y=INV1(A) ; + +TIEH$ output(Y) Y=__const1__() ; +TIEL$ output(Y) Y=__const0__() ; + +HEAD2X{2,4,8,16,32}$ input(SLEEP) output(SLEEPOUT) SLEEPOUT=BUF1(SLEEP) ; +HEADX{2,4,8,16,32}$ input(SLEEP) ; + +FOOT2X{2,4,8,16,32}$ input(SLEEP) output(SLEEPOUT) SLEEPOUT=BUF1(SLEEP) ; +FOOTX{2,4,8,16,32}$ input(SLEEP) ; + +ANTENNA$ input(INP) ; +CLOAD1$ input(A) ; +DCAP$ ; +DHFILLH2$ ; +DHFILLHL2$ ; +DHFILLHLHLS11$ ; +SHFILL{1,2,3,64,128}$ ; + +AND2X{1,2,4}$ input(A1,A2) output(Y) Y=AND2(A1,A2) ; +AND3X{1,2,4}$ input(A1,A2,A3) output(Y) Y=AND3(A1,A2,A3) ; +AND4X{1,2,4}$ input(A1,A2,A3,A4) output(Y) Y=AND4(A1,A2,A3,A4) ; +OR2X{1,2,4}$ input(A1,A2) output(Y) Y=OR2(A1,A2) ; +OR3X{1,2,4}$ input(A1,A2,A3) output(Y) Y=OR3(A1,A2,A3) ; +OR4X{1,2,4}$ input(A1,A2,A3,A4) output(Y) Y=OR4(A1,A2,A3,A4) ; +XOR2X{1,2}$ input(A1,A2) output(Y) Y=XOR2(A1,A2) ; +XOR3X{1,2}$ input(A1,A2,A3) output(Y) Y=XOR3(A1,A2,A3) ; +NAND2X{0,1,2,4}$ input(A1,A2) output(Y) Y=NAND2(A1,A2) ; +NAND3X{0,1,2,4}$ input(A1,A2,A3) output(Y) Y=NAND3(A1,A2,A3) ; +NAND4X{0,1}$ input(A1,A2,A3,A4) output(Y) Y=NAND4(A1,A2,A3,A4) ; +NOR2X{0,1,2,4}$ input(A1,A2) output(Y) Y=NOR2(A1,A2) ; +NOR3X{0,1,2,4}$ input(A1,A2,A3) output(Y) Y=NOR3(A1,A2,A3) ; +NOR4X{0,1}$ input(A1,A2,A3,A4) output(Y) Y=NOR4(A1,A2,A3,A4) ; +XNOR2X{1,2}$ input(A1,A2) output(Y) Y=XNOR2(A1,A2) ; +XNOR3X{1,2}$ input(A1,A2,A3) output(Y) Y=XNOR3(A1,A2,A3) ; + +ISOLAND{,AO}X{1,2,4,8}$ input(ISO,D) output(Q) ISOB=NOT1(ISO) Q=AND2(ISOB,D) ; +ISOLOR{,AO}X{1,2,4,8}$ input(ISO,D) output(Q) Q=OR2(ISO,D) ; + +AO21X{1,2}$ input(A1,A2,A3) output(Y) Y=AO21(A1,A2,A3) ; +OA21X{1,2}$ input(A1,A2,A3) output(Y) Y=OA21(A1,A2,A3) ; +AOI21X{1,2}$ input(A1,A2,A3) output(Y) Y=AOI21(A1,A2,A3) ; +OAI21X{1,2}$ input(A1,A2,A3) output(Y) Y=OAI21(A1,A2,A3) ; + +AO22X{1,2}$ input(A1,A2,A3,A4) output(Y) Y=AO22(A1,A2,A3,A4) ; +OA22X{1,2}$ input(A1,A2,A3,A4) output(Y) Y=OA22(A1,A2,A3,A4) ; +AOI22X{1,2}$ input(A1,A2,A3,A4) output(Y) Y=AOI22(A1,A2,A3,A4) ; +OAI22X{1,2}$ input(A1,A2,A3,A4) output(Y) Y=OAI22(A1,A2,A3,A4) ; + +MUX21X{1,2}$ input(A1,A2,S0) output(Y) Y=MUX21(A1,A2,S0) ; + +AO221X{1,2}$ input(A1,A2,A3,A4,A5) output(Y) A=AO22(A1,A2,A3,A4) Y=OR2(A5,A) ; +OA221X{1,2}$ input(A1,A2,A3,A4,A5) output(Y) A=OA22(A1,A2,A3,A4) Y=AND2(A5,A) ; +AOI221X{1,2}$ input(A1,A2,A3,A4,A5) output(Y) A=AO22(A1,A2,A3,A4) Y=NOR2(A5,A) ; +OAI221X{1,2}$ input(A1,A2,A3,A4,A5) output(Y) A=OA22(A1,A2,A3,A4) Y=NAND2(A5,A) ; + +AO222X{1,2}$ input(A1,A2,A3,A4,A5,A6) output(Y) A=AO22(A1,A2,A3,A4) Y=AO21(A5,A6,A) ; +OA222X{1,2}$ input(A1,A2,A3,A4,A5,A6) output(Y) A=OA22(A1,A2,A3,A4) Y=OA21(A5,A6,A) ; +AOI222X{1,2}$ input(A1,A2,A3,A4,A5,A6) output(Y) A=AO22(A1,A2,A3,A4) Y=AOI21(A5,A6,A) ; +OAI222X{1,2}$ input(A1,A2,A3,A4,A5,A6) output(Y) A=OA22(A1,A2,A3,A4) Y=OAI21(A5,A6,A) ; + +MUX41X{1,2}$ input(A1,A2,A3,A4,S0,S1) output(Y) A=MUX21(A1,A2,S0) B=MUX21(A3,A4,S0) Y=MUX21(A,B,S1) ; + +DEC24X{1,2}$ input(A0,A1) output(Y0,Y1,Y2,Y3) A0B=INV1(A0) A1B=INV1(A1) Y0=NOR2(A0,A1) Y1=AND(A0,A1B) Y2=AND(A0B,A1) Y3=AND(A0,A1) ; +FADDX{1,2}$ input(A,B,CI) output(S,CO) AB=XOR2(A,B) CO=XOR2(AB,CI) S=AO22(AB,CI,A,B) ; +HADDX{1,2}$ input(A0,B0) output(SO,C1) C1=XOR2(A0,B0) SO=AND2(A0,B0) ; + +{,AO}DFFARX{1,2}$ input(D,CLK,RSTB) output(Q,QN) DR=AND2(D,RSTB) Q=DFF(DR,CLK) QN=INV1(Q) ; +DFFASRX{1,2}$ input(D,CLK,RSTB,SETB) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) Q=DFF(DRS,CLK) QN=INV1(Q) ; +DFFASX{1,2}$ input(D,CLK,SETB) output(Q,QN) SET=INV1(SETB) DS=OR2(D,SET) Q=DFF(DS,CLK) QN=INV1(Q) ; +DFFSSRX{1,2}$ input(CLK,D,RSTB,SETB) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) Q=DFF(DRS,CLK) QN=INV1(Q) ; +DFFX{1,2}$ input(D,CLK) output(Q,QN) Q=DFF(D,CLK) QN=INV1(Q) ; + +SDFFARX{1,2}$ input(D,CLK,RSTB,SE,SI) output(Q,QN) DR=AND2(D,RSTB) DI=MUX21(DR,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFASRSX{1,2}$ input(D,CLK,RSTB,SETB,SE,SI) output(Q,QN,SO) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) SO=BUF1(Q) ; +SDFFASRX{1,2}$ input(D,CLK,RSTB,SETB,SE,SI) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFASX{1,2}$ input(D,CLK,SETB,SE,SI) output(Q,QN) SET=INV1(SETB) DS=OR2(D,SET) DI=MUX21(DS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFSSRX{1,2}$ input(CLK,D,RSTB,SETB,SI,SE) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFX{1,2}$ input(D,CLK,SE,SI) output(Q,QN) DI=MUX21(D,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; + +LATCHX{1,2}$ input(D,CLK) output(Q,QN) Q=LATCH(D,CLK) QN=INV1(Q) ; +""".replace('$','_RVT')) +"""The SAED 32nm educational technology library. +It defines all cells except: negative-edge flip-flops, tri-state, latches, clock gating, level shifters +""" + + +SAED90 = TechLib(r""" +NBUFFX{2,4,8,16,32}$ input(INP) output(Z) Z=BUF1(INP) ; +AOBUFX{1,2,4}$ input(INP) output(Z) Z=BUF1(INP) ; +DELLN{1,2,3}X2$ input(INP) output(Z)Z=BUF1(INP) ; + +INVX{0,1,2,4,8,16,32}$ input(INP) output(ZN) ZN=INV1(INP) ; +AOINVX{1,2,4}$ input(INP) output(ZN) ZN=INV1(INP) ; +IBUFFX{2,4,8,16,32}$ input(INP) output(ZN) ZN=INV1(INP) ; + +TIEH$ output(Z) Z=__const1__() ; +TIEL$ output(ZN) ZN=__const0__() ; + +HEAD2X{2,4,8,16,32}$ input(SLEEP) output(SLEEPOUT) SLEEPOUT=BUF1(SLEEP) ; +HEADX{2,4,8,16,32}$ input(SLEEP) ; + +ANTENNA$ input(INP) ; +CLOAD1$ input(INP) ; +DCAP$ ; +DHFILL{HLH,LHL}2 ; +DHFILLHLHLS11$ ; +SHFILL{1,2,3,64,128}$ ; + +AND2X{1,2,4}$ input(IN1,IN2) output(Q) Q=AND2(IN1,IN2) ; +AND3X{1,2,4}$ input(IN1,IN2,IN3) output(Q) Q=AND3(IN1,IN2,IN3) ; +AND4X{1,2,4}$ input(IN1,IN2,IN3,IN4) output(Q) Q=AND4(IN1,IN2,IN3,IN4) ; +OR2X{1,2,4}$ input(IN1,IN2) output(Q) Q=OR2(IN1,IN2) ; +OR3X{1,2,4}$ input(IN1,IN2,IN3) output(Q) Q=OR3(IN1,IN2,IN3) ; +OR4X{1,2,4}$ input(IN1,IN2,IN3,IN4) output(Q) Q=OR4(IN1,IN2,IN3,IN4) ; +XOR2X{1,2}$ input(IN1,IN2) output(Q) Q=XOR2(IN1,IN2) ; +XOR3X{1,2}$ input(IN1,IN2,IN3) output(Q) Q=XOR3(IN1,IN2,IN3) ; +NAND2X{0,1,2,4}$ input(IN1,IN2) output(QN) QN=NAND2(IN1,IN2) ; +NAND3X{0,1,2,4}$ input(IN1,IN2,IN3) output(QN) QN=NAND3(IN1,IN2,IN3) ; +NAND4X{0,1}$ input(IN1,IN2,IN3,IN4) output(QN) QN=NAND4(IN1,IN2,IN3,IN4) ; +NOR2X{0,1,2,4}$ input(IN1,IN2) output(QN) QN=NOR2(IN1,IN2) ; +NOR3X{0,1,2,4}$ input(IN1,IN2,IN3) output(QN) QN=NOR3(IN1,IN2,IN3) ; +NOR4X{0,1}$ input(IN1,IN2,IN3,IN4) output(QN) QN=NOR4(IN1,IN2,IN3,IN4) ; +XNOR2X{1,2}$ input(IN1,IN2) output(Q) Q=XNOR2(IN1,IN2) ; +XNOR3X{1,2}$ input(IN1,IN2,IN3) output(Q) Q=XNOR3(IN1,IN2,IN3) ; + +ISOLAND{,AO}X{1,2,4,8}$ input(ISO,D) output(Q) ISOB=NOT1(ISO) Q=AND2(ISOB,D) ; +ISOLOR{,AO}X{1,2,4,8}$ input(ISO,D) output(Q) Q=OR2(ISO,D) ; + +AO21X{1,2}$ input(IN1,IN2,IN3) output(Q) Q=AO21(IN1,IN2,IN3) ; +OA21X{1,2}$ input(IN1,IN2,IN3) output(Q) Q=OA21(IN1,IN2,IN3) ; +AOI21X{1,2}$ input(IN1,IN2,IN3) output(QN) QN=AOI21(IN1,IN2,IN3) ; +OAI21X{1,2}$ input(IN1,IN2,IN3) output(QN) QN=OAI21(IN1,IN2,IN3) ; + +AO22X{1,2}$ input(IN1,IN2,IN3,IN4) output(Q) Q=AO22(IN1,IN2,IN3,IN4) ; +OA22X{1,2}$ input(IN1,IN2,IN3,IN4) output(Q) Q=OA22(IN1,IN2,IN3,IN4) ; +AOI22X{1,2}$ input(IN1,IN2,IN3,IN4) output(QN) QN=AOI22(IN1,IN2,IN3,IN4) ; +OAI22X{1,2}$ input(IN1,IN2,IN3,IN4) output(QN) QN=OAI22(IN1,IN2,IN3,IN4) ; + +MUX21X{1,2}$ input(IN1,IN2,S) output(Q) Q=MUX21(IN1,IN2,S) ; + +AO221X{1,2}$ input(IN1,IN2,IN3,IN4,IN5) output(Q) A=AO22(IN1,IN2,IN3,IN4) Q=OR2(IN5,A) ; +OA221X{1,2}$ input(IN1,IN2,IN3,IN4,IN5) output(Q) A=OA22(IN1,IN2,IN3,IN4) Q=AND2(IN5,A) ; +AOI221X{1,2}$ input(IN1,IN2,IN3,IN4,IN5) output(QN) A=AO22(IN1,IN2,IN3,IN4) QN=NOR2(IN5,A) ; +OAI221X{1,2}$ input(IN1,IN2,IN3,IN4,IN5) output(QN) A=OA22(IN1,IN2,IN3,IN4) QN=NAND2(IN5,A) ; + +AO222X{1,2}$ input(IN1,IN2,IN3,IN4,IN5,IN6) output(Q) A=AO22(IN1,IN2,IN3,IN4) Q=AO21(IN5,IN6,A) ; +OA222X{1,2}$ input(IN1,IN2,IN3,IN4,IN5,IN6) output(Q) A=OA22(IN1,IN2,IN3,IN4) Q=OA21(IN5,IN6,A) ; +AOI222X{1,2}$ input(IN1,IN2,IN3,IN4,IN5,IN6) output(QN) A=AO22(IN1,IN2,IN3,IN4) QN=AOI21(IN5,IN6,A) ; +OAI222X{1,2}$ input(IN1,IN2,IN3,IN4,IN5,IN6) output(QN) A=OA22(IN1,IN2,IN3,IN4) QN=OAI21(IN5,IN6,A) ; + +MUX41X{1,2}$ input(IN1,IN2,IN3,IN4,S0,S1) output(Q) A=MUX21(IN1,IN2,S0) B=MUX21(IN3,IN4,S0) Q=MUX21(A,B,S1) ; + +DEC24X{1,2}$ input(IN1,IN2) output(Q0,Q1,Q2,Q3) IN1B=INV1(IN1) IN2B=INV1(IN2) Q0=NOR2(IN1,IN2) Q1=AND(IN1,IN2B) Q2=AND(IN1B,IN2) Q3=AND(IN1,IN2) ; +FADDX{1,2}$ input(A,B,CI) output(S,CO) AB=XOR2(A,B) CO=XOR2(AB,CI) S=AO22(AB,CI,A,B) ; +HADDX{1,2}$ input(A0,B0) output(SO,C1) C1=XOR2(A0,B0) SO=AND2(A0,B0) ; + +{,AO}DFFARX{1,2}$ input(D,CLK,RSTB) output(Q,QN) DR=AND2(D,RSTB) Q=DFF(DR,CLK) QN=INV1(Q) ; +DFFASRX{1,2}$ input(D,CLK,RSTB,SETB) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) Q=DFF(DRS,CLK) QN=INV1(Q) ; +DFFASX{1,2}$ input(D,CLK,SETB) output(Q,QN) SET=INV1(SETB) DS=OR2(D,SET) Q=DFF(DS,CLK) QN=INV1(Q) ; +DFFSSRX{1,2}$ input(CLK,D,RSTB,SETB) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) Q=DFF(DRS,CLK) QN=INV1(Q) ; +DFFX{1,2}$ input(D,CLK) output(Q,QN) Q=DFF(D,CLK) QN=INV1(Q) ; + +SDFFARX{1,2}$ input(D,CLK,RSTB,SE,SI) output(Q,QN) DR=AND2(D,RSTB) DI=MUX21(DR,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFASRSX{1,2}$ input(D,CLK,RSTB,SETB,SE,SI) output(Q,QN,S0) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) S0=BUF1(Q) ; +SDFFASRX{1,2}$ input(D,CLK,RSTB,SETB,SE,SI) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFASX{1,2}$ input(D,CLK,SETB,SE,SI) output(Q,QN) SET=INV1(SETB) DS=OR2(D,SET) DI=MUX21(DS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFSSRX{1,2}$ input(CLK,D,RSTB,SETB,SI,SE) output(Q,QN) DR=AND2(D,RSTB) SET=INV1(SETB) DRS=OR2(DR,SET) DI=MUX21(DRS,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; +SDFFX{1,2}$ input(D,CLK,SE,SI) output(Q,QN) DI=MUX21(D,SI,SE) Q=DFF(DI,CLK) QN=INV1(Q) ; + +LATCHX{1,2}$ input(D,CLK) output(Q,QN) Q=LATCH(D,CLK) QN=INV1(Q) ; +""".replace('$','{,_LVT,_HVT}')) +"""The SAED 90nm educational technology library. +It defines all cells except: negative-edge flip-flops, tri-state, latches, clock gating, level shifters +""" diff --git a/src/kyupy/verilog.py b/src/kyupy/verilog.py index e8a20bd..1c6a0d1 100644 --- a/src/kyupy/verilog.py +++ b/src/kyupy/verilog.py @@ -1,16 +1,16 @@ """A simple and incomplete parser for Verilog files. The main purpose of this parser is to load synthesized, non-hierarchical (flat) gate-level netlists. -It supports only a very limited subset of Verilog. +It supports only a subset of Verilog. """ from collections import namedtuple -from lark import Lark, Transformer +from lark import Lark, Transformer, Tree from . import log, readtext from .circuit import Circuit, Node, Line -from .techlib import TechLib +from .techlib import NANGATE Instantiation = namedtuple('Instantiation', ['type', 'name', 'pins']) @@ -35,51 +35,89 @@ class SignalDeclaration: class VerilogTransformer(Transformer): - def __init__(self, branchforks=False, tlib=TechLib()): + def __init__(self, branchforks=False, tlib=NANGATE): super().__init__() - self._signal_declarations = {} self.branchforks = branchforks self.tlib = tlib @staticmethod def name(args): s = args[0].value - if s[0] == '\\': - s = s[1:-1] - return s + return s[1:-1] if s[0] == '\\' else s + + @staticmethod + def namedpin(args): + return tuple(args) if len(args) > 1 else (args[0], None) @staticmethod def instantiation(args): - return Instantiation(args[0], args[1], - dict((pin.children[0], - pin.children[1]) for pin in args[2:] if len(pin.children) > 1)) + pinmap = {} + for idx, pin in enumerate(args[2:]): + p = pin.children[0] + if isinstance(p, tuple): # named pin + if p[1] is not None: + pinmap[p[0]] = p[1] + else: # unnamed pin + pinmap[idx] = p + return Instantiation(args[0], args[1], pinmap) def range(self, args): left = int(args[0].value) - right = int(args[1].value) + right = int(args[1].value) if len(args) > 1 else left return range(left, right+1) if left <= right else range(left, right-1, -1) + def sigsel(self, args): + if len(args) > 1 and isinstance(args[1], range): + l = [f'{args[0]}[{i}]' for i in args[1]] + return l if len(l) > 1 else l[0] + elif "'" in args[0]: + width, rest = args[0].split("'") + width = int(width) + base, const = rest[0], rest[1:] + const = int(const, {'b': 2, 'd':10, 'h':16}[base.lower()]) + l = [] + for _ in range(width): + l.insert(0, "1'b1" if (const & 1) else "1'b0") + const >>= 1 + return l if len(l) > 1 else l[0] + else: + return args[0] + + def concat(self, args): + sigs = [] + for a in args: + if isinstance(a, list): + sigs += a + else: + sigs.append(a) + return sigs + def declaration(self, kind, args): rnge = None if isinstance(args[0], range): rnge = args[0] args = args[1:] - for sd in [SignalDeclaration(kind, signal, rnge) for signal in args]: - if kind != 'wire' or sd.basename not in self._signal_declarations: - self._signal_declarations[sd.basename] = sd + return [SignalDeclaration(kind, signal, rnge) for signal in args] - def input(self, args): self.declaration("input", args) - def output(self, args): self.declaration("output", args) - def inout(self, args): self.declaration("input", args) # just treat as input - def wire(self, args): self.declaration("wire", args) + def input(self, args): return self.declaration("input", args) + def output(self, args): return self.declaration("output", args) + def inout(self, args): return self.declaration("input", args) # just treat as input + def wire(self, args): return self.declaration("wire", args) def module(self, args): c = Circuit(args[0]) positions = {} pos = 0 const_count = 0 + sig_decls = {} + for decls in args[2:]: # pass 0: collect signal declarations + if isinstance(decls, list): + if len(decls) > 0 and isinstance(decls[0], SignalDeclaration): + for decl in decls: + if decl.basename not in sig_decls or sig_decls[decl.basename].kind == 'wire': + sig_decls[decl.basename] = decl for intf_sig in args[1].children: - for name in self._signal_declarations[intf_sig].names: + for name in sig_decls[intf_sig].names: positions[name] = pos pos += 1 assignments = [] @@ -88,28 +126,47 @@ class VerilogTransformer(Transformer): n = Node(c, stmt.name, kind=stmt.type) for p, s in stmt.pins.items(): if self.tlib.pin_is_output(n.kind, p): + if s in sig_decls: + s = sig_decls[s].names + if isinstance(s, list) and len(s) == 1: + s = s[0] Line(c, (n, self.tlib.pin_index(stmt.type, p)), Node(c, s)) - elif stmt is not None and stmt.data == 'assign': + elif hasattr(stmt, 'data') and stmt.data == 'assign': assignments.append((stmt.children[0], stmt.children[1])) - for sd in self._signal_declarations.values(): + for sd in sig_decls.values(): if sd.kind == 'output' or sd.kind == 'input': for name in sd.names: n = Node(c, name, kind=sd.kind) if name in positions: - c.interface[positions[name]] = n + c.io_nodes[positions[name]] = n if sd.kind == 'input': Line(c, n, Node(c, name)) - for s1, s2 in assignments: # pass 1.5: process signal assignments - if s1 in c.forks: - assert s2 not in c.forks, 'assignment between two driven signals' - Line(c, c.forks[s1], Node(c, s2)) - elif s2 in c.forks: - assert s1 not in c.forks, 'assignment between two driven signals' - Line(c, c.forks[s2], Node(c, s1)) - elif s2.startswith("1'b"): - cnode = Node(c, f'__const{s2[3]}_{const_count}__', f'__const{s2[3]}__') - const_count += 1 - Line(c, cnode, Node(c, s1)) + for target, source in assignments: # pass 1.5: process signal assignments + target_sigs = [] + if not isinstance(target, list): target = [target] + for s in target: + if s in sig_decls: + target_sigs += sig_decls[s].names + else: + target_sigs.append(s) + source_sigs = [] + if not isinstance(source, list): source = [source] + for s in source: + if s in sig_decls: + source_sigs += sig_decls[s].names + else: + source_sigs.append(s) + for t, s in zip(target_sigs, source_sigs): + if t in c.forks: + assert s not in c.forks, 'assignment between two driven signals' + Line(c, c.forks[t], Node(c, s)) + elif s in c.forks: + assert t not in c.forks, 'assignment between two driven signals' + Line(c, c.forks[s], Node(c, t)) + elif s.startswith("1'b"): + cnode = Node(c, f'__const{s[3]}_{const_count}__', f'__const{s[3]}__') + const_count += 1 + Line(c, cnode, Node(c, t)) for stmt in args[2:]: # pass 2: connect signals to readers if isinstance(stmt, Instantiation): for p, s in stmt.pins.items(): @@ -122,28 +179,34 @@ class VerilogTransformer(Transformer): s = cname Line(c, cnode, Node(c, s)) if s not in c.forks: - log.warn(f'Signal not driven: {s}') - Node(c, s) # generate fork here + if f'{s}[0]' in c.forks: # actually a 1-bit bus? + s = f'{s}[0]' + else: + log.warn(f'Signal not driven: {s}') + Node(c, s) # generate fork here fork = c.forks[s] if self.branchforks: branchfork = Node(c, fork.name + "~" + n.name + "/" + p) Line(c, fork, branchfork) fork = branchfork Line(c, fork, (n, self.tlib.pin_index(stmt.type, p))) - for sd in self._signal_declarations.values(): + for sd in sig_decls.values(): if sd.kind == 'output': for name in sd.names: if name not in c.forks: - log.warn(f'Output not driven: {name}') - else: - Line(c, c.forks[name], c.cells[name]) + if f'{name}[0]' in c.forks: # actually a 1-bit bus? + name = f'{name}[0]' + else: + log.warn(f'Output not driven: {name}') + continue + Line(c, c.forks[name], c.cells[name]) return c @staticmethod def start(args): return args[0] if len(args) == 1 else args -GRAMMAR = """ +GRAMMAR = r""" start: (module)* module: "module" name parameters ";" (_statement)* "endmodule" parameters: "(" [ _namelist ] ")" @@ -153,36 +216,45 @@ GRAMMAR = """ inout: "inout" range? _namelist ";" tri: "tri" range? _namelist ";" wire: "wire" range? _namelist ";" - assign: "assign" name "=" name ";" + assign: "assign" sigsel "=" sigsel ";" instantiation: name name "(" [ pin ( "," pin )* ] ")" ";" - pin: "." name "(" name? ")" - range: "[" /[0-9]+/ ":" /[0-9]+/ "]" - + pin: namedpin | sigsel + namedpin: "." name "(" sigsel? ")" + range: "[" /[0-9]+/ (":" /[0-9]+/)? "]" + sigsel: name range? | concat + concat: "{" sigsel ( "," sigsel )* "}" _namelist: name ( "," name )* - name: ( /[a-z_][a-z0-9_\\[\\]]*/i | /\\\\[^\\t \\r\\n]+[\\t \\r\\n](\\[[0-9]+\\])?/i | /1'b0/i | /1'b1/i ) - COMMENT: "//" /[^\\n]*/ - %ignore ( /\\r?\\n/ | COMMENT )+ - %ignore /[\\t \\f]+/ + name: ( /[a-z_][a-z0-9_]*/i | /\\[^\t \r\n]+[\t \r\n]/i | /[0-9]+'[bdh][0-9a-f]+/i ) + %import common.NEWLINE + COMMENT: /\/\*(\*(?!\/)|[^*])*\*\// | /\(\*(\*(?!\))|[^*])*\*\)/ | "//" /(.)*/ NEWLINE + %ignore ( /\r?\n/ | COMMENT )+ + %ignore /[\t \f]+/ """ -def parse(text, *, branchforks=False, tlib=TechLib()): +def parse(text, tlib=NANGATE, branchforks=False): """Parses the given ``text`` as Verilog code. :param text: A string with Verilog code. + :param tlib: A technology library object that defines all known cells. + :type tlib: :py:class:`~kyupy.techlib.TechLib` :param branchforks: If set to ``True``, the returned circuit will include additional `forks` on each fanout branch. These forks are needed to correctly annotate interconnect delays - (see :py:func:`kyupy.sdf.DelayFile.annotation`). - :param tlib: A technology library object that provides pin name mappings. - :type tlib: :py:class:`~kyupy.techlib.TechLib` - :return: A :class:`~kyupy.circuit.Circuit` object. + (see :py:func:`~kyupy.sdf.DelayFile.interconnects()`). + :return: A :py:class:`~kyupy.circuit.Circuit` object. """ return Lark(GRAMMAR, parser="lalr", transformer=VerilogTransformer(branchforks, tlib)).parse(text) -def load(file, *args, **kwargs): +def load(file, tlib=NANGATE, branchforks=False): """Parses the contents of ``file`` as Verilog code. - The given file may be gzip compressed. Takes the same keyword arguments as :py:func:`parse`. + :param file: A file name or a file handle. Files with `.gz`-suffix are decompressed on-the-fly. + :param tlib: A technology library object that defines all known cells. + :type tlib: :py:class:`~kyupy.techlib.TechLib` + :param branchforks: If set to ``True``, the returned circuit will include additional `forks` on each fanout branch. + These forks are needed to correctly annotate interconnect delays + (see :py:func:`~kyupy.sdf.DelayFile.interconnects()`). + :return: A :py:class:`~kyupy.circuit.Circuit` object. """ - return parse(readtext(file), *args, **kwargs) + return parse(readtext(file), tlib, branchforks) diff --git a/src/kyupy/wave_sim.py b/src/kyupy/wave_sim.py index 763e39f..93a107f 100644 --- a/src/kyupy/wave_sim.py +++ b/src/kyupy/wave_sim.py @@ -13,11 +13,10 @@ Two simulators are available: :py:class:`WaveSim` runs on the CPU, and the deriv """ import math -from bisect import bisect, insort_left import numpy as np -from . import numba, cuda, hr_bytes +from . import numba, cuda, sim, cdiv TMAX = np.float32(2 ** 127) @@ -29,762 +28,428 @@ TMIN = np.float32(-2 ** 127) """A large negative 32-bit floating point value used at the beginning of waveforms that start with logic-1.""" -class Heap: - def __init__(self): - self.chunks = dict() # map start location to chunk size - self.released = list() # chunks that were released - self.current_size = 0 - self.max_size = 0 - - def alloc(self, size): - for idx, loc in enumerate(self.released): - if self.chunks[loc] == size: - del self.released[idx] - return loc - if self.chunks[loc] > size: # split chunk - chunksize = self.chunks[loc] - self.chunks[loc] = size - self.chunks[loc + size] = chunksize - size - self.released[idx] = loc + size # move released pointer: loc -> loc+size - return loc - # no previously released chunk; make new one - loc = self.current_size - self.chunks[loc] = size - self.current_size += size - self.max_size = max(self.max_size, self.current_size) - return loc - - def free(self, loc): - size = self.chunks[loc] - if loc + size == self.current_size: # end of managed area, remove chunk - del self.chunks[loc] - self.current_size -= size - # check and remove prev chunk if free - if len(self.released) > 0: - prev = self.released[-1] - if prev + self.chunks[prev] == self.current_size: - chunksize = self.chunks[prev] - del self.chunks[prev] - del self.released[-1] - self.current_size -= chunksize - return - released_idx = bisect(self.released, loc) - if released_idx < len(self.released) and loc + size == self.released[released_idx]: # next chunk is free, merge - chunksize = size + self.chunks[loc + size] - del self.chunks[loc + size] - self.chunks[loc] = chunksize - size = self.chunks[loc] - self.released[released_idx] = loc - else: - insort_left(self.released, loc) # put in a new release - if released_idx > 0: # check if previous chunk is free - prev = self.released[released_idx - 1] - if prev + self.chunks[prev] == loc: # previous chunk is adjacent to freed one, merge - chunksize = size + self.chunks[prev] - del self.chunks[loc] - self.chunks[prev] = chunksize - del self.released[released_idx] - - def __repr__(self): - r = [] - for loc in sorted(self.chunks.keys()): - size = self.chunks[loc] - released_idx = bisect(self.released, loc) - is_released = released_idx > 0 and len(self.released) > 0 and self.released[released_idx - 1] == loc - r.append(f'{loc:5d}: {"free" if is_released else "used"} {size}') - return "\n".join(r) - - -class WaveSim: +class WaveSim(sim.SimOps): """A waveform-based combinational logic timing simulator running on CPU. :param circuit: The circuit to simulate. - :param timing: The timing annotation of the circuit (see :py:func:`kyupy.sdf.DelayFile.annotation` for details) + :param delays: One or more delay annotations for the circuit (see :py:func:`kyupy.sdf.DelayFile.iopaths` for details). + Each parallel simulation may use the same delays or different delays, depending on the use-case (see :py:attr:`simctl_int`). :param sims: The number of parallel simulations. - :param wavecaps: The number of floats available in each waveform. Waveforms are encoding the signal switching - history by storing transition times. The waveform capacity roughly corresponds to the number of transitions + :param c_caps: The number of floats available in each waveform. Values must be positive and a multiple of 4. + Waveforms encode the signal switching history by storing transition times. + The waveform capacity roughly corresponds to the number of transitions that can be stored. A capacity of ``n`` can store at least ``n-2`` transitions. If more transitions are generated during simulation, the latest glitch is removed (freeing up two transition times) and an overflow flag is set. If an integer is given, all waveforms are set to that same capacity. With an array of length - ``len(circuit.lines)`` the capacity can be controlled for each intermediate waveform individually. + ``len(circuit.lines)`` the capacity is set individually for each intermediate waveform. + :param a_ctrl: An integer array controlling the accumulation of weighted switching activity during simulation. + Its shape must be ``(len(circuit.lines), 3)``. ``a_ctrl[...,0]`` is the index into the accumulation buffer, -1 means ignore. + ``a_ctrl[...,1]`` is the (integer) weight for a rising transition, ``a_ctrl[...,2]`` is the (integer) weight for + a falling transition. The accumulation buffer (:py:attr:`abuf`) is allocated automatically if ``a_ctrl`` is given. + :param c_reuse: If enabled, memory of intermediate signal waveforms will be re-used. This greatly reduces + memory footprint, but intermediate signal waveforms may become unaccessible after a propagation. :param strip_forks: If enabled, the simulator will not evaluate fork nodes explicitly. This saves simulation time - by reducing the number of nodes to simulate, but (interconnect) delay annotations of lines read by fork nodes + and memory by reducing the number of nodes to simulate, but (interconnect) delay annotations of lines read by fork nodes are ignored. - :param keep_waveforms: If disabled, memory of intermediate signal waveforms will be re-used. This greatly reduces - memory footprint, but intermediate signal waveforms become unaccessible after a propagation. """ - def __init__(self, circuit, timing, sims=8, wavecaps=16, strip_forks=False, keep_waveforms=True): - self.circuit = circuit + def __init__(self, circuit, delays, sims=8, c_caps=16, a_ctrl=None, c_reuse=False, strip_forks=False): + super().__init__(circuit, c_caps=c_caps, c_caps_min=4, a_ctrl=a_ctrl, c_reuse=c_reuse, strip_forks=strip_forks) self.sims = sims - self.overflows = 0 - self.interface = list(circuit.interface) + [n for n in circuit.nodes if 'dff' in n.kind.lower()] - - self.lst_eat_valid = False - - self.cdata = np.zeros((len(self.interface), sims, 7), dtype='float32') - - self.sdata = np.zeros((sims, 4), dtype='float32') - self.sdata[...,0] = 1.0 - - if isinstance(wavecaps, int): - wavecaps = [wavecaps] * len(circuit.lines) - - intf_wavecap = 4 # sufficient for storing only 1 transition. - - # indices for state allocation table (sat) - self.zero_idx = len(circuit.lines) - self.tmp_idx = self.zero_idx + 1 - self.ppi_offset = self.tmp_idx + 1 - self.ppo_offset = self.ppi_offset + len(self.interface) - self.sat_length = self.ppo_offset + len(self.interface) - - # translate circuit structure into self.ops - ops = [] - interface_dict = dict((n, i) for i, n in enumerate(self.interface)) - for n in circuit.topological_order(): - if n in interface_dict: - inp_idx = self.ppi_offset + interface_dict[n] - if len(n.outs) > 0 and n.outs[0] is not None: # first output of a PI/PPI - ops.append((0b1010, n.outs[0].index, inp_idx, self.zero_idx)) - if 'dff' in n.kind.lower(): # second output of DFF is inverted - if len(n.outs) > 1 and n.outs[1] is not None: - ops.append((0b0101, n.outs[1].index, inp_idx, self.zero_idx)) - else: # if not DFF, no output is inverted. - for o_line in n.outs[1:]: - if o_line is not None: - ops.append((0b1010, o_line.index, inp_idx, self.zero_idx)) - else: # regular node, not PI/PPI or PO/PPO - o0_idx = n.outs[0].index if len(n.outs) > 0 and n.outs[0] is not None else self.tmp_idx - i0_idx = n.ins[0].index if len(n.ins) > 0 and n.ins[0] is not None else self.zero_idx - i1_idx = n.ins[1].index if len(n.ins) > 1 and n.ins[1] is not None else self.zero_idx - kind = n.kind.lower() - if kind == '__fork__': - if not strip_forks: - for o_line in n.outs: - if o_line is not None: - ops.append((0b1010, o_line.index, i0_idx, i1_idx)) - elif kind.startswith('nand'): - ops.append((0b0111, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('nor'): - ops.append((0b0001, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('and'): - ops.append((0b1000, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('or'): - ops.append((0b1110, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('xor'): - ops.append((0b0110, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('xnor'): - ops.append((0b1001, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('not') or kind.startswith('inv') or kind.startswith('ibuf'): - ops.append((0b0101, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('buf') or kind.startswith('nbuf'): - ops.append((0b1010, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('__const1__') or kind.startswith('tieh'): - ops.append((0b0101, o0_idx, i0_idx, i1_idx)) - elif kind.startswith('__const0__') or kind.startswith('tiel'): - ops.append((0b1010, o0_idx, i0_idx, i1_idx)) - else: - print('unknown gate type', kind) - self.ops = np.asarray(ops, dtype='int32') - - # create a map from fanout lines to stem lines for fork stripping - stems = np.zeros(self.sat_length, dtype='int32') - 1 # default to -1: 'no fanout line' - if strip_forks: - for f in circuit.forks.values(): - prev_line = f.ins[0] - while prev_line.driver.kind == '__fork__': - prev_line = prev_line.driver.ins[0] - stem_idx = prev_line.index - for ol in f.outs: - stems[ol] = stem_idx - - # calculate level (distance from PI/PPI) and reference count for each line - levels = np.zeros(self.sat_length, dtype='int32') - ref_count = np.zeros(self.sat_length, dtype='int32') - level_starts = [0] - current_level = 1 - for i, op in enumerate(self.ops): - # if we fork-strip, always take the stems for determining fan-in level - i0_idx = stems[op[2]] if stems[op[2]] >= 0 else op[2] - i1_idx = stems[op[3]] if stems[op[3]] >= 0 else op[3] - if levels[i0_idx] >= current_level or levels[i1_idx] >= current_level: - current_level += 1 - level_starts.append(i) - levels[op[1]] = current_level # set level of the output line - ref_count[i0_idx] += 1 - ref_count[i1_idx] += 1 - self.level_starts = np.asarray(level_starts, dtype='int32') - self.level_stops = np.asarray(level_starts[1:] + [len(self.ops)], dtype='int32') - - # state allocation table. maps line and interface indices to self.state memory locations - self.sat = np.zeros((self.sat_length, 3), dtype='int') - self.sat[:, 0] = -1 - - h = Heap() - - # allocate and keep memory for special fields - self.sat[self.zero_idx] = h.alloc(intf_wavecap), intf_wavecap, 0 - self.sat[self.tmp_idx] = h.alloc(intf_wavecap), intf_wavecap, 0 - ref_count[self.zero_idx] += 1 - ref_count[self.tmp_idx] += 1 - - # allocate and keep memory for PI/PPI, keep memory for PO/PPO (allocated later) - for i, n in enumerate(self.interface): - if len(n.outs) > 0: - self.sat[self.ppi_offset + i] = h.alloc(intf_wavecap), intf_wavecap, 0 - ref_count[self.ppi_offset + i] += 1 - if len(n.ins) > 0: - i0_idx = stems[n.ins[0]] if stems[n.ins[0]] >= 0 else n.ins[0] - ref_count[i0_idx] += 1 - - # allocate memory for the rest of the circuit - for op_start, op_stop in zip(self.level_starts, self.level_stops): - free_list = [] - for op in self.ops[op_start:op_stop]: - # if we fork-strip, always take the stems - i0_idx = stems[op[2]] if stems[op[2]] >= 0 else op[2] - i1_idx = stems[op[3]] if stems[op[3]] >= 0 else op[3] - ref_count[i0_idx] -= 1 - ref_count[i1_idx] -= 1 - if ref_count[i0_idx] <= 0: free_list.append(self.sat[i0_idx, 0]) - if ref_count[i1_idx] <= 0: free_list.append(self.sat[i1_idx, 0]) - o_idx = op[1] - cap = wavecaps[o_idx] - self.sat[o_idx] = h.alloc(cap), cap, 0 - if not keep_waveforms: - for loc in free_list: - h.free(loc) - - # copy memory location and capacity from stems to fanout lines - for lidx, stem in enumerate(stems): - if stem >= 0: # if at a fanout line - self.sat[lidx] = self.sat[stem] - - # copy memory location to PO/PPO area - for i, n in enumerate(self.interface): - if len(n.ins) > 0: - self.sat[self.ppo_offset + i] = self.sat[n.ins[0]] - - # pad timing - self.timing = np.zeros((self.sat_length, 2, 2)) - self.timing[:len(timing)] = timing - - # allocate self.state - self.state = np.zeros((h.max_size, sims), dtype='float32') + TMAX - - m1 = np.array([2 ** x for x in range(7, -1, -1)], dtype='uint8') - m0 = ~m1 - self.mask = np.rollaxis(np.vstack((m0, m1)), 1) + if delays.ndim == 3: delays = np.expand_dims(delays, axis=0) + self.delays = np.zeros((len(delays), self.c_locs_len, 2, 2), dtype=delays.dtype) + self.delays[:, :delays.shape[1]] = delays + + self.c = np.zeros((self.c_len, sims), dtype=np.float32) + TMAX + self.s = np.zeros((11, self.s_len, sims), dtype=np.float32) + """Information about the logic values and transitions around the sequential elements (flip-flops) and ports. + + The first 3 values are read by :py:func:`s_to_c`. + The remaining values are written by :py:func:`c_to_s`. + + The elements are as follows: + + * ``s[0]`` (P)PI initial value + * ``s[1]`` (P)PI transition time + * ``s[2]`` (P)PI final value + * ``s[3]`` (P)PO initial value + * ``s[4]`` (P)PO earliest arrival time (EAT): The time at which the output transitioned from its initial value. + * ``s[5]`` (P)PO latest stabilization time (LST): The time at which the output settled to its final value. + * ``s[6]`` (P)PO final value + * ``s[7]`` (P)PO capture value: probability of capturing a 1 at a given capture time + * ``s[8]`` (P)PO sampled capture value: decided by random sampling according to a given seed. + * ``s[9]`` (P)PO sampled capture slack: (capture time - LST) - decided by random sampling according to a given seed. + * ``s[10]`` Overflow indicator: If non-zero, some signals in the input cone of this output had more + transitions than specified in ``c_caps``. Some transitions have been discarded, the + final values in the waveforms are still valid. + """ + + self.abuf_len = self.ops[:,6].max() + 1 + self.abuf = np.zeros((self.abuf_len, sims), dtype=np.int32) if self.abuf_len > 0 else np.zeros((1, 1), dtype=np.int32) + + self.simctl_int = np.zeros((2, sims), dtype=np.int32) + """Integer array for per-simulation delay configuration. + + * ``simctl_int[0]`` delay dataset or random seed for picking a delay. By default, each sim has a unique seed. + * ``simctl_int[1]`` Method for picking a delay: + * 0: seed parameter of :py:func:`c_prop` directly specifies dataset for all simulations + * 1: ``simctl_int[0]`` specifies dataset on a per-simulation basis + * 2 (default): ``simctl_int[0]`` and seed parameter of :py:func:`c_prop` together are a random seed for picking a delay dataset. + """ + self.simctl_int[0] = range(sims) # unique seed for each sim by default, zero this to pick same delays for all sims. + self.simctl_int[1] = 2 # random picking by default. + + self.nbytes = sum([a.nbytes for a in (self.c, self.s, self.c_locs, self.c_caps, self.ops, self.simctl_int)]) def __repr__(self): - total_mem = self.state.nbytes + self.sat.nbytes + self.ops.nbytes + self.cdata.nbytes - return f'' - - def get_line_delay(self, line, polarity): - """Returns the current delay of the given ``line`` and ``polarity`` in the simulation model.""" - return self.timing[line, 0, polarity] - - def set_line_delay(self, line, polarity, delay): - """Sets a new ``delay`` for the given ``line`` and ``polarity`` in the simulation model.""" - self.timing[line, 0, polarity] = delay - - def assign(self, vectors, time=0.0, offset=0): - """Assigns new values to the primary inputs and state-elements. - - :param vectors: The values to assign preferably in 8-valued logic. The values are converted to - appropriate waveforms with or one transition (``RISE``, ``FALL``) no transitions - (``ZERO``, ``ONE``, and others). - :type vectors: :py:class:`~kyupy.logic.BPArray` - :param time: The transition time of the generated waveforms. - :param offset: The offset into the vector set. The vector assigned to the first simulator is - ``vectors[offset]``. + dev = 'GPU' if hasattr(self.c, 'copy_to_host') else 'CPU' + return f'{{name: "{self.circuit.name}", device: "{dev}", sims: {self.sims}, ops: {len(self.ops)}, ' + \ + f'levels: {len(self.level_starts)}, nbytes: {self.nbytes}}}' + + def s_to_c(self): + """Transfers values of sequential elements and primary inputs to the combinational portion. + + Waveforms are generated on the input lines of the combinational circuit based on the data in :py:attr:`s`. """ - nvectors = min(len(vectors) - offset, self.sims) - for i in range(len(self.interface)): - ppi_loc = self.sat[self.ppi_offset + i, 0] - if ppi_loc < 0: continue - for p in range(nvectors): - vector = p + offset - a = vectors.data[i, :, vector // 8] - m = self.mask[vector % 8] - toggle = 0 - if len(a) <= 2: - if a[0] & m[1]: - self.state[ppi_loc, p] = TMIN - toggle += 1 - else: - if a[1] & m[1]: - self.state[ppi_loc, p] = TMIN - toggle += 1 - if (a[2] & m[1]) and ((a[0] & m[1]) != (a[1] & m[1])): - self.state[ppi_loc + toggle, p] = time - toggle += 1 - self.state[ppi_loc + toggle, p] = TMAX - - def propagate(self, sims=None, sd=0.0, seed=1): + sins = self.s[:, self.pippi_s_locs] + cond = (sins[2] != 0) + 2*(sins[0] != 0) # choices order: 0 R F 1 + self.c[self.pippi_c_locs] = np.choose(cond, [TMAX, sins[1], TMIN, TMIN]) + self.c[self.pippi_c_locs+1] = np.choose(cond, [TMAX, TMAX, sins[1], TMAX]) + self.c[self.pippi_c_locs+2] = TMAX + + def c_prop(self, sims=None, seed=1): """Propagates all waveforms from the (pseudo) primary inputs to the (pseudo) primary outputs. :param sims: Number of parallel simulations to execute. If None, all available simulations are performed. - :param sd: Standard deviation for injection of random delay variation. Active, if value is positive. - :param seed: Random seed for delay variations. + :param seed: Seed for picking delays. See also: :py:attr:`simctl_int`. """ sims = min(sims or self.sims, self.sims) for op_start, op_stop in zip(self.level_starts, self.level_stops): - self.overflows += level_eval(self.ops, op_start, op_stop, self.state, self.sat, 0, sims, - self.timing, self.sdata, sd, seed) - self.lst_eat_valid = False - - def wave(self, line, vector): - # """Returns the desired waveform from the simulation state. Only valid, if simulator was - # instantiated with ``keep_waveforms=True``.""" - if line < 0: - return [TMAX] - mem, wcap, _ = self.sat[line] - if mem < 0: - return [TMAX] - return self.state[mem:mem + wcap, vector] - - def wave_ppi(self, i, vector): - return self.wave(self.ppi_offset + i, vector) + level_eval_cpu(self.ops, op_start, op_stop, self.c, self.c_locs, self.c_caps, self.abuf, 0, sims, self.delays, self.simctl_int, seed) - def wave_ppo(self, o, vector): - return self.wave(self.ppo_offset + o, vector) + def c_to_s(self, time=TMAX, sd=0.0, seed=1): + """Simulates a capture operation at all sequential elements and primary outputs. - def capture(self, time=TMAX, sd=0.0, seed=1, cdata=None, offset=0): - """Simulates a capture operation at all state-elements and primary outputs. - - The capture analyzes the propagated waveforms at and around the given capture time and returns - various results for each capture operation. + Propagated waveforms at the outputs of the combinational circuit at and around the given capture time are analyzed and + the results are stored in :py:attr:`s`. :param time: The desired capture time. By default, a capture of the settled value is performed. :param sd: A standard deviation for uncertainty in the actual capture time. :param seed: The random seed for a capture with uncertainty. - :param cdata: An array to copy capture data into (optional). See the return value for details. - :param offset: An offset into the supplied capture data array. - :return: The capture data as numpy array. - - The 3-dimensional capture data array contains for each interface node (axis 0), - and each test (axis 1), seven values: - - 0. Probability of capturing a 1 at the given capture time (same as next value, if no - standard deviation given). - 1. A capture value decided by random sampling according to above probability and given seed. - 2. The final value (assume a very late capture time). - 3. True, if there was a premature capture (capture error), i.e. final value is different - from captured value. - 4. Earliest arrival time. The time at which the output transitioned from its initial value. - 5. Latest stabilization time. The time at which the output transitioned to its final value. - 6. Overflow indicator. If non-zero, some signals in the input cone of this output had more - transitions than specified in ``wavecaps``. Some transitions have been discarded, the - final values in the waveforms are still valid. """ - for i, node in enumerate(self.interface): - if len(node.ins) == 0: continue - for p in range(self.sims): - self.cdata[i, p] = self.capture_wave(self.ppo_offset + i, p, time, sd, seed) - if cdata is not None: - assert offset < cdata.shape[1] - cap_dim = min(cdata.shape[1] - offset, self.sims) - cdata[:, offset:cap_dim + offset] = self.cdata[:, 0:cap_dim] - self.lst_eat_valid = True - return self.cdata - - def reassign(self, time=0.0): - """Re-assigns the last capture to the appropriate pseudo-primary inputs. Generates a new set of - waveforms at the PPIs that start with the previous final value of that PPI, and transitions at the - given time to the value captured in a previous simulation. :py:func:`~WaveSim.capture` must be called - prior to this function. The final value of each PPI is taken from the randomly sampled concrete logic - values in the capture data. + for s_loc, c_loc, c_len in zip(self.poppo_s_locs, self.c_locs[self.ppo_offset+self.poppo_s_locs], self.c_caps[self.ppo_offset+self.poppo_s_locs]): + for vector in range(self.sims): + self.s[3:, s_loc, vector] = wave_capture_cpu(self.c, c_loc, c_len, vector, time=time, sd=sd, seed=seed) + + def s_ppo_to_ppi(self, time=0.0): + """Re-assigns the last sampled capture of the PPOs to the appropriate pseudo-primary inputs (PPIs). + Each PPI transition is constructed from the final value of the previous assignment, the + given time, and the sampled captured value of its PPO. Reads and modifies :py:attr:`s`. :param time: The transition time at the inputs (usually 0.0). """ - for i in range(len(self.interface)): - ppi_loc = self.sat[self.ppi_offset + i, 0] - ppo_loc = self.sat[self.ppo_offset + i, 0] - if ppi_loc < 0 or ppo_loc < 0: continue - for sidx in range(self.sims): - ival = self.val(self.ppi_offset + i, sidx, TMAX) > 0.5 - oval = self.cdata[i, sidx, 1] > 0.5 - toggle = 0 - if ival: - self.state[ppi_loc, sidx] = TMIN - toggle += 1 - if ival != oval: - self.state[ppi_loc + toggle, sidx] = time - toggle += 1 - self.state[ppi_loc + toggle, sidx] = TMAX - - def eat(self, line, vector): - eat = TMAX - for t in self.wave(line, vector): - if t >= TMAX: break - if t <= TMIN: continue - eat = min(eat, t) - return eat - - def lst(self, line, vector): - lst = TMIN - for t in self.wave(line, vector): - if t >= TMAX: break - if t <= TMIN: continue - lst = max(lst, t) - return lst - - def lst_ppo(self, o, vector): - if not self.lst_eat_valid: - self.capture() - return self.cdata[o, vector, 5] - - def toggles(self, line, vector): - tog = 0 - for t in self.wave(line, vector): - if t >= TMAX: break - if t <= TMIN: continue - tog += 1 - return tog - - def _vals(self, idx, vector, times, sd=0.0): - s_sqrt2 = sd * math.sqrt(2) - m = 0.5 - accs = [0.0] * len(times) - values = [0] * len(times) - for t in self.wave(idx, vector): - if t >= TMAX: break - for idx, time in enumerate(times): - if t < time: - values[idx] = values[idx] ^ 1 - m = -m - if t <= TMIN: continue - if s_sqrt2 > 0: - for idx, time in enumerate(times): - accs[idx] += m * (1 + math.erf((t - time) / s_sqrt2)) - if (m < 0) and (s_sqrt2 > 0): - for idx, time in enumerate(times): - accs[idx] += 1 - if s_sqrt2 == 0: - return values - return accs - - def vals(self, line, vector, times, sd=0): - return self._vals(line, vector, times, sd) - - def val(self, line, vector, time=TMAX, sd=0): - return self.capture_wave(line, vector, time, sd)[0] - - def vals_ppo(self, o, vector, times, sd=0): - return self._vals(self.ppo_offset + o, vector, times, sd) - - def val_ppo(self, o, vector, time=TMAX, sd=0): - if not self.lst_eat_valid: - self.capture(time, sd) - return self.cdata[o, vector, 0] - - def capture_wave(self, line, vector, time=TMAX, sd=0.0, seed=1): - s_sqrt2 = sd * math.sqrt(2) - m = 0.5 - acc = 0.0 - eat = TMAX - lst = TMIN - tog = 0 - ovl = 0 - val = int(0) - final = int(0) - for t in self.wave(line, vector): - if t >= TMAX: - if t == TMAX_OVL: - ovl = 1 - break - m = -m - final ^= 1 - if t < time: - val ^= 1 - if t <= TMIN: continue - if s_sqrt2 > 0: - acc += m * (1 + math.erf((t - time) / s_sqrt2)) - eat = min(eat, t) - lst = max(lst, t) - tog += 1 - if s_sqrt2 > 0: - if m < 0: - acc += 1 - if acc >= 0.99: - val = 1 - elif acc > 0.01: - seed = (seed << 4) + (vector << 20) + (line-self.ppo_offset << 1) - seed = int(0xDEECE66D) * seed + 0xB - seed = int(0xDEECE66D) * seed + 0xB - rnd = float((seed >> 8) & 0xffffff) / float(1 << 24) - val = rnd < acc - else: - val = 0 - else: - acc = val + self.s[0, self.ppio_s_locs] = self.s[2, self.ppio_s_locs] + self.s[1, self.ppio_s_locs] = time + self.s[2, self.ppio_s_locs] = self.s[8, self.ppio_s_locs] - return acc, val, final, (val != final), eat, lst, ovl - - -@numba.njit -def level_eval(ops, op_start, op_stop, state, sat, st_start, st_stop, line_times, sdata, sd, seed): - overflows = 0 - for op_idx in range(op_start, op_stop): - op = ops[op_idx] - for st_idx in range(st_start, st_stop): - overflows += wave_eval(op, state, sat, st_idx, line_times, sdata[st_idx], sd, seed) - return overflows - -@numba.njit -def rand_gauss(seed, sd): - clamp = 0.5 - if sd <= 0.0: - return 1.0 - while True: - x = -6.0 - for _ in range(12): - seed = int(0xDEECE66D) * seed + 0xB - x += float((seed >> 8) & 0xffffff) / float(1 << 24) - x *= sd - if abs(x) <= clamp: - break - return x + 1.0 - - -@numba.njit -def wave_eval(op, state, sat, st_idx, line_times, sdata, sd=0.0, seed=0): - lut, z_idx, a_idx, b_idx = op +def _wave_eval(op, cbuf, c_locs, c_caps, sim, delays, simctl_int, seed=0): overflows = int(0) - _seed = (seed << 4) + (z_idx << 20) + (st_idx << 1) + lut = op[0] + z_idx = op[1] + a_idx = op[2] + b_idx = op[3] + c_idx = op[4] + d_idx = op[5] + + if len(delays) > 1: + if simctl_int[1] == 0: + delays = delays[seed] + elif simctl_int[1] == 1: + delays = delays[simctl_int[0]] + else: + _rnd = (seed << 4) + (z_idx << 20) + simctl_int[0] + for _ in range(4): + _rnd = int(0xDEECE66D) * _rnd + 0xB + delays = delays[_rnd % len(delays)] + else: + delays = delays[0] - a_mem = sat[a_idx, 0] - b_mem = sat[b_idx, 0] - z_mem, z_cap, _ = sat[z_idx] + a_mem = c_locs[a_idx] + b_mem = c_locs[b_idx] + c_mem = c_locs[c_idx] + d_mem = c_locs[d_idx] + z_mem = c_locs[z_idx] + z_cap = c_caps[z_idx] a_cur = int(0) b_cur = int(0) + c_cur = int(0) + d_cur = int(0) z_cur = lut & 1 if z_cur == 1: - state[z_mem, st_idx] = TMIN + cbuf[z_mem, sim] = TMIN + + z_val = z_cur + + a = cbuf[a_mem + a_cur, sim] + delays[a_idx, 0, z_val] + b = cbuf[b_mem + b_cur, sim] + delays[b_idx, 0, z_val] + c = cbuf[c_mem + c_cur, sim] + delays[c_idx, 0, z_val] + d = cbuf[d_mem + d_cur, sim] + delays[d_idx, 0, z_val] - a = state[a_mem, st_idx] + line_times[a_idx, 0, z_cur] * rand_gauss(_seed ^ a_mem ^ z_cur, sd) * sdata[0] - if int(sdata[1]) == a_idx: a += sdata[2+z_cur] - b = state[b_mem, st_idx] + line_times[b_idx, 0, z_cur] * rand_gauss(_seed ^ b_mem ^ z_cur, sd) * sdata[0] - if int(sdata[1]) == b_idx: b += sdata[2+z_cur] - previous_t = TMIN - current_t = min(a, b) + current_t = min(a, b, c, d) inputs = int(0) while current_t < TMAX: - z_val = z_cur & 1 - if b < a: + if a == current_t: + a_cur += 1 + inputs ^= 1 + thresh = delays[a_idx, a_cur & 1, z_val] + a = cbuf[a_mem + a_cur, sim] + delays[a_idx, a_cur & 1, z_val] + next_t = cbuf[a_mem + a_cur, sim] + delays[a_idx, (a_cur & 1) ^ 1, z_val ^ 1] + elif b == current_t: b_cur += 1 - b = state[b_mem + b_cur, st_idx] - b += line_times[b_idx, 0, z_val ^ 1] * rand_gauss(_seed ^ b_mem ^ z_val ^ 1, sd) * sdata[0] - thresh = line_times[b_idx, 1, z_val] * rand_gauss(_seed ^ b_mem ^ z_val, sd) * sdata[0] - if int(sdata[1]) == b_idx: - b += sdata[2+(z_val^1)] - thresh += sdata[2+z_val] inputs ^= 2 - next_t = b + thresh = delays[b_idx, b_cur & 1, z_val] + b = cbuf[b_mem + b_cur, sim] + delays[b_idx, b_cur & 1, z_val] + next_t = cbuf[b_mem + b_cur, sim] + delays[b_idx, (b_cur & 1) ^ 1, z_val ^ 1] + elif c == current_t: + c_cur += 1 + inputs ^= 4 + thresh = delays[c_idx, c_cur & 1, z_val] + c = cbuf[c_mem + c_cur, sim] + delays[c_idx, c_cur & 1, z_val] + next_t = cbuf[c_mem + c_cur, sim] + delays[c_idx, (c_cur & 1) ^ 1, z_val ^ 1] else: - a_cur += 1 - a = state[a_mem + a_cur, st_idx] - a += line_times[a_idx, 0, z_val ^ 1] * rand_gauss(_seed ^ a_mem ^ z_val ^ 1, sd) * sdata[0] - thresh = line_times[a_idx, 1, z_val] * rand_gauss(_seed ^ a_mem ^ z_val, sd) * sdata[0] - if int(sdata[1]) == a_idx: - a += sdata[2+(z_val^1)] - thresh += sdata[2+z_val] - inputs ^= 1 - next_t = a + d_cur += 1 + inputs ^= 8 + thresh = delays[d_idx, d_cur & 1, z_val] + d = cbuf[d_mem + d_cur, sim] + delays[d_idx, d_cur & 1, z_val] + next_t = cbuf[d_mem + d_cur, sim] + delays[d_idx, (d_cur & 1) ^ 1, z_val ^ 1] if (z_cur & 1) != ((lut >> inputs) & 1): - # we generate a toggle in z_mem, if: - # ( it is the first toggle in z_mem OR - # following toggle is earlier OR - # pulse is wide enough ) AND enough space in z_mem. - if z_cur == 0 or next_t < current_t or (current_t - previous_t) > thresh: - if z_cur < (z_cap - 1): - state[z_mem + z_cur, st_idx] = current_t + # we generate an edge in z_mem, if ... + if (z_cur == 0 # it is the first edge in z_mem ... + or next_t < current_t # -OR- the next edge on SAME input is EARLIER (need current edge to filter BOTH in next iteration) ... + or (current_t - previous_t) > thresh # -OR- the generated hazard is wider than pulse threshold. + ): + if z_cur < (z_cap - 1): # enough space in z_mem? + cbuf[z_mem + z_cur, sim] = current_t previous_t = current_t z_cur += 1 else: overflows += 1 - previous_t = state[z_mem + z_cur - 1, st_idx] + previous_t = cbuf[z_mem + z_cur - 1, sim] z_cur -= 1 else: z_cur -= 1 - if z_cur > 0: - previous_t = state[z_mem + z_cur - 1, st_idx] - else: - previous_t = TMIN - current_t = min(a, b) + previous_t = cbuf[z_mem + z_cur - 1, sim] if z_cur > 0 else TMIN - if overflows > 0: - state[z_mem + z_cur, st_idx] = TMAX_OVL - else: - state[z_mem + z_cur, st_idx] = a if a > b else b # propagate overflow flags by storing biggest TMAX from input + # output value of cell changed. update all delayed inputs. + z_val = z_val ^ 1 + a = cbuf[a_mem + a_cur, sim] + delays[a_idx, a_cur & 1, z_val] + b = cbuf[b_mem + b_cur, sim] + delays[b_idx, b_cur & 1, z_val] + c = cbuf[c_mem + c_cur, sim] + delays[c_idx, c_cur & 1, z_val] + d = cbuf[d_mem + d_cur, sim] + delays[d_idx, d_cur & 1, z_val] + + current_t = min(a, b, c, d) + + # generate or propagate overflow flag + cbuf[z_mem + z_cur, sim] = TMAX_OVL if overflows > 0 else max(a, b, c, d) - return overflows + nrise = max(0, (z_cur+1) // 2 - (cbuf[z_mem, sim] == TMIN)) + nfall = z_cur // 2 + return nrise, nfall + + +wave_eval_cpu = numba.njit(_wave_eval) + + +@numba.njit +def level_eval_cpu(ops, op_start, op_stop, c, c_locs, c_caps, abuf, sim_start, sim_stop, delays, simctl_int, seed): + for op_idx in range(op_start, op_stop): + op = ops[op_idx] + for sim in range(sim_start, sim_stop): + nrise, nfall = wave_eval_cpu(op, c, c_locs, c_caps, sim, delays, simctl_int[:, sim], seed) + a_loc = op[6] + a_wr = op[7] + a_wf = op[8] + if a_loc >= 0: + abuf[a_loc, sim] += nrise*a_wr + nfall*a_wf + + +@numba.njit +def wave_capture_cpu(c, c_loc, c_len, vector, time=TMAX, sd=0.0, seed=1): + s_sqrt2 = sd * math.sqrt(2) + m = 0.5 + acc = 0.0 + eat = TMAX + lst = TMIN + tog = 0 + ovl = 0 + val = int(0) + final = int(0) + w = c[c_loc:c_loc+c_len, vector] + for t in w: + if t >= TMAX: + if t == TMAX_OVL: + ovl = 1 + break + m = -m + final ^= 1 + if t < time: + val ^= 1 + if t <= TMIN: continue + if s_sqrt2 > 0: + acc += m * (1 + math.erf((t - time) / s_sqrt2)) + eat = min(eat, t) + lst = max(lst, t) + tog += 1 + if s_sqrt2 > 0: + if m < 0: + acc += 1 + if acc >= 0.99: + val = 1 + elif acc > 0.01: + seed = (seed << 4) + (vector << 20) + c_loc + seed = int(0xDEECE66D) * seed + 0xB + seed = int(0xDEECE66D) * seed + 0xB + rnd = float((seed >> 8) & 0xffffff) / float(1 << 24) + val = rnd < acc + else: + val = 0 + else: + acc = val + + return (w[0] <= TMIN), eat, lst, final, acc, val, 0, ovl class WaveSimCuda(WaveSim): """A GPU-accelerated waveform-based combinational logic timing simulator. - The API is the same as for :py:class:`WaveSim`. + The API is identical to :py:class:`WaveSim`. See there for complete documentation. + All internal memories are mirrored into GPU memory upon construction. Some operations like access to single waveforms can involve large communication overheads. """ - def __init__(self, circuit, timing, sims=8, wavecaps=16, strip_forks=False, keep_waveforms=True): - super().__init__(circuit, timing, sims, wavecaps, strip_forks, keep_waveforms) - - self.tdata = np.zeros((len(self.interface), 3, (sims - 1) // 8 + 1), dtype='uint8') - - self.d_state = cuda.to_device(self.state) - self.d_sat = cuda.to_device(self.sat) - self.d_ops = cuda.to_device(self.ops) - self.d_timing = cuda.to_device(self.timing) - self.d_tdata = cuda.to_device(self.tdata) - self.d_cdata = cuda.to_device(self.cdata) - self.d_sdata = cuda.to_device(self.sdata) + def __init__(self, circuit, delays, sims=8, c_caps=16, a_ctrl=None, c_reuse=False, strip_forks=False): + super().__init__(circuit, delays, sims, c_caps, a_ctrl=a_ctrl, c_reuse=c_reuse, strip_forks=strip_forks) + + self.c = cuda.to_device(self.c) + self.s = cuda.to_device(self.s) + self.ops = cuda.to_device(self.ops) + self.c_locs = cuda.to_device(self.c_locs) + self.c_caps = cuda.to_device(self.c_caps) + self.delays = cuda.to_device(self.delays) + self.simctl_int = cuda.to_device(self.simctl_int) + self.abuf = cuda.to_device(self.abuf) self._block_dim = (32, 16) - def __repr__(self): - total_mem = self.state.nbytes + self.sat.nbytes + self.ops.nbytes + self.timing.nbytes + \ - self.tdata.nbytes + self.cdata.nbytes - return f'' - - def get_line_delay(self, line, polarity): - return self.d_timing[line, 0, polarity] - - def set_line_delay(self, line, polarity, delay): - self.d_timing[line, 0, polarity] = delay - - def sdata_to_device(self): - cuda.to_device(self.sdata, to=self.d_sdata) - - def assign(self, vectors, time=0.0, offset=0): - assert (offset % 8) == 0 - byte_offset = offset // 8 - assert byte_offset < vectors.data.shape[-1] - pdim = min(vectors.data.shape[-1] - byte_offset, self.tdata.shape[-1]) - - self.tdata[..., 0:pdim] = vectors.data[..., byte_offset:pdim + byte_offset] - if vectors.m == 2: - self.tdata[:, 2, 0:pdim] = 0 - cuda.to_device(self.tdata, to=self.d_tdata) - - grid_dim = self._grid_dim(self.sims, len(self.interface)) - assign_kernel[grid_dim, self._block_dim](self.d_state, self.d_sat, self.ppi_offset, - len(self.interface), self.d_tdata, time) - - def _grid_dim(self, x, y): - gx = math.ceil(x / self._block_dim[0]) - gy = math.ceil(y / self._block_dim[1]) - return gx, gy - - def propagate(self, sims=None, sd=0.0, seed=1): + def __getstate__(self): + state = self.__dict__.copy() + state['c'] = np.array(self.c) + state['s'] = np.array(self.s) + state['ops'] = np.array(self.ops) + state['c_locs'] = np.array(self.c_locs) + state['c_caps'] = np.array(self.c_caps) + state['delays'] = np.array(self.delays) + state['simctl_int'] = np.array(self.simctl_int) + state['abuf'] = np.array(self.abuf) + return state + + def __setstate__(self, state): + self.__dict__.update(state) + self.c = cuda.to_device(self.c) + self.s = cuda.to_device(self.s) + self.ops = cuda.to_device(self.ops) + self.c_locs = cuda.to_device(self.c_locs) + self.c_caps = cuda.to_device(self.c_caps) + self.delays = cuda.to_device(self.delays) + self.simctl_int = cuda.to_device(self.simctl_int) + self.abuf = cuda.to_device(self.abuf) + + def s_to_c(self): + grid_dim = self._grid_dim(self.sims, self.s_len) + wave_assign_gpu[grid_dim, self._block_dim](self.c, self.s, self.c_locs, self.ppi_offset) + + def _grid_dim(self, x, y): return cdiv(x, self._block_dim[0]), cdiv(y, self._block_dim[1]) + + def c_prop(self, sims=None, seed=1): sims = min(sims or self.sims, self.sims) for op_start, op_stop in zip(self.level_starts, self.level_stops): grid_dim = self._grid_dim(sims, op_stop - op_start) - wave_kernel[grid_dim, self._block_dim](self.d_ops, op_start, op_stop, self.d_state, self.sat, int(0), - sims, self.d_timing, self.d_sdata, sd, seed) - cuda.synchronize() - self.lst_eat_valid = False - - def wave(self, line, vector): - if line < 0: - return [TMAX] - mem, wcap, _ = self.sat[line] - if mem < 0: - return [TMAX] - return self.d_state[mem:mem + wcap, vector] - - def capture(self, time=TMAX, sd=0, seed=1, cdata=None, offset=0): - grid_dim = self._grid_dim(self.sims, len(self.interface)) - capture_kernel[grid_dim, self._block_dim](self.d_state, self.d_sat, self.ppo_offset, - self.d_cdata, time, sd * math.sqrt(2), seed) - self.cdata[...] = self.d_cdata - if cdata is not None: - assert offset < cdata.shape[1] - cap_dim = min(cdata.shape[1] - offset, self.sims) - cdata[:, offset:cap_dim + offset] = self.cdata[:, 0:cap_dim] - self.lst_eat_valid = True - return self.cdata - - def reassign(self, time=0.0): - grid_dim = self._grid_dim(self.sims, len(self.interface)) - reassign_kernel[grid_dim, self._block_dim](self.d_state, self.d_sat, self.ppi_offset, self.ppo_offset, - self.d_cdata, time) + wave_eval_gpu[grid_dim, self._block_dim](self.ops, op_start, op_stop, self.c, self.c_locs, self.c_caps, self.abuf, int(0), + sims, self.delays, self.simctl_int, seed) cuda.synchronize() - def wavecaps(self): - gx = math.ceil(len(self.circuit.lines) / 512) - wavecaps_kernel[gx, 512](self.d_state, self.d_sat, self.sims) - self.sat[...] = self.d_sat - return self.sat[..., 2] + def c_to_s(self, time=TMAX, sd=0.0, seed=1): + grid_dim = self._grid_dim(self.sims, self.s_len) + wave_capture_gpu[grid_dim, self._block_dim](self.c, self.s, self.c_locs, self.c_caps, self.ppo_offset, + time, sd * math.sqrt(2), seed) + def s_ppo_to_ppi(self, time=0.0): + grid_dim = self._grid_dim(self.sims, self.s_len) + ppo_to_ppi_gpu[grid_dim, self._block_dim](self.s, self.c_locs, time, self.ppi_offset, self.ppo_offset) -@cuda.jit() -def wavecaps_kernel(state, sat, sims): - idx = cuda.grid(1) - if idx >= len(sat): return - lidx, lcap, _ = sat[idx] - if lidx < 0: return +@cuda.jit() +def wave_assign_gpu(c, s, c_locs, ppi_offset): + x, y = cuda.grid(2) + if y >= s.shape[1]: return + c_loc = c_locs[ppi_offset + y] + if c_loc < 0: return + if x >= c.shape[-1]: return + value = int(s[2, y, x] >= 0.5) | (2*int(s[0, y, x] >= 0.5)) + ttime = s[1, y, x] + if value == 0: + c[c_loc, x] = TMAX + c[c_loc+1, x] = TMAX + elif value == 1: + c[c_loc, x] = ttime + c[c_loc+1, x] = TMAX + elif value == 2: + c[c_loc, x] = TMIN + c[c_loc+1, x] = ttime + else: + c[c_loc, x] = TMIN + c[c_loc+1, x] = TMAX + c[c_loc+2, x] = TMAX - wcap = 0 - for sidx in range(sims): - for tidx in range(lcap): - t = state[lidx + tidx, sidx] - if tidx > wcap: - wcap = tidx - if t >= TMAX: break - sat[idx, 2] = wcap + 1 +_wave_eval_gpu = cuda.jit(_wave_eval, device=True) @cuda.jit() -def reassign_kernel(state, sat, ppi_offset, ppo_offset, cdata, ppi_time): - vector, y = cuda.grid(2) - if vector >= state.shape[-1]: return - if ppo_offset + y >= len(sat): return - - ppo, _, _ = sat[ppo_offset + y] - ppi, ppi_cap, _ = sat[ppi_offset + y] - if ppo < 0: return - if ppi < 0: return - - ppo_val = int(cdata[y, vector, 1]) - ppi_val = int(0) - for tidx in range(ppi_cap): - t = state[ppi + tidx, vector] - if t >= TMAX: break - ppi_val ^= 1 - - # make new waveform at PPI - toggle = 0 - if ppi_val: - state[ppi + toggle, vector] = TMIN - toggle += 1 - if ppi_val != ppo_val: - state[ppi + toggle, vector] = ppi_time - toggle += 1 - state[ppi + toggle, vector] = TMAX +def wave_eval_gpu(ops, op_start, op_stop, cbuf, c_locs, c_caps, abuf, sim_start, sim_stop, delays, simctl_int, seed): + x, y = cuda.grid(2) + sim = sim_start + x + op_idx = op_start + y + if sim >= sim_stop: return + if op_idx >= op_stop: return + + op = ops[op_idx] + a_loc = op[6] + a_wr = op[7] + a_wf = op[8] + + nrise, nfall = _wave_eval_gpu(op, cbuf, c_locs, c_caps, sim, delays, simctl_int[:, sim], seed) + + # accumulate WSA into abuf + if a_loc >= 0: + cuda.atomic.add(abuf, (a_loc, sim), nrise*a_wr + nfall*a_wf) @cuda.jit() -def capture_kernel(state, sat, ppo_offset, cdata, time, s_sqrt2, seed): +def wave_capture_gpu(c, s, c_locs, c_caps, ppo_offset, time, s_sqrt2, seed): x, y = cuda.grid(2) - if ppo_offset + y >= len(sat): return - line, tdim, _ = sat[ppo_offset + y] + if ppo_offset + y >= len(c_locs): return + line = c_locs[ppo_offset + y] + tdim = c_caps[ppo_offset + y] if line < 0: return - if x >= state.shape[-1]: return + if x >= c.shape[-1]: return vector = x m = 0.5 acc = 0.0 @@ -795,7 +460,7 @@ def capture_kernel(state, sat, ppo_offset, cdata, time, s_sqrt2, seed): val = int(0) final = int(0) for tidx in range(tdim): - t = state[line + tidx, vector] + t = c[line + tidx, vector] if t >= TMAX: if t == TMAX_OVL: ovl = 1 @@ -826,136 +491,25 @@ def capture_kernel(state, sat, ppo_offset, cdata, time, s_sqrt2, seed): else: acc = val - cdata[y, vector, 0] = acc - cdata[y, vector, 1] = val - cdata[y, vector, 2] = final - cdata[y, vector, 3] = (val != final) - cdata[y, vector, 4] = eat - cdata[y, vector, 5] = lst - cdata[y, vector, 6] = ovl - - -@cuda.jit() -def assign_kernel(state, sat, ppi_offset, intf_len, tdata, time): - x, y = cuda.grid(2) - if y >= intf_len: return - line = sat[ppi_offset + y, 0] - if line < 0: return - sdim = state.shape[-1] - if x >= sdim: return - vector = x - a0 = tdata[y, 0, vector // 8] - a1 = tdata[y, 1, vector // 8] - a2 = tdata[y, 2, vector // 8] - m = np.uint8(1 << (7 - (vector % 8))) - toggle = 0 - if a1 & m: - state[line + toggle, x] = TMIN - toggle += 1 - if (a2 & m) and ((a0 & m) != (a1 & m)): - state[line + toggle, x] = time - toggle += 1 - state[line + toggle, x] = TMAX - - -@cuda.jit(device=True) -def rand_gauss_dev(seed, sd): - clamp = 0.5 - if sd <= 0.0: - return 1.0 - while True: - x = -6.0 - for _ in range(12): - seed = int(0xDEECE66D) * seed + 0xB - x += float((seed >> 8) & 0xffffff) / float(1 << 24) - x *= sd - if abs(x) <= clamp: - break - return x + 1.0 + s[3, y, vector] = (c[line, vector] <= TMIN) + s[4, y, vector] = eat + s[5, y, vector] = lst + s[6, y, vector] = final + s[7, y, vector] = acc + s[8, y, vector] = val + s[9, y, vector] = 0 # TODO + s[10, y, vector] = ovl @cuda.jit() -def wave_kernel(ops, op_start, op_stop, state, sat, st_start, st_stop, line_times, sdata, sd, seed): +def ppo_to_ppi_gpu(s, c_locs, time, ppi_offset, ppo_offset): x, y = cuda.grid(2) - st_idx = st_start + x - op_idx = op_start + y - if st_idx >= st_stop: return - if op_idx >= op_stop: return - lut = ops[op_idx, 0] - z_idx = ops[op_idx, 1] - a_idx = ops[op_idx, 2] - b_idx = ops[op_idx, 3] - overflows = int(0) - sdata = sdata[st_idx] - - _seed = (seed << 4) + (z_idx << 20) + (st_idx << 1) + if y >= s.shape[1]: return + if x >= s.shape[2]: return - a_mem = sat[a_idx, 0] - b_mem = sat[b_idx, 0] - z_mem, z_cap, _ = sat[z_idx] - - a_cur = int(0) - b_cur = int(0) - z_cur = lut & 1 - if z_cur == 1: - state[z_mem, st_idx] = TMIN - - a = state[a_mem, st_idx] + line_times[a_idx, 0, z_cur] * rand_gauss_dev(_seed ^ a_mem ^ z_cur, sd) * sdata[0] - if int(sdata[1]) == a_idx: a += sdata[2+z_cur] - b = state[b_mem, st_idx] + line_times[b_idx, 0, z_cur] * rand_gauss_dev(_seed ^ b_mem ^ z_cur, sd) * sdata[0] - if int(sdata[1]) == b_idx: b += sdata[2+z_cur] - - previous_t = TMIN - - current_t = min(a, b) - inputs = int(0) + if c_locs[ppi_offset + y] < 0: return + if c_locs[ppo_offset + y] < 0: return - while current_t < TMAX: - z_val = z_cur & 1 - if b < a: - b_cur += 1 - b = state[b_mem + b_cur, st_idx] - b += line_times[b_idx, 0, z_val ^ 1] * rand_gauss_dev(_seed ^ b_mem ^ z_val ^ 1, sd) * sdata[0] - thresh = line_times[b_idx, 1, z_val] * rand_gauss_dev(_seed ^ b_mem ^ z_val, sd) * sdata[0] - if int(sdata[1]) == b_idx: - b += sdata[2+(z_val^1)] - thresh += sdata[2+z_val] - inputs ^= 2 - next_t = b - else: - a_cur += 1 - a = state[a_mem + a_cur, st_idx] - a += line_times[a_idx, 0, z_val ^ 1] * rand_gauss_dev(_seed ^ a_mem ^ z_val ^ 1, sd) * sdata[0] - thresh = line_times[a_idx, 1, z_val] * rand_gauss_dev(_seed ^ a_mem ^ z_val, sd) * sdata[0] - if int(sdata[1]) == a_idx: - a += sdata[2+(z_val^1)] - thresh += sdata[2+z_val] - inputs ^= 1 - next_t = a - - if (z_cur & 1) != ((lut >> inputs) & 1): - # we generate a toggle in z_mem, if: - # ( it is the first toggle in z_mem OR - # following toggle is earlier OR - # pulse is wide enough ) AND enough space in z_mem. - if z_cur == 0 or next_t < current_t or (current_t - previous_t) > thresh: - if z_cur < (z_cap - 1): - state[z_mem + z_cur, st_idx] = current_t - previous_t = current_t - z_cur += 1 - else: - overflows += 1 - previous_t = state[z_mem + z_cur - 1, st_idx] - z_cur -= 1 - else: - z_cur -= 1 - if z_cur > 0: - previous_t = state[z_mem + z_cur - 1, st_idx] - else: - previous_t = TMIN - current_t = min(a, b) - - if overflows > 0: - state[z_mem + z_cur, st_idx] = TMAX_OVL - else: - state[z_mem + z_cur, st_idx] = a if a > b else b # propagate overflow flags by storing biggest TMAX from input + s[0, y, x] = s[2, y, x] + s[1, y, x] = time + s[2, y, x] = s[8, y, x] diff --git a/tests/b14.sdf.gz b/tests/b14.sdf.gz deleted file mode 100644 index c8a2bef31e1035be54d6a7e6895676dfbe844582..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 660345 zcmV(~K+nG)iwFosS;Jod17a~WE^}mN0IdC6ucXOwC5XP)uPE?|^)Ow2zv!))%j>D%A@^`HLrU%dOv z|Nh(cx4+r{+rR;ZKbiPvnvMN!d;q-3k6H zG~;72%4S?1?KmD)De%vtqjs?2Xg}AE4LOMW^Ot}9>%ac(+yC=F|NP@m|8NECy}zuD z-~TZFafz}2wo;Ozy9rV&KYdaMLRm8UpWt}UcNoK86UIN&bJxeaq(-k)Aq2_-U4tZX}2Ss zj-tIG9qdL|_>{$YsPGT@OHNsYQ>H#01x|hW8tvF)jo}IDF*Gb!!FG7I}w_JqaL-B3~OHbq!$nWD&#k79$xMp*vre!BYeRMy!Z0G z{I`E?Px4>?72fEWGQQEKR(hi?^voN4^PTnhhxnpre#}&GUO7S!rz2?>&cUwsMtfR4 z+-B-imf)?|K82&5cAII>2V9C?dnv-cPU<__!pp3EQAa!NF4I1#aJ2^QqQf36+MCtE z-f>yGmlNC`+Pz~doGtYWR^cVo?jF}yJvy~>H`;0aQSU-WB7!_$BJPyg~Sf9Z>Ond|$%AHTZk|0Vx&8?`aqYjZGaA85XL z*!1teWYz<&SB`z;qx=1F{o?<=weat22=m{EqgnUq%BlU^|M<%n^&0Y@#s(XTS&OymXl)r zK?APP{MXsQ7ykQs)NGz-t>4C9GJ2Z0(k_^}QQg7JQNK&zfxGin%k&>l2mkGW0rBE^ z&-#;9o1OQ|rkAW*@w{biIvRa-t^e~MpA4T)T&{60-N_Z_vF|Z*-|d(6ef+{e+whWE#v*%a$k7S?OWFIaXqr)U+3oj`@%bG8$k7JDSY9<-6xC@T+jl|xzFwgn<~eJe1e2Q@&TIkm@7{H zd6vKRht_CZc>enS_vpN0?86#zr7_lEUhMo@=GN~=r+d|bhU*KgT(s1;1bvG)Ek1Jb zed7A(Y=u2~ipgY)e|R1PY13Y>-Vs=W@tq?f2}kK@lnH%ydwak2Wpz3GW>og=`PYB{ z^A$pA&Gs}WbTV3)t5h+O({=#-p*(N4H)5M>(L=;AZ()WJEH>s$gvMHD@ej9_I`pw! z<8j!lxb@1M#o(dMSTHmZFw+#v^L;Wq=!W(Fh?!wQ#~o}7I(*0WnT&X0TOU$^zN91$ zk+nW#3w_B>ye)8Jjq8TK%SXmrYQ!X#pF!NiNAbh+XtP!4KDBt6+KfliTI_0me!D(w z8fI|Ypgn7et(doSUMWm!UT)A}+?9rj!9&b`?okZprHuLB5b-X{&!-LYsx?t?z;^?r zU21OJY_3_cfBN~C-;Jh^rt{wJ(fz!)TkEA!Sgnhfcikv` zq;A~OKn-3#0awGLkrHnLrEQwV-P=CB{Fu%85A|~6q_t6c-ha49VnW26uLb{b+ukrf zT(+&zagT@KW^)0$7b|UNoV8e=H#eu=dbh1ajePP55<#n5acTU`Es#3epm%IRbsk?O zsbAY;4U-ek{)e83^5ect?Y?xzAqrw{uT0O${KLz07aS4>;8Jhv%!Yc53OOmv`|mq4;h% zgCzss6}z|Xw7w8{TALkng-EDdhr8HUY|UY7zC1_ZO!RIxSEL9N9@}|gCIkB|S3DcZ zMf}4J9P|yUblHOW`u@YG{|avwyoc?X!Glu3f3P+@?<`m*&YEeZI3wSSJWy-aaeH~* z^N}IS)<3P{ezJMI4$WARx6*c6ydD`hUHfVoR%snJmT+M0ipD?OxiaVwwNLqs(^<_S zTxp~}uxEAl%v*G_2sZ8!Q+D^1&Sgv6A|}YGYlnR5FLe6?RBm zQCUjEw)XaNBPQ88muGVp?IJf%1J0vMH*aCC1&?`ATo&!lwa}OTqT&&3tQxWr*#yPr0-DXddH; zX#{y2IKY%_J8u@c#Br8p&V&6ICnVe8A8u}?Y9j84sCwxk5FRghT3U07%@4d8Jw;M2 zo?CBk!Z!Wf!_bmE_SqNSrxAxDTLFG*0|U9;bC(s z^Fe>;RXT3u6WSM}3GKn_)drjrxc7O4jm0MlY+M)F-gVvm6TZ|mcd1>E1IR+n&%4ae z=YZlql&85lUG%nwP}>!frp4tM;TZ5_WIL~2^Z;+##1<@PEtc0`a?ajGNQyC093jC; z8xalqp0t6uw(XnEQ`kFInh)z$PF{>#_W(Q;tOU@(AaAHD~el$ zE(OosQ`C51W879SYG)_4tJIl!`&mWz=EV|iJFUY;o*6t}a&t4NfRXav#o|thHsBwg zKTPvBiDtW7s=WM*vM}wgXby{?b6Tbklme`6r83KeCP{s{*siA8Se4hT%z%4scY%l4 zLpGfCJBCRxcEHgE4L_|seAIe`@k*m;<(cE1o4u!XyqniiFAIUF#N{!^L6JUHZk#uI zgW;FgSeF12&+Wl+ zVSv%|((b&=!BjP0gMkqqZ^VfV!3#X*&!CrT+4ig=Zv6ZjFHv=kk1gvR4!A^>1v`eA z_Cs3b0|qzGTXw^}l~)3`XEcs1)35YZo(SMwYyG;373?n4h}X@hPvthumplFsB3|%aks0W|@PJKtf=vi<&9IO;%{5hU zI6N>**j}Cm7cGD%jk!rzIa17gq1}yp6S@n!j4Rv%^q$%VK+j%m?){5u6$Sd3bXD1O1{b_~3 z3H;DwE~{(yhzGDwv5X}?&QDUGhS4;C%sc!zRF0_>#+n6r>A{?@u+CnT2cq#IaB)5g z_6cPiWr_{^#T|=IC-vM#49jIw9F!!q4_n=`^^f*B3{8Kcw+;25TgJbSC;@e z;F3IY&Y8oWxZrGLmFJY&?OgE~EvD3Y_`{C+xAs}Ac6bYZ3H%Da^ds(3u0lb3F{PJ* zftXFY{3sS_D^O=@>l{xF5YNTw3ASpzc*CtJYNxO}p3~^T%U6_h`_$S34it1PcRQd? zZ^HQ^c0B16)Ko9nyx1m(ijP5G(-Ml!iq8X4^Pv;uE*L+AP*GW3Kx}V&V_%1)Y|WSV zP$1K;huKA(#6*)AFie?l@Zt|=p=QA@Xu0#Sb1oPWv{r6Qb?c@-pD}I&RJR^KlJaJZ}W&bm`UNm%t zQJM}**HXG!Lgz=Kc>>Ve>2#BzIzv9q+JtM60T5x^g1yE+^x8Mrr3xbMX8-g?e*6%8DYhFN><@Kz@E2uZhuAjV(UqT0Uw1I?2?O$K;CG;@c6vEG1}#WzlnYn zuC20EhcctIU@RvOFTK$HbTB`I zAY9_0kSV(?_t4NGSZKuLp?r#R3^b#eS*fvMrj>jg1g3-0uBI#O$asdjBut-8!hu*2 z&6#fFkQqRhzs~O}2-nzr?TNqQSe1#nHN9|^gi8Y9#tV5diPAXFHw{}SM2kSSin_|c zZBx0zOAc+~4_yYug6q&8t9e~f^od}N)`aHxXeL|8T$m zMjJkDEoQm7Mk&L|kqKM6@H>a)8O_opBMXnM(=$7s_YE5h(#zU6lda8WSjWB; zd(q8Bu&ID&FU6O{2e#e&{_+7x9vr7C!(@}92tuH|@$<=C7R0W7RMdzw8Z}(8?5obP z=1BDw!~`92AEX<)#EJ5@egl15FF%SrT)&OpDk!uWjb65H@*Q+e>f_9KWBaFkuszfd zffc9V*J^!$!f|Kfa)xn4p}sl8H_9(OEffEQKjnFZxq5ZKyV!k}B&dKavAg47qNfqt zLK8EAJsl{n;&2JV3&;(0s%$rcU8}6mYhOlrWGA@rW1El_6>9%WAj<)5uRMc`_+QF5 zh$!xz%WG#fZu_jG9lV`w4^`Er4HeTJF5npY?HGpB9@X7cq!OLG2<;7lU*HnhV*)?2 z>}q@QgN^HM_u_u`lp?s>UO^CY$H!%72t&@t)l1_BOtO7iu|3wf0ihwzu~$%QMDL+vA!-@pvhSh3BFSm}nH+ z>)eR*TboWifQF>23 z`ur)sH1l>Y$`BV<@{o)2d8`d4k~3K6JJEw}+h#AxcjCfkyBCD8`*WIFn_I7LewkB1 zTzJAraf5!!t2mfwb(U`-uvQ!}nZ-J}y9fhWGV8m0bkS9gE>e*M#Um&QapT8PJJIx% zEO17Zz(p*_%o$|xamL0QIaSxRG1?&{)ce#wnhwDV><=70mGh8fJjOcPjG=%VC!#0~sgDZ}1T)a2&BZNn0YaHa@ z>?oy6$zizJkPq)H*rtQ8RFj;0>lkr{qwRGiQ!%W>UK?bYKS(G{wkPVmW5-LDsDt95 zz3wo}p>4Ojhoc|IyXTPu@O!n-Di4jde+}qp4ejoGvLx@4oGhsWti9`p3n?VvmjdWD z+ZX|z`D^7uloGEjW>XIAq92=0504bL-c=p*DP#>NsUV{7VXZPLn5?OcHY z=;mK?zAjKlaZ|Ali)hT6bg-BbX!Zczh6oF|5wTv%agl&3GW_=VhUSFfp5Ijn3U@j& z2AElK`nf%~5LGLyhO~edrSl=yJm;QkASJHyo|BuN?P6pe^JO#(A3<2knnkcHn;o5j zBd9$&jItL1Zr|5H#)XYS%wkmiMQn!7DQF$D`*Q3BNtYsBU>S&ZMy^h$`)vs-Ie^7TDMh&*?!9e(bq|zW#|mY zKpRmY#!8_4lS5=exXC{eSr~iNL16{a z)@%RoE0$rAnbF z4u(TZzV$O4xM;mTP+sE}v9vRK`GvWKX4df)FSZ-oxS+JHeJa2nF}|5{PX0T(#O4K# zD;aMt@a{KwWP|3Sjj1h?sOFStOVZ02RLnyT1@NmKF-dk4zUP6)=qhxpnHo1Ul}306 zs2}FNSoUo?V;lD=s8QIH+C4!N&w#XZZh#ysZmg_f|)cpOpU zC9Ip1jMMU?dS$;208t|CnN#iJ(R3xUk(WOKsR>x`d;-e0<<%1?T7!fn@cQF5hrv}#utJX5-1{d$ zeH_R_$DeANoKmuFW(Zz(yp4Up4MI+~NB=Y25I}IJ#%;X(l*iI0Z8R`HEFIRq3I?yP z{OasXWGqNX34CRoyPB6nSoOWD+&c!di|wXd%LPPqj%}P{B?=(mbQNdLgH(<5*?J%; zu&XLIHcM3*r06Th)MHYLl&AZ5BgYM(n;F>=4qP*FEVzpEZI`)E@=FzLNw-h}JB8vi zt^(1~_Eo4HrJ37+7+)!c>F?ZgAXD+GB5(wwsiW)jwflR&rYl$)n=#TpV%d)0<;Xgja8c>J4U3#ZrK1TxZWhE8?kJHER zpa1sP>(BrG->;mqGX!C9QzZDqr~f*dDHWLZ90es1DP`}#mdI(1)xBAxKVw6}n7Kkz zmgarLw&xE8*<{3^Z~K&A;?$9}_DWH2Gz&$tyS&A@SNPBUUjv11u8Poh3_#4;TqVtn zFG>87y<$bf<0Ss{up?zGK@umcL540ybEOFi9s<@21E`}+3c0clXEWT9W|V@c%3{>y z)GnverNn_hBO5s#ejD3g;Fd_kPw^~EE-k1SYHP8kZRV1KT)@M#=bcWw1vJPR7B~8} z$fF&Hl5Mhx*^%F8&j4)Aw_Ajn&-oqR7iJbNVUiYR+O~r_P1Nuc7Qp>^s;(4t(o0l8 zo!a>Xt?d})ahBExc$3)v!p;Q*55NV6&)<1?Td7q;#Sug1j5JSF#qU6RX->h~745gQXwWXs(cgj6zMb$)y(ZRK##%M56Da;tMrU zvvNb*oxQv0`C&}A(25g?N`10 zh?&RB&QiqH$R68_7%0y-&OW)U)wY9eHn0{0KOF{B9q~Oni~_iFL&Td4N^%sV0=5Ht zflF7gi7%bXx5T;N`=D9Iq$7Oy2f>a0f4}?YyKjE`;p3-I?fdxr<<~!c{Ps70w&6GZ ze{TQ5pMUw`rw<>;kKcd%^uw>vksp5d^B+Dve);&+JkAzSsd<%4lodjzYA>*OKG;;* z);UffOf%6T$07XklStas^mf9i%gcVG%Uvy6?0J`hF z#YgV7JbYyNmsPG-s$pfD1>5@QVRe}rI3z6DHj@es6=rU@2Z>{?t*%4ZQ(Po^5jg7| zol#nC_qz5g`zsZ@K5UB}b4=F!M6aTanz3kNTAj9$ub7rgo`njIkq3`D9@PV5g^f!g-zh~I6Ly4I>jXa)W^yhSFL6aaWuh>~byUnkh#+Ow4aQx` zc1ZyhYc>60oa%8Eez}{>pWArcPtH zS6oTw5h@Duq%tb&@Vy)l-E9-7zN20U=hYV*ljmqQy|fCdXwwhEM)3{lhJcb4pxl(iV5wc?gFl@EF8 z7)umU&8#KZm_-^jTR)?P&^9f2u$YqmdZYFep2GOehR(7n4Q}+WlFk&wW<$)W2i>YL*x;rjU7g?_iOO2)0E8IqdeSQLF^u zYMjndP}D2*LZr$q1xn;i1@|^yi;o*2REUYIKwW<~l!YM5CyB8J%9WYx&{huf~TiNeJkU**+M&;Uw5j<4o@j55BB zQQnX~{_UUs_OAn7`uCg&DDE1|uwN8kuAuhIZiyGYI z-Jn!YVORC_&P`X$eDy6#c+L?NfYQenW4Gq{I`T0s+o3IAfha%4D+Rwb%WTd+`iG11BV#pyrnE!Hc+LG?n;i_id4fa-pfyLnPyjBOS=#J^PC8@^`s&Av3-3^LaF*lEY(K#{QV zT~254-UbH8btzpJ7{Fae+$Po(@1j4_8y*ENVz-p~>T(r46R1=Qui@q^w^)tdnQXaZ zSy5R_lI)|VZT`v=t!y#q+IeTtI-$hKD@yvzW%XGdD`L1Ut}DYyjrZq#0zcVJek92l_zm?hqy|A6X$%YV`3RkCf1Uy zeMuH30WM#sq(-Ky#o(wK<>l?}I!-3UrNDzh@>JiE2_zrM6na_DvT;WZ{4pn`BksLo zXSEh|v)mYal;7Y~hdixM-Jwc$_#wYm@D_3WQY`48S)DW?!PwZXN<$xA;ei%;#t%v~ zD%EIU)kik+q9VGeY`GHfTDfY}#)`KlbzSuDCxfV~&G-wI7(|nSSuDh~6ftR0?wF)9 zQ2X{zxfM#j_V6&kFLRuYKM#|j#PO1z5YQI}mXjU*5+^mfWE7S0+lufQ>EkBW9|ZW|Zyd(2*F@wB@@zzjT~?&eZ| z3OL5-q0u0YGvtK(=U33n-PCejR?&{a1Ce^6JnrB{^jks+KK%AhSPo#Gx)5?n{C2oQ z+9{2ZJ%FVy<5=3Yi-7=ZhgFo_JiIZ$+E*14hSM8aq-*>5xN7RSpc*F#vn23clO`Dn zdOsU0@<>9jO+;{L`@&c4X_?Z)hY@Ri6Cf2xxj%UmdpZB`@=3|Na(hNVlOipQf&$8i z%Gu`eRYNgT0YyM59cN3&ioG@Tzt^e&>-{&gWBV(nsw~W zs`6MRtBN9v`EuY4`R-yV5XBJhZH}1>K=xyTyjJBM`@?_y1!y;a#CHJwT{^c8f%VN8 zz1efd=D89~kU0r{Dp@6X1vk^+F0z2Wqx%ZnhrV+kVwAyg9{SibTd254I$)>fmeQAy z!P|EF6?U;Wwp9~4rOkeYRuj5_?IC}8aGQ@C0+8OBMQimmu!WhF$Tt2$h;+_-OnH?4 zNc=tPK&_$;MZw~WyL|u&6h_4KuG_ThZJ~BcANSjhtq&l8>eNDon)#hj}K5J zOsdC<(O7SZzfkS3@;y_!lzJ-Dv8B=t(om*nAimC;`gV6*9+;t$V}h+PDNZTX7brvd zJZQ~xm$s=~tdLW%7@w}>x5K6Y>NQszSeTtzDyT(_XI_=dbM7 zpCX1zRwZSx+PP>pO(M|}{MVjdx|y%PCF+XBtbJ2B<`bOM9;6Hs(BrH~A0*n(p@u6t z#_2mo>Ktd9=|x`@fKuQx*JA=dI=R(c!N+w+b2c0!O1a{;iC77cZ@5e6JQ~wH8z@T5 zp1DEJD@-$yoqJ8dw;35@QN4BYy3#IliLESUgHochPSgn&X=`uMCOR(u%pmvJKjk^o z_xW?*X`ZBRMpx~@p=Cvc-CHQ+X`ed4JTU#*xy#|FE}IFBxV=p$M>fw!zJ#qn>bg{3*u{FFeef7P`&yKw+=ZfG5x^78LPE?2C{A=NY{S9;j&r!|c)%?wUnw+PyT>m?;F6!cqB zlNXoO^NiK4GTJQ!_vbYyqlngoX{WjXC~EDy)ENq(!%($FkED#W!O-Wewk+(I(b za$?hGxmB>Nqua)r=++3p2pvM$Mx?vvf{~D*Q%HheJiZjGVhd(vJyRgfaEv0}GTF^l^ z(c_<`u4@tJp^X^y%v7TkF`1UrodrIy>q~y~`u_JgHar)W&IJj7-VmHX9GO7XCE*QV zAT{@v!siQV#-|17x}mmBCG+wg$BfXnGTjow@0Q_Pn1Hn;Br|!R?&L}el(Y9wjZn6O zI95BMDuTd$zFMc)k35zPerkX(#e~O~9c&1q)ahS?*zj&cLvHryG$rT30Ru!(_@FSD z%;cS1dv#1<&s)6ge0NNaay(ZYxeZ$$&@1@pKiHTI);Py1w=b8z?LlURzYL2|nIf}V zt?9ql!o?-^bb1KdR*L`?3{hU<)B2Dgun-m6s3`tyS%Q1yuZ2%Lr@UBTV=Sdg1#9%m zv*7y(7Aa{rw>)B4s2Wf6h!5a$=1Lmz1G-G!7ROrHiv+skgI|bArNUy)oTBESC@&d; zKVyn1EhV*tC-%4;&U0kyCMscwv;Xh;SCE6On4iN=djWo`>O?G99CHgQ*vGg_eq#}E zOU_8Z9vwk_{MY$i5wr9jU0`qIf&2CbLMXd^s>M#5FCLoRYk7Q}d^#s|Zu=7)!6AO9 zyGmjXzDNIHqzlhHp4C7jb+~hz=lGd@QPQ?Ail&gukILizsjSAerBt--?M{TVq}9}D-1{i6%5DET;lgSNmOQd>4G#6U?^c}1xGySivO_KgP&M8c zReWXm^(kGk((5`D*VYjGsq@T@B)7G>aC}H$Sb(QA8=le`xs=Lm1O$({O)G!TX2Q;g zP4LwRc^H8kiDhe30Vd@}a@g8T^7&la%v+laYWmnAgV4z!Bh@;vJ)w~DmZS;%iX4}M z^%xkK++X1>>QZkJ9*|!0Ew8FC2|-iO$BGf~)PlfOn;g+r6aLdcq zI0r7L2>A9C72#X%iY4m{f6DhoTR?E=N{VuSn!7R;G4SW|2%Uz!6Yv-JPX#)v4G*gA zVph!(Pz08w0!R=bvSZxj(Kh4}ZVM&{fM9yUG8NrwFQ2Bywq)JPyT|_3aV&{0hZ3(4 z**RE5R*vP6khh1mRF~YMBuSe)0Zri66ex0Rf!O;qCKuI7H?xrgdXBdr3$Bs(2)M^& zRaENzEh^nA_ka@2$r;VEMo3c5O_CK!R1XU9j~M-uq6}|N#KZ}FM*Y>L1)gRVkL9#) zK>%v`skRChDDUj4=giD0m=`K;GvV@W%Dgbl7k%t-!Lf+T>E+nhD(r(VGEVOerlcbe zfosf1c?6yp!PRX|RPp#uEo>pa=Yz%>%wUH0Px&6>5(*iP5XXikXS@k zuaqdyibp4<`_4Kpbzgoiw%sAbgk54k?FINL2Tc(Lg(EqM@k3r*G-X$&{yKM)a~}bt z#EIOwU?_uf=L#f?9N3C#yEaN-g>^xJ^d>yWV66qbD4Q_H8P|RzKqEoJwN^5aQM6CF zc}wfR?FCJysiT2V2c&i%aJiQhscbOh)Pp{5!|yjg%!~sn(I$n`*^oOLyA<4F5p&xZRrBcm83?Y|S=am=?*I z4X$Gkk&TvN58I#@GW9w4TE)W4!J+qKEi9yP!q&!jh4E*-RI9sEWlAf{&=)_iJbLX3 zmvi&lW2cCzb$nMV$Fz6C`wQ_jbYaw|+ta~3hC3encT?#x^?NfeV z(_9(u(_-}>OOTd=Y0RQhI3OAKHoS?|h?|ah zykprv>*U!by2;wZf5osRau_RnT#h;ItTu8B#S;tkR^X063c~Y{{d+XoVYOatw>4ze zsChXN9JY`Qw-zppa1ab@yo~CO_w9Ds6wh|78kp&)( zUENty@A4)HJp|ItcC6`htSk6ah<};=*`9 zUNc?6RHt=EW|a%5o#moaI~!J^Z+9gEyc0Vc7DJAU{4*+0+$`vn6zN32$otjJG1?&K z@{3~utK-GgE5e6r>*XU}xkVmv#rE1l^ip#JS4f>(H(|wa322fe>~Og=^s7g0I8D7C zv%I7$IkTNBhDaQf3vpO8I|HX{2K?)yP6P!(){(tliibbED5o4wE5)9;&l3l1Yj=Ad$oTpTrf{?9LaN|o=sC>Prw04P$$j>RQX&(Xg zO<3G+fo9FH{X%H>SxVb}sw`!Q`zcR6gG1r3TO@cz0CCk+gr<@pech|?wT4T(T`otn z&&uHE^n(r)DXAy`RFl5QV4P1*W^ID}FaXA$7? zvp-&uHXYE7$SH8oAv#5s3tvFrXVPTXP}V8mS; zb=8KWCv`hlm9Q8y#7^6b|M2SEyTX1(56LK>=$cGB1Ypo72J1UKPwfa(WC241H$2%> zZNay#=HM9Qx|FJ(aw5D_n`!+ka<1U1nB6Y-RB+d^x@EIY(CM4J;20*j0mg7%9#{tk zL5TGntvrpS!ntr5xv&)T9nA(Vjy^3G;q+YGEKTp^6zhbei1~_U^M|}=-E2f>I)&C#(oQP6Y97f zB!5AwJ(G+7j;6gD2>-NCIaG8>>~DE~ub}s78!dvdU8DSIq;j(vz|**KaNZx0y{>ah zq@A7s^PT1IAQhR#csU>&a+#ZxQW&^!0byS(a;l+cT`OwAwH%sH0-Z~heJ_VRmCZ%L z?T${5zX`!|oU*;qek2p$!ZCk9TNm}=32qTlT&3Ko{k5ysSY+KYR<1J8cB#cW5B#cP zbcEEutC!E{(d`^G$3bjtfs|m!clmDlV6%-dYk7T=Porc2Nh1Z=GGbk$?S+f`lmclC z&Lc^!lobUFgnhNcM4Z1A0S~6Oo&rXy zeF%<}_if{>V05b>G$Dy$KfB0gtk~*kZ#anV606>HodQvhQQ-|jL2`Pnd)}J6LaOVa znXzjo3azKG8)Xjfz#1S@HQVZ?L7PMN#liu+i)-38^^;nc)3VUmthbnW^RS4|v1BA- zjuvbb;|7jtGalIhrEmLGQ#3Sh+V?2(u*Mg5O|d9&^TV1A4OM|S!>Gn*_(8o9q!bTyP7 z`ujlvD^5mE(+B*;<>vyG^SfKX-Mh0^`uNa<9AC58vqsv$iUH#cj3E4e5hyNBs5zP% zF+aa6p+}XEIAb-A&9b?>@>YyGr{B+=G?(kMhEtDQ7C;i3l#6Tq6YId*D74Whv{E=W;8smXyf5c%^Uoww&eF0 zr^<8pWRYcO+N00ayh$#6*&H;e3+`Wx(i69{(qq)e&@$yge^1Gp0v1@i@$k_okh8S@ zhC&$Ec3o$$s?_M=K+K(I9ep(g3p{r%sFDG1^t$Gi!0Du8)h_H;T&7RK4m6KmHx;pP zd(0w7agL$+@8$8ZETpg*53fhg=DY2`kv|uPfXljXZgzS3ajIm0;xd;Tv!z&+l(0(@ zL|P`{o2z_bF+TA!rllekSp}^{5~=njzyY~QOg$5EE!N5fIl5#b8V9<1{77yJeV0Gy z%@hD!ba9F*@&fyzeJb#9Se?wQ1&X$&{}#;V&W$oHAr7UD4hzVvqJRv!00ZYxm8EXiyb?j=-_Mo4HCE;c|P8duz&rbl88~SAq7Zd%| zBPKD@_DEsPM|JGuNOSehbkIChkGi@RL>@YwZ>O#M5=-%-1Z{)mW8PdIG9cMH754Gn z{BaSE06|cpTB&_5kQlQ+2@_p=zo;ONVA2J;KCM?Q*>#K~oc2}TT*EadSk0R|h2D&t zJB6sCnnM!+d68EpeS~bsG{ub3dAchlp}0WD<7HR!nDJ{W+IASW;eK)iEaJtJ>Cgupbn~yjFo8qM!kG+Dw|CsH$aEb9 zv{G_>zLkmB(2hvy{G~GQI$;&~aQ&N__JN^?W0!c2tK;35=3o&+k!}dUer(1AB-O{0 zk8_W`O0%qk{%~`rmjK0u$tnZgMsl_aAiG!l`ks|df z`MC(M2HK8bM_$0}FKlL~w9Q;BnMPvC)`h-ZQ0Dfoc)cv_XbvBnX0@TwOQ@%tQifRu z-0t2BNT8{@AuR4V)^eIk_j&aYyY1{OuO2b))0I8$;p)VJC^(uf%~QJ6LLO)OMgyMP z^76JGdA!gxXGL7f^_->-i|K-frVhpkl~V9^sXafGEkP&=V_p)Mo1LQQ$rEYba5PB8 z#YugEVK+Kd19xu822Ue1zCCC!D6~6C}bCKqAVk3Y?VjNW)OSSf=(LzW@%aC8mA*J>ebpo; zWa-2qnVhsyDVLf<82r{MgJq6UO3mQ)18+FKSg`|*MiKh-@SP=gC5qESYRXd%5PmuF z1wQ9$7N(A0Q4U?0_E&8d$p|XX>}BjqzEouyh?GA*yE8fcau--bFbNjG4;PK4}aV`!0;rR_c2kK2( z$GuQup59|0Y_5>0N=}i;#Icpk<5bA6v8Lmc)`Io*@&)E?=QrrSRmd2=XR_v=FOuQt z=@CF;GN#@v33qLB7vfKa_GY`=A=hCPq-ETdxc5v^8P{cBA!2Oe`+Ndb$#AIW`S<|t z6DP4N@aA|H#gLLKy`{s2YetTKS!CPy%aGk68P7ukKES1$3byip#L}KwQvoi%=L$NT zU|APxF8ae~uIyUZPSzqGHqYHe$l*^pvU^s3bm$NI`NP-bB8Y&PfH+?Qe4+0FPk>Tp zm(Y26)i-aTbgi&pbUCPW_14H?sZV*jkntufc)B2%TW4h6Z?TCU^58HL_PJ1S ztiZiy&HY>bUXh@$6K8miYuP4iLbHo{>Em#O7G9iVhw;6TuV0Yd$8|4^?v21@YZVHx zQ+~l;3G|5k67~=?I`sOFY;dextLX3n4V21tWH-ICKnq=vOjvAn;Cj%~vu0~^p_D%b z#4l~8txYcnvoN8m+xr>hfdXzM?fp#ecpU_7=1mygW;wv|4UtE?#F?4)n8brZ)Frqp z`Mr*Jd|6$M@Jwk5K9op{((ypnN(;&0f8raPg5AfnX{7OYO~- z%@%M=>F}vq%OlhgPJ2S}FVsikZiP)}zUP{yX_rgLRU9_p*{b{67IoQ2>r1(! zvEQ$--no|pa)wQ)Db*?CzI01b?#Vv#NWiv<{$ik7cilDqal?vIFjPNjuJj;)KxFVVD zy-f~r_)5`T3cjFG*5kkTi{jT+NkzOW@13E4`KQ1A)4u`Vrcro9GWX z)@XBYw|tCU(jAz4D}>yM+2J2vX9gKKIs3{rX~)>f>1+V!^72z1`U$CdGyxC4S+fbp zRns%)=2B|ZeRGq-azti}jPlImWGn8wGH%)`i)k4V37Ea1&5o@6H*xm|>2M4=L2(YC z{dYaKQpB$Gqac|>i3?waAZReT!+XrYaa)p@0qh9l+YJDW9BwV;IIJ~kBdh=|j5--j zJV4XMfS+Y~pbyiC??q?X&{?Ctr`oe~2B$#o(tCC%PjSlqQp&g<3sw1XokBuOq8(|) z?sv$7+djRfFF*^Kf=-VGv(_EPidWde?k^xoe_sk5xg=5=1z!=J&SiONF{e|ujHXvm zPjYT+OUHTMug6galoEF=C2oUe!+8j*x4TGA$wSPvzDsn2?qy2+2uwzGOK>p^3Hw{_ zdyrvtJVu4*#Z)G4-Wq}8s`3iVQB=cjh+8<)GN(oQ8%TIU;v{RB-1{ z;_cMTv$&10c#hGL*D#B?>`Skq^(lY~Rt$3D1n$br-Q!ProBahw)w{rD7i?jLw-(mz zn|-acpC@7sOq=fSZCjtqrYGGNPS-ln2fo<_8UUi~iuT^J>XT@_bHbvB*mTs6bZ~Cp$vhjcBDR|C+_Q{ zn*m6z72mU*0ga@j4nKpOXJ=`1%LIB($V=eY5DMz5wPj1zdXDXhbR40Pyaf)t0+Y?_ z5_jZtloQ_(b!PAv7K%B~ug)>c>?4^BRZ~{3Dm9C+Oub^=vVeizLgx{EVDP$ebh>XW z9a`x&277^0W>%h;S$M&xQP?!`dH(jt1h$wuCQXqMU;^AeZdsm zAiHA6iq-usHt;3~b?BzOUCmerIkYYbExlIez71jB#R>sk+!d9z)|Y6nzzF-Qt?fMH@15qi`Nj+X{g8%KL@(>85O^86I2KUtnZK5F^NR?4Xy{KQ_h zXU*=ddX9pl2Fye|218->=QlF@$$m?TdTj&|s;tb`X0)1H70A|dHrF00h_$_YqsDc# zRFGuU(ao9(5_7{RLYym}gdj2m@ufR`#Ay-U|+D2^}L zsj&4hhwVjoNWHcaI~`l!Z5<%7lk}x;u{M{52DyZq&spVAupp?t_2w0n3q6B{vG>&e zrY$wng!6}-xu%a0NZ&)0PkWnXh3W5d9bMHJ!{;Cw*I{%&Y*8;uj9DB}e{~=L9)Ocy)y!E_ z_^=y?nS&hC!rdi~j??Bjl0Zc;q#{T7v3RSGol(Rlt3_$i%O_-PU9*xV3rrsT7U5GIHp< z$t3>s$I(WO0Oy{uwQ7mD>@)QSQ`Uf2WF_@H^wb|7$#i03Yz1FQY;FTzdRI>e{Q61v6ca&(pX*=R3Q< zcttIRi;$aji?aFoBUnnnU8Z1OA$X8;y|@)R71Raxof9`-ejM7ie>ntv9FgmM#vt$& zqdW%F`T$j)X;E(#aN-TAM>J32yZmlLW7nE#>^h@H8g*~`idanD9tSa$0LSN+v9em* zxvpihpkOfIuNsmdpjVi*EKnsc_F7QVBeKKcoVp?@52mk(_e51;oQDC}uI`9ZA7ddn zzH4y~T_Cdq>nz+2Xa`$Bag_0$FAr%|j&B>Szo9&doD-C4WZ9Z~LF_6$G)$Mho3%t96x^l@zW2#LPvi1-Oqpc^!VlDbB_aFy_l_{lC<@6+#fb7 z=Ed__VVjgL^Iba zAwQ)(t^g^7_9=HYFrS_?FK2b)%dGVUt9nb4_i2}R22g%;yfrER znDJz0MSWMy6>o{Jp$^-2gNOT~J7&|?#@9O}N>iip9&W;YFj*O$*lM8mrd`@|6&-GW zM~EDYtLWZ4v&m4&Fv-CZ|*YHMLI-e|)!Qonc4A z8C4Mz;N^R09T3~4DvC4d{@4|2XL+_{QY+EyX0QZD(X^~@tmlijO zn5-KGC^Dn$G^2u*+X!?s6uuc25fXvJKQ2QT@|E&(+i(HqaY(t@K%iAaWfVGq2=;D} zRs|M}l#|C@+K*LbxC54|v@3_U?rZtj4h08Y?WB+iBjzq}Bx+PaLn_qZ&Xvmw_*N%} zfzc@*Z8|>fX)rxn1A|nM4z_{WA^SNRDss0AXlf`NJ-#c#(bQVv)-)nRI~y!E=od!w z!yxT^QaUH#6fzl}$dbE@o`8qwi$#37@2Xa~5tp_$^)-Dt<|~@KwaPW?3H{DeC|-+> zLAlZ11cRjQna=K^ApaR+7LTY3(2m8dnRm)^2OY;WI{o`F_VZdBNcx6^l0wjSkIB7s zeuKZjOnAhFHdjnk0;r+hM|t?HU1(5em=+OdK`R-EYf{y++4ij%w*Y~r9&cKOk2#&vlrFm@aVC(ypzA6k8PsBUDAnbVi#1jx_;IhCMiFV9YqaBOB_tm9|wQ4Hi)igEH1cbw(G{;dx>u>c@= zHYgL_dGRJ*8@odB^hxx7Q%UqZ_&sX0sy6f;Y)t(yz%neM$kU)dmAp0M`3iaCcwVIa zj;jw_r%>8CD^g0FEQo3AnUlvb+J4Kp@3KM)!Dh?@<*dLZjXsRNC3vJKzM zHrp)8rQF7ALcd)zrY$}d~+yoZ$P3XtlGt9%XCr7nu7X!_l7`8@4gmG=#E$UaUVI~ciO0H)kynlT)o z$?;ZBYV5P^uV5OQ5M959iJxfhryd>d#CS(&Q7j<0inVI) z4 zpb^OfFiT?UxU~2fr+SAL^GvoVf;h-Az!g{uNF_pbJaf+#mBj=U`0)xU&h9x?JkC>g zpp&S%Nzy4nJJqnYxghM%0^;38NoTpYU0Q+j=o~ltKfh)H?%536c);0vWC;R%`o((j#@s=9Q7I>@K4c?q?V8EHEC=qk=}LGelRUD+hbHg01*?A@%RqEzAmM(^i)54xs~@vT7w}lLwdG<% z2^TcLh-!g6~h#50o+}oOFEgM!6L16)R`51E(~O35hWm9(WmwmnMLE6xgG{o?{T# z@xH}?0QD(DRgE&dkSw>x2CYOA0oR~h!6$B$&D-N*6F%$}bH@v1=rLS%9)(_ydjt5b(cn%Nc1amXua2H`sa~z@Ko6q8f^ZARf~&`6bWrz;5Zbz?)sHQ1 zB4UJ^PyV$~4Pyi3&M}kTt1tpcli_!TeznbmUukx%E85HKcT9`1kbS?_F#P1qtPC#T zS+-{dXRrXUux*tatnVyNDFZ}i>tZ<^CnK6C4P|b25uKAoa=eRk3057v!yVG0Xb`%! zS)P+>2d4n~z!i-{?f|S=oPzi1L)$asH2-)FP~`reQC5`yaK{uvOoX!#U!#OJ8bh3S z9awvDWA7`IXqy*BVG?AzEn@e^_aK*(>-adnzZf+jNJ)9XS+q~JuhnefDvj@sWF)dAe^%nK&!zF+mewqxO7Tpym@szWW&&k3q5KX<%@q5)0@_g=b!z z%;U-jX1NBp!fQZoZB5}etX_ete$O)xi_0M9+8p}}R*zOx@bqKFnu1}AcrYeqvY!Ic}?1YrF;z%9l!f zb4>&b&%vRL!=HSDLCNP@Kf{Hlnu}hMV#>dZ#`< zwymqb0Px>cU)etwQWCWPxg!)D9>*fDBcEitk%TM;zwuWcadM1{`AQ>R#Me(p+`5Cz zhc3ubS?>YyoyKAj>%d!(uAJFT_bO2kCV?oBuofTX0H7zZueqt&OgTn=wRuZ8e@O$d zOVCOu0Ttsq+5{m=-)m6%&R|ia$#TPasgNw&ouu&K`4XySyOXN?ack>_+(51>iZ8>U zl;&`b)zC2veTMctT_C*sp?Q`IH^!;(&76AY&AJkDkodz}1HyCT{a3op&4-NLxPVlk zRY9(LW*s%Qy+Y-u4GdIyy!A$H$9G|@1jOahan%vk#d*>_aG02B7QxG%QEaCP#?)z4 zS4C}phD~xprS`936}`=hXt{?Qqknz{P3XMS-depwnoKn1zTrVni_0QUv7i$?i5h zGEBJItS%5Mc!2s?pLxD!U)0H#P;*%7rHo4>@nbGBB)wJD5&Q;&{53ISb(Ukf66Op=A`+} zS#`OHU+-zl0lkB>inzoQJJ`CnsF<9BIVmt*n`4^v~pDw1Sa+}Xo z*DP9~q3mo<0^1Zgh|(1zLd1K8fs-@uLkm>c8qsvoUDYLD(b;X#3}QdmYt8}EeeF}8 zdBHuGm%8e#75DQb4jw^APg!H!4bm$Gz=8#KgpS3`7_jEsLKq$~m!%)0s!76d*>z<> zj2xnpI++jnqE10kHx2pdE{q5G<^4V8KkT|-23kp9A+g-9VF#L3-Z8VBI1#jsvdCE; z-^;Xjk_iU$;zsa9G3P86bKK}eyqCt9#M zN{X^!3d5Efu|Zw2)r{3EEr=~Uv%SgST}6)^WwG*SwZ8YQ6y;1*oqZiVB&Q(V8w;H> z!!aNb9NE1*jyH9ZA%m^RMV4S=P?>f6%0=zR@YtD7Nzr$fW9S@L_=HF%3RR>cxpBHN z*;;2{qEb+Jrytt#7ho}NUzpwLG?llAzVBE9W-zglwJzWfDAwE6FkV{VK5WrnuON4C z!%PP2fn${$p-bPw);EPWJ)u)JUBG3@zF|~r_%+WtUU6FX&|7XcI10MV{byeWT|gY# zk(Sn=fM8$doP{2`);~4c-@YPYL|V`nX18lwbGVmp@+uyRi`&V{N_E1tRVw38c`bFD z9mIhyXO-PV0<4g;=Wlpz2x>4`ExDkEpHw94Td=Zp`tMZx9LT{s4LPOJ7XymLo6)wNH6SgEzp=y08?KNvf={u6Fb; z=s1XcnGVhJQ!a!zA)MJZe-jdnKFSlk?O!L{uSq^1xJ;!HGd>#H&V+xvU(5yedMG!n6j$^DlyW)Op zQUN99M$(q~9K0^t0K%^I>KQbB>~TF1=`qYwZGsDAESH~Nyb~vQr2R*GY4fl&m-j~s zth2eq%c0V=w{p-mZ|A)EaxTk*IZyk@WhUqMX~K6cMFmyY1nxpP)ACb(I$Dnc@xO8xMbe1^=?fa(N&yC@HFgvdNkY?5J6E-aJif#5PNc@K&an6nXa)K`bE+dV3{0 zG=?6v0aBdAxys`*dbD~x+x~7T@e#n=hq_R{# zGx^e7X<%;m6Z;{ODudMI1i|4?c`;$PgvRMe%`(wOI9%InR~TioM9IOD6v5DNCw&jz z)i*|9>k`&eB}GAAmw^OFDkz}gd>Oj{XhA1GU!3GfkPZk6>C8s~q0s&*hg8#Y`E}_u zLCZlqLqvl**Ar@8&4$A8i^`NRvfzTxmrJ<$IndLts(IhyAFz)%<^JHHc*D+$XST27 z>-;?t7Oe-1CLc3l^&|o?eg#GJ3F*X%+i$GMTkTu4N-#L%Xq~^1*vU2PuwCebTmZn9 zc3R*4^x{Q=kW{Ke_L%mHH&B*`qgX>K3eB#PQ356_vUj*aLg393o~V)^vZh&=`?Jq& zMy?kq!1vnwdqO+rrw&UCH2G_1qVV z7`{VV6B$qzFUq89ugy>?%mcI}Z|Gv_I1&5<*2~_M=TEsBS1(WSoxEu!O8|o-)Kc5k zik4qcu&)B1C<4$js(6UUYhJK52%54Xy$PsI~nMn+#T%#bSf>IS;t2Vn>jS|7?2um|1a7chpIT#yTH9u zpkv;XVN9RETlvX&WZ1^-Y2+99l(7O2Xys^`t4n2sEPLCU2v-InC?6S{eoVs88OX4C z@7_mo=%}MZfka+|GUDiJGpdlWbnKJLDMP%HGa(BjmPh1!7Uu#{o}j1`oEJd5=wjMD zP|qAO$FRq)(TI5R5$VRcK1?zE<7CDHbnz^1LX(`;nsKLj3j10-9{y&l&=c8A0dUWz z5V2(`GNRTAWfn8wA8r!POIm${IC#Y&>E1OYAG1+%J}1NUl5p!SV?CvBnOiy)cVWCS zWxF6{B~`Eku9Cr3hzhBinaFHQb$O@{O?jawKh9*jh*hRh6ANrE3gknxLSKr72P63J zQNcfVvokm#DBT&GP0`Kxxz{2#kS4hxF-Ye-wgeC!_fdZZZ_L;h%7kcB2$`|l4Al#a zljf^B09#As>K=#GUx9K9E~4)79l3BRP$SenRm?l@2ASo>?(@-i;Yx7> z4wVJwjFCthYCvBizoH-k{LCyY9~ZGEX*A?G-6GBD;{uLXJ;rY^cSdeU)Y=>9@g$s zd?ZRiF#bIXy@IYXNsC!UCi_v4r6p#s&Z%ZZ%uSx5{SO|NvB*|Jn? z@Zd#Q*>qcj-9ImOq$!~L?^4`U)=7VUCPY3Cd%IpXUbc0P( z8a^8FX=^fQ%6StAmANHlE-jgAhKawh$RPCqi?p=9tH3}}U$RTM?XY0;5eDJ&0DmYi z$LLc}5)Md5=68UmCvbM+IDGo7XUt)G+%w)y%vR}$`$l<*vwH^j632Cj5{|eW z1Gbpr&Epb)t39aLOGl(*a_AT_g$@&FW>`)}%L}66JyVzbOxk&C#HV`1VFD3qWngm* zi&`aK{)<)-7N&j1Z{JnfETt+HqHLi;=c@j;ebzaz>D-M*XMfA&bTVbZqQZ9_2gl%R zvJteFnt#VyOVitVhyA$C%i@DtuKpU33mW(iCbft-<9E0d<7Y>5+3F+E$qUbvk2XtilX3138LS> zJCzMO?ufRv9g2pfWfC!f?GovclhL22$SLq1t+R$j#=;wX0vJDx~)@%d0}Lg|dv8gS5vZ z1~diU_V9f%U{3=*bYNY3hb|9hW3EhOaxC9M+&y-}-Fs3LGLO|r@$T(FR6MLzZu7Gn zF&U=tr^;Uka;;vru^Et_{eXnSYfe4BmRKNP(!FvEG~(jcrlPEd8}VDN)-T2KnIKoQ zO?pFKL3=1F3g305_j5tI6OtAE zun2n6Mh~%conoa;9%xlm4z?Y~#!w3rnZ}C4m=^QeBbe8dnv}y7wZ8@6X2cX^J?(ch z#qH%G0#lodC>|!dk9nG!f%A*va`hGGI@E`)oMUnV)jQWGa&wM;dbvf>S>nBeVm$h; z-g>?^PX$GYN2Om>SjC{(%&O8(K@W&6B-WF5i}03kje|8;4#);)!jief2mxIvHX`W# zhOHJXy6C2Hx4iq4aGD+pemQO)ieiAsp?#{tPhiA$oUviyY{&E`etKba7o(vV^Ydu~ z@afsjmHh|ZaXmHSk5j;ZU) z4A2Abo|@_>w+Ar53th}o1&zgQ?LUKW0+!K)8+xxcWgv)Tb5#4}oUZ5W348hpk4~YN zA!dhvxIIf5w^~+^Z?(CC3~$bQ;^J7_%i;}Ad@*7_b7;IPn2E`LqY!L-GfKO5*xKehJoE){!$F!10A=|aNfIJ z`D&4ul0vads$KeRTNvIvlGh&9?x>Iqe#seX5FmnK$?;ttN90&+A}|a5G)Ahs&4spv zrG{T}_B&QMfC6w=6s*!PWr3kK8gf6d2_Fl0#Bm!KbLnB+pO*P|@*G>6A9$u+adH^e zBbx!!Z=YW7$hTJ%VvnseUY@G$odBkNqd>t>^09_q)i}Ht%Zz2m$;Ty+v7eo&rFe;! z96vB^oHkCdu{me63Ys03HP9U9+@5>J)752d4~1Kv4%x|v3_*hGDQ*eK_XYVPxqe__ zi`mv$A>H@@X(Uwz+$iE)>N!>BFYvn5E4T^#7^MY-g?YxMXQ{a)DjysyEpA`2x5?|Oi9P| zj)1DpB}m~9O}{%8UdY)|=7Hp!6l@HWa4UMEPowr0*G z6Uv>}*Aytz?Vs|pT2KP99;_;x93DJe)N6{`yp0mV)` z5h13DH1Tr0>U1$7(zpCnYpCY7oEI>Jv|%rWtU{cw%{5;c&+(%8@&g8Vpw$|1V-wwP zy$K4y)L~e7d|g}>bOs1oGjCRVmtZaf1=~M0amm3t;bxHEs-p5h?BFk-(eWSBuuCoi zGgB#$U5k1dDZDJ$?Hq&2;BeVkIlkm)k47Awel4I=0mtVv+*y#bZBeJ<+CxR@6I{PiPc591T!K|7x1a3&a+zs&d(sU+^}ea0*9(Pg{m$rT8(r46v*|q zJ0Zf;J~@qfig&?X>fdbAq6YmJ-+Xr<0(F&S(CM5$eX{{- zD=@U@3#ufahdOc$S1jz&g(Y8d8nv4^gAH_`;!w*&Z5=EUfwxrdWse?uPd6>Nd<43Sw6xXL>6fm6!>X5 z>W`GgwOv|CoCqob7~qmsRNB4|9^eb%j3RbG(Az~@-Bvad#FT3*rKnAu7(GV@l@a%X zjwN|UrXX}30JmwpH*hQBzPS`rzdkm ze#LUka3H@Vua|MtAwWZUqfY6@v_OfO@1cht0sHopmvbZa_yw={}7?{*}lvd(@ zrn;-RiMA)E%UQ*PZ`kW9p3)iRFqqK?&k%-l0=Vi8*jt@pSN<-K_4G^;Se~%1eyIv) z#q#>PsB_3w!J4HN3{#C%#{Of4VKo@CNQk7V_rjh)+TK3(++8X5%M3Dw3*=6c1s<1e zdn7}ohHu!Sw5&^6mAWhT}g535SY-W8|XZ#6a5FB)w_YyzMr&3xI8P^ zOIV64Z9Mt5$d9}?K$G7-b@FW(4a$%o^hT8*%pef->-;X4a-7;(e$)6`FaoD>L|%rt zEyGd)c*?B2g#A2DpkENh(&Am;d|exO8Ux!92~7}st35v6$$f_AuEP!U#+S+2frtQv z8H=7p{WqRqALwxK#}{TSkm!$(WzB9~Xw%Ib#f8hGkiC0UrfEKAKBOJCf;WG2v-i#jk;G6`}LiyW^}Bo z%Zhy8ped%Ua|{(B5|~Wmo#e&#x!=i+Y%O|u_0EJhEKmoQO+Q5&bC=SlZ*G%f7Z(ry zYY95W3b;ISZuj|!S;$VUQJ;QlF} zx{e?n(EI*nNl4MjCl#u81>+`pEpgSZwkyo)B&Z{Ea*m_906l*X{F9@{)6j8bJCS!o zbdTZ2yP+0+^Lj6jFmmtNr<;s)-zXAbFmU>C6G-> zcX|%@lug5$gC~`ZyQSXaH0J+akrgGq7w(YLvjZ(G;`DZ{89${Y&H?gz?NdYN9gvGX z=x}+xYG9Ek9JZE{3dXfgU%;5vy0^GP$5ERM#EtFKs}5{L^elZ{!dn5OS(!rTn9R9J zu}m8wy8f;YQ-9%9mQdZmcD1Q%bU=Ij@Oq3<1{$oxvmb5%6zyLF45NLjRB-`-HTD=I z*W_3|8Ye45w;r-~!?CBl=+y&(yhiG3)>_1T0rx-4x^RtoFl3?9+Tvp0m6>n=e#9@9 zpYkvUH)7q^rlM?O4tDc2UEou43gAN`E!K9bdy=!gZ6Cp1Lj6TUPey?|qV@fyaJdW3 z(73Y4%t2gEpgc_y$~L3nYgT>{2wjKW!ipe!#Td7~Mtc{L}|@kJ^i#4IyQxK$ftO9rdDF%EBGnjJ|ApO6*8ag3v;y`Cj-Ymu6Ax|MImGJ zJ}k%}hM+nasAX2#GrwhzJiqqR7|0tDO<0GeSpnO`8%YW*$!16AIFsMz4=5i~(1@xy z1ZFvx7VHxRWhvr88|F3J^Jb`~kmbpHc2&2PEs9#S5(`uyFNbutGN)^{@>(Y_c6zn% zNByzcU*OK2IbNNKUaGK=?Z0so$Z!8I0q(FsSS36f9yAbto!>PVM@kmLYwe4i2K;Ho zHq2O1yxi}p7V@H0LT>==j0xPkXL$8ct-SioPC+_^{;ki`MRH!Az2Gi|u=Q{eFF2N- zi2?E|F|ZRNcoznl1hkZ+Rq+bH;XLPIDug(D+Ndnag`CngyutCWGr(f4O}L#iIIC?V zzLv^u4zC-<8)Mi@Amo&({HbQ^>}&^V6JMRh()8AQxDjjwPq_WzrFmOQZg(<}SLMiR z@W(1%&(jW|lFV1c@srzSkw@Ugd%Y68YqNAYP&H}os=^2(@t%i;jQg7@hmXSuPZxt9 zqH{?#q^W4FR5?`hIYw>~qdIo}-m4BVc++s;$`hXi*#n42kNGn`rL&vo@2m>I0D!~h zR!2XxKSL{$kI6(=WKZY{{KF0H2Ycn9X##RUp4gd+RDOUvwDp~ktUe4|&M0U9zX(2!W`{{19?)+}SdWmGVE z_kUfW6-Cktb&LnQlxBXRb}cCs)zAhb)cN?Xm}8fLrN1Nv5UBm@f+H*1ks%xW7?^+W zxt+=}PbwQCLSyW}DU%J{o~2ac$(qky*acmhi#-}@e<$Ds>O0)~)0?^`c@dt;vySCl z-h~pe$ptZzC@b$NtU+;(m$ZIZ3kToj+=#%RWF2!US%r4wBPR%Kwoi4KDTG+BkU6X8 zquiF6j726cC@*U?2W8BbaXfQT5h;n_fHBvToUtG0uQvbxg1VEo9^Q)s%|y;rn-yK4 z@+oE3b(``b7W?NciJ!it?_v@(t0^jz+l3XcIQgV>-W1gbp zlw32F!JF|xflF$e0xXTJc?lm8Lbm-gD@zl{wH{2^=84Hcj;py^pGIR;8r_9hVv))w zFC1zSPL=g6=XOlL@${5ddCf#u#Q-VPN}wt7TnD{0O(0 zc5Z!zhawjyy7t7;LP$D4A&Q>~K=_=twa9WQz;)L+ICd`Z)E!r|cjyLi)U%qN#hG)J ztS~%vuHG@VW&rB8PkCBts;RWykiwR0U2r814eT)QJ*)-@<#hB6W-N<39U-u)b=0p@XYR5_~;4l{7V$_F;kPnp#)<+bFI2TQgN zWcVhmg$c2_%Ew_XV5O(X;&{L&yVoenb~vd@>&r)cO~N`7LzgCD$pkX4 z6On&ExpOniBcX2*CZZ1OpPQHb8lqsj$IW~(RuKn(=8ujx*96RAo8b63l#C@QJQoxq$3 zrcHl>4svetSW^@y3fXbsy8>SwF?bt0T6HFz&Az)nSNcTLG_B3?LoQ^^8O+tu^9+SM zXPKf6Yr`S|e!gMHIV3*S@mg`{~;W?2M9?zSUC zfm&{X49V+}r)`Lvwz7PmAZ7~L%+5jvaq;FKv;9h@1Qn-v#7o2*sU@1Mvd$dWG@aKa z+5oyh?Ne@TPSZT>uxgE3M*GKheVT2#zW+V0BX-YHQ$&FGLS_(-WsTb@)t?C0aY-GP zH>}29aV6ywSahK$7||8D-Idw-Xlf6{4kNT{anNQt)%luiY{hh0*5M6AyF@1rD+Z{0 z$z2X}MuoGD$KB&8%bPU3&5D;gVWnTn3W=(x6X)fm1mpp57D_Ii!_!p5Hfz<14T<4S zfO(@Uff%J{2`5Yw9&X1Fg3L+HS7F-;z8u8qUF4FUV?S};LtBhkSD-p#R<>ErSQ^*& zMsN&&3q+qmaY?NI%;~vl&wVso#DAe(I;J^v1r^3}Q57PC$XKvl$B+lhK!anf-&B7K z>GFf*pI)h9+LBmo;_+s6R@apnJGIU<72OgCKPD7{UX`VXMb7??bJ&b1*RIjTJ!Jfnlu)o; zBF#NqHcn;eGzE*Nh*?CkvYQY`yO1H;**?{*1PjR#4wkEj5(YI2DV-!#Ni35dz5n0u zzWMH(-+uV`=~MeYK7aZ3j~~DN&7W=fP5+Nb3#8TB)BhJzydWm$w%-8ZYzo*D z77uT8xbLVyhmw6^ArHIcvd@z_e&p^RF?%^6VH)eXJr)=?24%mwKLR>l+0e^4ZZ znfL-Cqghdu&%HkkJbg=rTBiIaRg*=^Z{DcoTp+(<}Un~MA3;ShA@mX!K&(bV+&%Y{l}rE+PF+_7d7Yy2rM z9B5w2FtCG@PZlKFT(OjBgCbb;AG1T#1}ubkqIDcJ>(91B%r z^o(A3Rv7gPG8xJjzLDGXp@?_{D+Bxjh0AJr#!;`*4%C zo&@EtUovgb=83Sy7s>(9HVHh3MUH-YBQDVFdb<#@AF`%Ty4X^$SXoDJn4?J8cdAJH zgbh3vH$#^ZP39bkQMl4By7Q*$Ag$=1@;nrn*^VTs$9Ju7=1|-ZzO#cr3Izt+_lsH)qg4yBDb!3;QevdD+NRCMa0w zJs$ShaXe!2sdmMK71Z8pIp~gaf@aGJdL#Glxe>eNdp5zA!+g*5ncb{cb(u)agS1|i zyXH6l$}=RzRPr)rwu^dt3so{4WQFf4#}ZjfN-rEArgL6Gz{mL8YM@xPeaekzo~X31 zaFU;qN2&<*u1=i?ZLisdzmjeK&v!<0)BV@*E zR1;x@W)C~mhBI6fbL`GBeGvp=UDjwoCKLdEK!LwzPF0b8;VvcYl0uvnYl^Y-4Za)k zO$Y{Wa0~zqP6dL8AbqsWzhTSGF_Q5(BJrU)x(0a~3>&=1fx4&aImXI~A5dlvajrvV zE=%LhI8A{)8mHh6gx+8pcYKxC521l&^0ctLBJqVSShm-^rgXc&&gh@&aMD5%jw`@q zCC9nZ{*(a}xQnX_1&?QF&$Q{exOOnRf1?tSAGj=La$9Hbo$pz)2e9RN#{pCH>44I`a`cxO2 z(~a{qEYL4Oh7=Ua>lF%6H7rk`q{0vE;uNnq!8F5p8G;5vnxP@Ekf$~4_%b1#FiT}j z?f)hI;V#!|G6-`RMd)Id)3o`IaUUlKz8#)4A8`LoVWS(dn#szXy}3|Ywir&R9kWKw z9h^4Vh9ZZp-~)!D@$g+OaN@@`THV^mMYZZQf6()2-Hw>m0)gE#Jid9lXY_}cXes8y z;Ch4^RF8N^&G2%w*x?U1aV3@m2;0u7aseO~)0-^$@Y7gDI(tUcEbPT4c6eMrNVvV& zEOxYL3lma{MUFc|I7A_4EivO^9M<%B3hTCO82@lr09c@`wtX%BkP{+BjeFQ3Zk5q)by>{Qk_HzL|7DV5R48pL zAJbf-nzjX7R3J}__S%r30;hed+?hzBUHBeUpszOw$XQ{{>c?n?%%aqYj>w%*5x6pb zach=XtVFs3fUcbo(}kr?W@*AAz*1%=1%ZtD`LL1JK8=)CV)U}bm-mUqf5+l8PGFSl zs*p$vFXti~cUq@_Gvgx9l2h`y56dD`>cqcMArk1uLQ%2p!J37lo9VHL4veC%0l@(2 zb80+kBL-72+{~0rEX_Jkvy)31>gkg*yETQp;nyNnCKIvRYLv%{r=zjfy(X zx=XglEx9k2Y~SVSEWcmJ@^sCi0d1pV71~B*>$h`urO2_X!2D_+%lTuPZ#>!(ui1dx z@bZ-`f+I4hHK^0lwOPB?Y*2x$K{azE)?A=(^T944iG&UV3*O47)38I*<5TpZO(=-w5I zShm*)|L|Iat2UdVi;!|Z`N|I%hskyZ%Mqx2ACw-u+IGB;U*YHCee$fDXrM!~_^NJ> z6u5ZRDTtYAy6Va|x#(w}i}+a-@v{mMzuBw2^s35D4R+Big~cF<;^Z=%lkdLy;ujzV z;4<`)NOyW!&=1>16-i;3%#P@un{Xtq)d|dhTIDb0aUSe&O3hrTTwTPFEa!--GgC+l zW6I_R)KCGr-#_ID0vc+3Gt?+gJs>`holJ$497wrfTm7rr%xErCdlwDPT#^TmU;!(e zlL`=fJFppID;g;-Tub+6DT|!VWu`-HGaLh8UaEpztj#!RTvk^#8STESBIBE*{35{} z8rcI1OKeKG^$mj^au&PfESmZKs+p;owhUN9vf8%`?Nn$OT8NfgV-ee9gw}H;JFp2 z$R#kPiN72G@NH!ozvC!d``3W)YoBtH|u3?0m+T09x=vuyFzYH_<+&96>7K= z0d71}k&wA_D8@o~uT(E2n)HVG`p&Zd+3kZfqh(b&bKT8iG*TA*Wy*%o`uhe$fb?L zo#iji&$%}c25X-xe=bAaF*U?iIh-YGGZ75GjQ9ra!;2Zm8JWseEIMutA-eFV0*78f;`)&^ zq2Vr zylGc+NNhSErgB3!|1Kg7v0wWcJiDZRfeneentB zFsUi#mQt+QxjfHB#M1mULFf~%@tI1th3yD_%8v+7T8Y~n7B60!wu=jZSxu2UZ`>8G zSBIZj+_O1lP{Fs9-xTr9OxJ=j?i%5Y$v@*P(M_02O5YR|ENDEM`n;zfYzi2bZC@4$ zwS*zdpqkh+Vh+5Wa#uXE2U7Rkg{pN-9ce--s48VdH`qYNE7cM1d=~lMq@G8!K4E|s zNmNFoOWhV0L54{7UZg*~ylRSX{tsM=ZS0HA)~o}Y#XaadekS1Cov~hE1`RSW;5dTZ zV-g_1U=^jnUG5W<(QT6F#3$&ay2~MrvSsr^f*A)~OzM0j(`pRuy!<*AU3nxEALLt%x`Dz66>r4#Zna#)5hCmWD6IHSs26#xF^g zKqc+9PVl3aj9+l4ZDE%{$7%f^YLiX4W7%9!&iq7&blSAe%99q?CMQs9hW69OOzD4NEr!=a!_FJc(T$~bz&9S5*%A)N63E# zGU!#!H+RhiY2`q-)haLOB6USPLsYrqFmB^-w2N6`DK{f+^vo~R%Exs|ZCp?=kb|ON zfMNq(I}(w2-X%G*no((W!>Gyec#AVNnGJ^cxPoVV5xS{hwj}aNo4KXg$4LbFvhZcmE#+Bc zR^B;j^auyCIX_dBR7>fYtjd@yyFjusdeWKl#TkP`G0prIEU~ zDTl|yN*6m9JJ$5)i!x-QW~hJ4GNgMXGSKM4d$; zj|uHM02S&mW7b@lqaQAFpPbyPWu~MpZs-+ybMN2XsZpSLb|20M-1G|lRqz7<4nyDN z_ryPfVg)HBj0^IEO^J!BJrS|d)9o{f!@RZ#d`?|$%B<5D7DN{My_R4s?F*2)`}?Zm zC(BT<=TZq3Ux6gvvHwO@i7|Il4QP%dBbFr7J#p}1_xzoL zmjlCgMo7BAU?QS2$~gxz@+tuIZMc!|d^%cIPd^?ltDW0T4%AvrlG1}DZu`_561mzd zMqG%*fpUyUMaJ({+>E!q%GiodCvwEkn#Xv7s{6FvJp<&VP-UBHbJzl$GdAds4Fd9_ z0{VWd^c3c)9bF~Ob;UotMBlpV!j{A_;znNK-C6TdZXV4vP&2nZtQ$ftxS2to*ba*Zz7GWUxCsxng@eLK zr=W#%-T?)@rbuJ1UXA7Qs2!IUMC5sm_uxeOezHJ+<*qTUw=@D`eRmFkrK~l`$t*Hz z15w;~jD3e29?ypb$}}70NdD)YVkx!bP9eXSWpB?Zv?V5DHn;oTqvMwt40J5y`Fy-Z zK3+}}JG?d&>Qgg3kvqw)?cpI)=!Q9D94>D4$OZai5_z^LEQHc?B>X zTok{!E8b~29W&>Iyk9s853x2Ve&(2w$}03DA2|V}A}58r%yS;&wggeW1d~I_tQfCR zQz0KK!V;6B`eydTt$aInsZczx3>Xr2lmtd;JL$ajA{+1}!lDTysP=uwOgDPQ0cUB2 zQFFAfXrbUMgargF({$T-9LM2ewW)v=KvT>h7{UhKz_glk1bR9#%FW+4E<~;dh4xz8 zrWim-3K`KGVzMX)!c!otIlNae>-5X}xO1t^=8g+P<)m>R;Xzvg0pl&Qw6$q+@Q2qK^>zr& zRiHSJwe`*c_$9;RTe3necg|Sd60n;3A327aPOSl3T^8L zFJ$|arybkBu6SuyxsA=}P$0o^ozF;$UuMk9`GKb~2ZrMo*Qa$|4dKdA6XO@0k)vy33N{i^@e4Iun5s837lPK&CMvSg#id$~ zZpK7c<>VUqT)AzyV#>=ra@|v?RLDWtSzM@8?-0nL;;pM8*^SX`Ud`go1w2|aB1Q&W zyn9ONJsu>{%g=Is|MQP{C8P_Cyw*o6FAt=;_~5SqzycTfeU&)u@4M%cxO+Tjc~gY9 z3g^1@$YakGGUD8ovM=reITTo6gPm<6BKh?{WE$q~RKNAED!AXA85trL=4{Vyq|!o* zxLs%F^YevNJjL{@54N6y(d&^i1dx+PuN>l&2v~&g#)_X<3Le+y<|Mm5KTE;4fDd_~ zdBfZ%9oefOYa7g@aa#S(>e&l7r#}~Yh-o$$+uK;!sSOK4;gq`rhv`|+!zrN01lF$R z3Vs88u{S`))orh%6&gqo`uQ4a`Qdjz|KZc)myfseMT!@Blf)L>)kA_OA?m+wHQ55;g%Qo-o-thU+?VtT znEu;md1rqg)V+Z3XG2$>8_}M^@tI?&5pxZAglYMAp*v@0jU%xwyxRFb7oM;zzdgtC zjCeSa#;BbehE+**enWeQx^Fw?j*AeFQB{=5tM+mu1NG=c++qwA&RAtpG+*`C@WS5u zh?%Pxo39U-BT)u=q;ZTlxY#K*)SCl?d5|t&g()NoQb-WZQiV*RwKoXn?)GIw2deRz zzCG$!jFV|)kP0wq#<8wk+Z+|-drOsHz1)@Lww@^n-xscot;vPn^b|xk-5>Dkm!Dqj z4b2+8*g@J`tIH`q#y&R-5fXXDC`jNF$c7C{Ges>U_!#ZMvGT%7uJii zK=UjL%#fF}(C}gvx2;`K)Kmqyc9&w-^ObWc;v5Bqrd=u#*n~<{Lt)#QsqsRndGlAe z>g1Fugfn#68IMw}K-FWG3|)niCr6epO0L*DP3_hxkRWNF@|tt-UOY*#jqf^40|Zkc*6qb~9v z*42CsXb74$^w392q{R7$SDU*1Ke(c2IW()NKNm{s`R(fT@~9CQ!*x=jjWEiTaqbKytiOcs#q{J#LK5B^AE4QVaoi5E=mZkR zCb2w&ML~C;E3gE#Pfd~W=m#oe!gnv;alDRu#CY8(hhltq6C^;~S4FU$(h4cHZL%3# z%-#{PgtCxgDe2J`_qh?5%_=N%c1d#afmN$U&4vTD-7;N$msO;a@6yqU*wS6B^tObOFT^|h~K2iO4ksVnn|1w(?v6g$RnV!G+&xK^62F&P%| z%(23>!$aXSZfWMyeSVt^u2$xIv7FkP_~-p~Y{TW}_*ndtfZ9G@z!}}FgaoUIjuTsr>)O!We3WaaO#b`W?3cXsk28cG za{->tn8yHKxTDxk7EWqh;5|ZId$I9KZs`}BY9SDR;uOR|AksYn$+$UCS!Sp$=E zP0kUr;XNkIQhudh=P)5SNXj+khZbEI{3?(5V1fIhs_k^~7BF&~wU<4rIvJ)_s_oeRSg7v%{`3W~eh?8PltF?43+Zo!B#nI2;*W^0yZ z3XjnSINZg>@(LFVTPTiOQ-u5`0DL%W{7X|8GWt}P{#8v#vL9Gb0Hp6)9=V7bowpeRQ09!P(DWWlxC9g^MY>Kt{2F!~d=Jg<x=;5AMn2sZZdk! zb%kuaF=||0*R;GGs0_Qp1z2J(;8f~`agoIHb{uKxc{89QDptjL1(aWH%Hdk($1aG& zd_Bbn=+eTZCg=RH)ef7ne=7LUvjOOFHeNw(RUr`FKjo>$Ik(BIGu@p`*-nSkhY#TR zs)o7uiU=SwYGdWg_SjzqEWtyih;9L+Ts7E+{;wmpB7ZvCPR6WaNnZh~yOnm0J-}p4 zl6r!%aLM<8D-1Ok@xozQD58eqP?Hzu=Tor=t0fpnomz1UuE zPQ3#d05hBsyHv~+%0t^b-Z1-j#(B^IEh=-dj1(p-f-k6gll^`db13j;Q&48mrV*Oq z$kQ>XkIb7=d~?rG`ONZ5=p$*+Or1%@tKU%SyjMa%K0NQmYXJH1Fw>)BQ7P=`dOEyH zi!h*biMzQ-mY=c#qv&~MNqW0fo*olBB?HH`%9Ch)EeD*Ye+lx(ALk}>_SY*aCb58l z%QqJ8rBeho&U57{PjX#Da0>&)*~WLdpg}K(&YX&>HD9ih8J!^pU@h^sW)j!E=jYC- z8HQiwmpI?J;qrw!{`j4m!X#w-P;jBs*FI~KWl08~u3d7-Qi<|tJJJPXP89-R*_8yyeNK;I%xMOBaSNyT8Cf_el$t+-k?SmRn{i7h2M$-#Tw3x=EW&!* zRK2|3JND=Tfs!_tNnW8s6og!xlH@1keHO(Q-h<~^lwy(6#1>UOH*ltHJcNp>!Hf#R z$t(%a(|Shgh|5c_bgZ{vB$d#NU#?yXONRGQj*h(7kYd}ojD%z5x8o!rX0#&rPa!Y^ z)K?_dZ4#$@7KjB}H=OAuUV^(UR5s|(D(K){MZV{%;Cr6TR^9Oc!(rhG7iT!VzY;oI zl`o>>vpFxKR63P02n{Z@a>q6ysdtSRr*l@Y*;e1g&lWrG<$F_Oy*$#R_F#t;-T|gV z;y`nf#{s%@-{??KIl5zKM{E#+ghiRO3y{^D;!1yCt$D)M;kMmH-OmBNK$-7GhA4mgm>`UBMqWt5!#3ZY~JFr_4%8 zwM~jnDTTjXZ z`oJ)L__!SDM=~9fvvT)y?meHb>>FrYD!`mqt$_>V zme#V^L7wcu-!t%2Mc9z3TABN)Yt1f~K$TkqvsNtixxok#wb{y7sYnJO<9sBf0s!z+nlX+CWm>*PM``PvJrby0@KN9)`>bLY#!R->S)*6F{xs`pnqbp-l9-m+NHaYkhkdxU6&RrsC&lU^zp#4(qr z!(@6wF^II&`XGLzZ4J44$J72*dH*Ks>Lm3azpPW@k47U?s11MWHR^qHQnJ~|fi3fU zIjkq{J|6r5_f2>WGIh?i3&rlura7q*NfdI%lNT-i;f7e6EXWet&E@xrU6cq&yM9Je z$>+^?308}`MpjV)GrO`6mw|Qy#XBJG>!0#C!DNaAQ3L+Tq6Vg>x%2Q3bi8k#^%5YZ zSAwGUbaIUcvkA8>30CvAUv$g7CeJFiUctH5P;*TG)Vzz)N+HZN6?~T00d0aZFBXVf zD%VblpBJOSUfu%+%`aE~se=VD>^w=T%GOgh#3kgTqv_Pf)F&5Ko~`L)F4)fS3@n1Z zXl1T8DQQe;4P5@4&@UJI4aSX?ms4}cLWVxl?tzT%ytJeN>NYpIS<+$KlN^IMRufzC zRX;8LUi9z|*|471Mvs2-!+KmBJvSimCG&o)%fcVxD7wNK#5Zh}hh)Ka%bXwaMs6w1 zYbTmpR_yc*YISmmfX zD5bK*mJqkx;qjrKCb12hK;U9#OPDn*Ni;liFdDEzMkTws53>{73^-dv0T$8V(gDDW z4_C0DV(!>;I!~_UfXLF|)tjTRDx*fyhP}-GwBZlCytXk>oded@FMtS=sd7~O!7*64}!)e(>tap&#}Sgf|{6r9FS{t@Yyz)mfM!!~OXy?|IS)PShF808o_o+&of;HSK74WQJM z<#AY+?`?Hql#J~w8&>;jA)XOO1)4wZFFy*2A0;vg^D!MKN6%ea4NK2TZpVrqZ1OtV zlq&=OA()nK3Foh9w+O#yF8GA!x)4ia%ntwX8BRd&_i;OUIX18G?%=IDH^N8IRTr)l z?Y8m7HF$JWHm~~fQ1Oxm6kCXc=dk~tV)8+a-m*4D-zy4Wr!UX{xpY^*$Vf%R3<^;> z?l4B|(N|E&cD}Z)?Y5a?rii(^fnss!*QFbD839E)|EL8a&k|Emgaj~*>zqO%ex5LP zMaFr{^0?lz?Y6lq+~g_UVmk*QW!XNJV+9#ENX|QzP<*TaypD>z@>9+zk5cSt0V6$5 zn8gSRI={KUj(so*j~>J>+r;_B*>tSecdWqFB^f}X%X5{sb%X|^prFSaJ>6{N;e7yQ zt`i6Cc#=}w<}9fySO(8PFZFgAX?@*1Y{vyGj~oF;-ahp-Z^`$n;IIw0$obPKJ^~D| zz1&!wsD}zHR9lNtUgPlAb?bDTRsLX2{rbQhqvxT_2+5E3xs*T5BAZX4=ARJ?kmtcws*lru+zKZmI|fP zC#Y<*Rn$!3Hswy5lW>=Cg#S2hh>A6t)oZFa0yII#*3!L#Kjk~_>5@b_a0n$5Rnmwf zs@3NTLVFPGxBNUFtNA`^r#$YBd<9KpV?r~$DjWu`z9I~zSU0={JImQG&N5aXwF@-p73qMuyqTU@-cx(PC(n4ldgjBy^IW;TLxi7OI9M)n<0Sx z&Pr^3f*5B`QHQ+)a$tDEyrM121cV;LA~~eNVaOEEnebw`bK7QtKipL6GnFyaefy-* z=1Wj4)IN3TLD*O!Zu=}KtaCn}T+k~$pKmaH&^f-`Bp5z$8oNYa*chcP>n=LvUcCWGOvpH{aPY*7ew+A}C+a_NTN-bSz}3 z$FeJ&vQAr4+@ zW(fu>ce02xW(;@!h+2=sMbm5;sLU#vHLgVoJ(#qz!5;kL2A-O3lP4#pWzY&vZkyA} z50u0*5dCTewQ`OA_P_ko-~Q>}7{E7nvjQwOvKFRHv)(UZm2%lDMSMij|EV7IAQ4(fsORbFVBK(nxY$|oE7$UHq- zIq|lNa_znM)#cb`k~2Wks~z(d7pK;EiaAWV>}JPFcn7k~x%$e3wKJava)Pg8#Z1|9R_{PW-bdj0v| z|9j^ua>irDjhnTNA3pup(M*BjM(e2E0&8RMpu`#lrk^?w@;nw8*0}B zlSNdpnS&Z%JW;yquJ%CpbRiZb-_+sf(wC^rSL^A5CjACA7l4|Le-6mN4r~vG<0cs z|Biw9bMlJ09Ma;%g&Yq6LOnIQ!WRaxs)pB>i_Zb5zkm}MfS){Vy#@81<1l4y*iN| zSEMq4f)DSA&zwg zB>ZH`KSvMU2cU z+bLOy?oZ*fkK%!VE@lOvdi&Rqw`{GbOFW*z+ZL3?=ZWX~|NZWp@4or%hmW5=weREe zmtX(*@!Q}0*@oZr|GE8#fBxl%pFVsXKYsu5(+|Hwhkp3o&wu#z_~qk^9kP)nBj2Nx zuc0%~1NO$Jg}FeOkI1FAJ7D12-ev&Ch1FTCdpq7@4W+HHB;MX2@F7x&1}KW**forC z?2_jK`>lPdc!?`yaON@P;QDc>2A7c_DdW4GD+T553`^fFDq-_X%+}_acqLZn(V;HN z%sW=$Wmv}d#g|_!c8T4>DvFHkiQ2f&j-~(wH@8%H9cHaCH;c{$73>11&O)g}SQdiI zPPEHi^@ELI-`SY;X#P;m7;1B^an3c6Vs9`G@fCEy zqcIoc!^r+M?Y8|mny3*%dX{PcDbT6)Ok>}=LguZzt3+wn%uBf@w2c(Fg;a^JjOGnduk zvCUO6^PF8eA}0q9cR8Z6l&&X{IS=-iGOYFvrMTjS1VyYJL(QXoVqqTeBMlK5cFnya zukke0k2Ln0P(QQlT((g4gFFzT&>vv@^>0+_demxHBJ`?U3 zo#ZspF@q-MorU1E+uJ!WIMfZ)aAS};J6XLch$@Nzvck}kx4a*WbGqW^v|l1gXv&{ zID@*oeX}S?#`)gc99y5{$AS{Hv395*%o>xAO607en$f2VN)kHdmRwl2C-KKKj zx9HJoI29^Dk7i7$W?e${9XVGs|ARQj^90u2cCcKpn9wmXUH~j><4y7uN*i2;tZ~)V zfWGTgmTxa2rC{CSepGeFG8DVecR31gHBc-XV|Ct&!H~-61h(R?z1bcgZ1cR4S`j3?VY%6HC#mGk( zP8)h7zc;W23|7E*6$i(lInD6`l6n{|WA!B&XQ)gHy_AX)4?#!m_#NU@%XH#Wa#3=*WyOs zluh#0KKGmsKPJn%;a3l2w-QU(A;0?Mp{@Cf$?)K_JWV;3cVfD-0WT^m@Wa%{t~wOW z#)0p>6F+XiO=^*_w9?8Cdz3K&rwpyThyXD07Q>N|<3I+XZmnH`;{A2eJp|VB?cHOY zT3($uE`2}dOFT%3Qn8`!_O+u<_0DvY1Fzg+edwX8ds=Rs8e!Q#4looRY{*hkS?w8YomhmWZU(`|evX1J1&gg^Mwvt`EJ@4qyEcKT~JV z5=8LE$ZHTE6-91bu}i9uwgf!_L^A!txOBjszxIn?`*R*aSHN~|pFwQ&J}Ts1`lmv| zFhkJ0e<~)gFlwt!&sVM1YwjBviuNz2WprFzFwevMsPz`Vtmi z!n626yffNK#`CIU}p9oGYv&Tpb5%0waRI28G+`AWJJBQ@8=Zg5kV6*YHhQ z&j-7pEg~hqNKz$KqSbmC1IejPTlN{3mebLWTxq&usdgjWU$MOwyh1&c^>TX=VJXa_ z=M~Sbtw^9%6w+PKlnZQ>*MpOf)vT}ppt&;EQu@^5)N=t!l7rBjwGJ!+wrB`TQh~bp zWQrV)F$wZEc0mQ(Lw0~fNM=cmX1ufL=x{5~j}CQ&*aqN-9NB<~JG3uHE=OKy&Qs== z-bH!&8eFW7!($mtF@50R>WLlaj(VL)&juljJyD_og<4*jUpNU z$QCI(t(E8HX!&Yf*0RbCgs#Phy>fE58EmU&)`P%2-1wQvgpM=$y*q%Hv0ffWgsrs1 z-ID^5Q4vv47kLViZ#!aok$hVeij@y1(7Bg;h!0GLVv+W#P)TSL19-6ZDSswf zAF!~-Dhg|uIUUZet2*%>ROX9Uii|&B$aarIN^rYSu~cSAEL$TEpP4|Ze}|{W*H?57 z-p-&}VHb%R)ua{Au3dr6hOS^kyM-9|RG$Sr4u^RQ_|D8vr)mHs+dJTcy=PpPVUg|3 z(Y@3DSNy}>af(o_m#l(Fq(_1?pK;QS79Me&q3n3JvKj-^W;u7%G&;MEYdr|!TqqqA z&^9YK^?BH*vaBXk$V=VRFgVlcV^(C0uzt&CP2LH?lgX;WVbz0X8S@P)YO|X? z@&L8sUcRm{Q%A4r?3B|$etQF++fwBED5cL5eAa79*~ffG<}gfhw88rfJgkP5Cp&P2 zSoHytOo{96oLbk5$Xn4Qd9}N8A-di5mO_y0Ch5--yGF0v0 z37J`UZ~2zV+8el`y|MC~-7~Xq^o+d-LJ(z2sx*@j|L`(3*)Gj`d3w?-084}g-_R+) zEOAvcS=;8UuqQG&Yj*3-ERdW1I#pON7f;VB4w}h2C&lYQ^5-A zu|Oa@g$!%|*AVS+iexM6PHlYhR?eNwEp9yUP9Eh3JIN!}2Ygo*isDxH`EsL^I})!< z-YHCoI7>$oX=dC#Y`u5RvH9v1uys~Yi|a%xgzeB}at>XlRgB;iZ$2fvroO@W6|?kg zaRKY-9=FfQXUb&;Y7$Eo-76kOP{byZO%N_NQ5+9qWU|>*(XCPIH}(R;aCxBcOKX(3 zAci2S19Y>HRx9<0h@l`Q43|1jdS$l;* z`=s1#no7Qoc-d`3)qYYsKVvT*E*d($2C{SB5Xgl zJvX*;4PNXL5gAdkSA?9fw?WST+Ws{}q5N`l$_24&yzB@G{39p=7~)uT1Z?KYk zKJCuTDz(@C19K103I+VBe(G}ZZFx31aLXiM7OxaXs6J;f&~jh(hxO(8O4e-6GB5UV zuxi$-)p{lGwr2C}t&H(w6jE|m$4|vw#vPk5cKj(f!yYAon0L|8fj=OUKKUlQ&cW}o zL)et1rbxx-Mgr-PJnDjbr@nc7znoK~3dEtBuyA`2c+rq?iCg7{owUsn6wQ?WXsT_F ziO3zloT9j`CvYK?;e)DB<(-FQIWv4-wow8SI0l8?+)3Pl@ozwaDO^B#D`Ie^)WJ>B z8lS(k6+F816@WL8O6S_TERJfl1%y(P*U-{dMmP_Y)nY^085RY69wSH0&XF$~Y+QiqzxJu2^vr|q@cUoq z*C0O1Ph72qH~HArf(n>8WsiU_J}kh0fr{i-Iy8F7d(UA$#RuFa4rjpM)TTdT9PFS3 z-m!`(_Tpe{k_S$~Ak#UuJj_~s^7ekAAR?JRKDx_QaDJDU=$KJt)0$p$dM1c(0d8}b zD_ar(B7PQFg0Ojj8pU;TPQ7c7n;pfYi$L)8{hxmR<#+4z))os}uAT^zU$ca^!fx zScz8tc=9U5eNmFUTIeZ|b`do=2GUZ4oxCmDE$r!;5k6v|BNKm2vPk55gE(alQqq@S znl0?To(lmR6r94ZNgR)!8+zeL9s65Ru?~Ug{wa?`++~{mTI+Q9VzrG77@87;0$1-4 zBkESLwII$u*aCgFmqYf!un^(TV(aNGA&1_LrO*yQLi!aK6>K5}lquR2DU?(vEpj`b z$zmqdqJA?`TjGf%hdSW2r}+B0sLpn-OaqB`No_C;M`?0ruD zLQZ_j@h?xWMMJ?7^Gy3P?!UHPuj50`cEMsGeo3Lq)5;yez2JUaxMrREG2WTd0kw6l zK%sF;Oi(=~;3z5M@cH%T^~NdQU$MC9%LFcIJC04vpkyb4Nz;G>@3<(oB&fPG?SxyX zQY1D3bjfdw0nW=`b2105zkFW088W}U$m|{n*@%W=y2(?2umP#q`w3 zw;G;1OooR0b2o~1O1we@h3BppB^~vQ`rB!!z)!2sY9?bYD2H!-jB-b@ZN5P(+CG!Q zO!08E`llS~%bJUOhRv@8y8>eoCVi?L!p|r-^p-Zm)@D*xksc-Nk5a=c(V!iT~d^8+7O{0F)J_>DS{Q5qKZ+IK16K|n zyI;JY>Xu*jjLkUC*EBO7Bt_e&-01E2b}ZdMCM(*i)27OVEt-FL31qR^l`En0%Ge=X zzb!!}?h%vOPbLmN1{(J~E<`(Gp2c+-T(X5YI%W#;GrP`(>|gf$8aufgH=Q-HJSD z!ZhH9Tn_vxhlcdf5G45nb7Dyq5jy+96(&bHOdOjp$BzKdl2zckOXg7P@Gt}5)BZ*MpI)kYmupOwto z))EOeAQ4Ti$-K>Ckqf?bd%!K@Wkz7Cp!CGuiqM zQ+}j+UITBAET5{@hk|EE1D`7xoGH2LS*ubpT|^khR$0jBRKWmODn?#4U6s)rB~NUV zW5LHVo|sd^eFfj;B-hVVvY@-_G$~nhOUO;_G*(uQF~w;^hF|!uVc4n*{uRD!sD1{0 zZDA?GMHl)Q!r6hn!-ufJD5_p^wjlhFtsJe7b5odK$+A}LaW0bh#cOT{!ov`qTyhIH zRr0*SG=!(IfG%iEUd0@;GZsyo*R!Q2VNvhteJnZ6L4N<^B4S(@zRO+m&Up)3nJLPN z#r_dyi~`~qI30C4LWtu#d!AQ;0Wk^9jY9T!L}7$-ha`$=ka4!pBrlX}>jxuj&$v7w z+tGnQ_3RhKkVT3qw(1r>ftL<5C{Qdv72;Ge0oQB$R2V8bLu5basyNhbe*&B!E+ns~ z=<8|I2ZWtdlOVv7M%%W{Y1_8#Y1_7K+qP}nwr$(q*@%tUi2HJXLA_;FWqx^1BLe}= zOe#v*E?i`%-<4%VcIxG4E?ko`o#ZCiVLyU~5d|-<+Max5OCw zQ3%*XO7!Ha)|3S`q8fuZ3cOsDESy8K50y5^K*Ya)TL2`q^ux;s!Lt5v;&~ zUMAx<#*Na6dEC;GPb&YfkVpku5*8soijzs=-m{7Tj|yPPm^LX@;ekoKldF0Oip0OS z2u4^e@Yt(Is8R6bZ?}CIu0gA~yQs>6#Q>-t6i`3GpcoDPi>OW>?92n-F}IRibVVzx zKkX#n?&QqtQOR+{whbhTQszJGj~DmGN@qIhV?wYG(=V}hqWurl%l7DnTN-_|34V&V zM3F$^lq^g}e@oEflF10kahJRjT_#!*D!3OB>>c3qhSBML-Z`%BNG%cw_p7}GwPW@p zj0b%f0unK@P2ZtVF_{bRhpjw%pXMix@9-Fa_ef7f$d8p1O#NAE3bgem}R_ zcD)}r;qm#rEp|sw>3VjUKAnz}nDfjP3vYGJRofA^=y@>uz`u~Vv$Q!k2FAzNn5A#Jr*p$7cx;iVx<7Xue zWY)kU>~UQ;M!fnuvLf!>qbt&(EHuvXENPA2jMxkwF)R0dnKde&E%bT0b`XD3_SLWQ z9v4&zS6v7|GdtGr)vx~K)auLXD9o`)GwkWrqEO>6QpTz@%DYK!dQdx|md@i+&H?0! z)HvATxQ6QY-6@5u;YD9r-29EVO_xPmbDNao*hs;K^vsMnJ0J!)<(JP(K1X2t>?Ly+ zb#y5w;+7t8-b9Aj{g(_yQAKPC1?#FQm}~b;x)8u^pj;yK9K&`~N)*N4Mgs{+$zvtw z5Sjf9JBRxt;ZdXn{S&b8x-uQ?3{)K~e-Gf!3^FKFlGgCk-2-vJaP5+`Xh4rt$N|4% z6~$pPo{m}PkvSL?0PZ%o8SSfDrJ=8|QThQv zuoL8QZka@AN7l~{W(>a*x8@@L@f_YeRmruCT0}sdRJfX#;Gezp&#IhJOTau0Q6a;oUyF+`TjbUqM;#bTpr^1ZB*@#$R?Y{6X96vUJo z=Dd{3p;KzSrqy#c_xgQQ?&A`JJ*TE+=*pK=B3?aJXAyH^L_BLa=}tY)!O1s}al3&+ z;;{4i;&794t2B<)rt!EYBnFHgKXgNY$b|*Kq(1mx9o482@M)Yc5 zxSVNA3Vg|tTSx|Ns8fi*wje;aq8+CpL|J1m!7xE{?U$RZ5sL;%xMt2ccH|6&E>drj z%`){y(Sz(QGk(M!(Q@Okg`67_b?-i7UV_YMBZ|>=u^3Tv8`VbWvtAn_YDp>yYe>~R zoLGoYd=i!_cf#m~C~V-asqjzw(Tfb0R0S>HnMbN@8KA>sA-2AhL;Nd$&o{Z0fE!RR zqg%fg@Q_UzS$;;KvHVA-cS`-@Qa8pI<$psr@T3nsHDcPG>TR@P9*BSV zgCrE^HfIuc?Ku2qR(uAMQ{Bx-MIPnLd4f@3i%L-~j=pGnj42Bzm6F z8d()qrqQVqz(5t$MwSGPs+7S^imD7K`5`uWTTBfyCd7nc&u}f_7WO-KpHzjW4s+3N znsf=wSwd1|>j3;YQ<^_+`1+DbJmg`%I$@Lm!?lxajA6LMo7_a(W-LCvGkHf9aAhDrCL9wFv_YU@Vz4J+G|YD2dgb( z4{|skqlScLLF{o(x$|OT(z}H*%2W5_B&sBr2TP@6cX0_^gaQ|TMgqYvhwLFw0Q;FIxuQQXN|^(PoI zV~LqGEf5dBwtivW5;*tlET!>u~#l9fZE(=vH!| z5ml|kW~`+b45j7(nC7-$?Lu8D91oRQ(2EnqD_Q%iDvUHjbJ;l}Ac};q2Ym>BoQ7Ze z46*PmqOU&3#WwLba-pHaB9es$rM6<(1 z%?kFvRyU_4XBuPEOJxB=0DOsyEr74YH6^S@m^F?q@MswNPSztQoG=4uyCLWE^G4Hq z*r3r(q+?L57+OP>aTYk{vihhWU-Xui9jc3n4oX$=-yCB-&1nNJ2s-!zHr&%8&j|~ zjIifbbGqX1EbOVO!tslg9xq_AqryFA`*J#$BiunX(2}kx!;v^-P%`U zy!U@*ft!(!)P(MQ>epI)wI5$c#e)yzP5USojwo#>=mv z>hLO)9hnm_kHEUts=ANfqF;xu1U7+#?*^vvMw+RESDVRKn%BQW5~JsvB8Sz>qf|C= z393}GQpJcrM#|FKbS;8n;QO+y@mJbS^Sd4u9_TmZOD4G?-F1A3;&;t!bjVdak{#o&uL%ykHDtQG7@Ew|=Mm2RJ|H0M{m0Cjqu<3cg%ozo7CUf@j7jCut5VO!azar>xSOX9rlhLH_ijy_){?FDwGKZhZC*KJe#8JGlILfO-oik zlO60NtP;gKToueKiz!kZq@SzJqUfN%^WXZ{8Ri#fZ{^`nChr$OuKYJX*m18izR%5G zlZ|P%A2mj0pYKJrLehku3Qo6#c1xk7+`w2}#2oUnKXlqt7#k#{Eg|B?wWPd9R4bO0 z_(dq*dSsD~y=W@6Cur(Ql&Y$$^Q(Cj!vwTyzJ*@7NSyBR4jf;ZH>G??(ayi`idBpPLHHp_$Z&wyi>Er0RY zZktv1rj^0yQz8z;hcEC_!`Ka7XX>I4u*~rC z%|-I=mN^HrTSZ7zpGK=-ddw*^Fv}-XaO4ObFU_e#8{UK`{)PZoNY9*_FI>R3*HLt|xAQ2G<*4Tj{!nZg!oUZ*-=|jJ zk5>EoPX(kg{R&G95)LUn9-!C4PP@pRM@W#Y0=f!|B*+x}GfvYYoe`^n%u5kxmqNAu$v447p$(8V6`3ki=ZQjQc>#AI}NxkH&M_y z$c)Kg`!kEnLM_EO!1A23s2m2uT2?9hN)3|-OZ_+N)6C>h1I-6=2k}F5F;~{Rv9-+sV35oey z_#3v-zGSmcFT$uTz5Y25*aCX`Y6*O|@mTT<>e$q+!U!MpgC#r4019N`+rX)_cSF*T z>XO9D0N$-+y_2~(I6QC)RFfxS#O%lXL!-w>7)Bk1-^^dwhr$Scyk@~a;4tyIEX#o~ z;oH{~Hxprnf8y1NVg$;y)GR2PLHV3R@a&G>mhoe*X(mD>HA)zdm#B-cac|Z{f$iSd z@X5y6B40t}{>EpLSx@*Qu#HxLD>d$b&H7}3nLQ0%2g^}P9D0LozMfo%ny7Oq4!ppS zzwcO)S1zBuokOz!uFHc#nvyKf#wpGo=-Z?;!YJBYv>HVoU&hh!TCY*8KlO|h+#NfNQ9QSiN=qR+tHdG=cDST=G6g%!%yj}LOoaI(jJo@I;Z?jU_Zlz@nFqyToKHB$ADTZ-fO=ahA0x&U&pJxi z8*}H_>7@BPEK>QPX^ds#17*rMmyOG;yQlKql`))^+)DJS?s z$DwM;@*Rwe)bS52gU!_BOH3Xb<+mBFiY8xPz!hF6*$8)D1*o{`KlM&&h-)oVd)5EIf$+K zWQ9;q=1xIYi+MC9qm*NxZ!p%*3m+#mJolM#{IPB5Au;reyl(GLOD50A0I)S`=rLgb zj$!x+#^ecHCjr|qJ_8zr;}DVDEKl%;!0y`#SIEY*D2{S8G8B>z7E8eHiA62O;YwA& zZZNjJ{=XSUZpZ5s!B$mjFWDm6i#}6ycL1OlYeT!Ek3S*QYWc|$WJBMJWK>%`$ zfDdS0rq}QZ!3uo3L9|1y>i(ZNsbunKKC>A^dfI<3 zE^NSMfN5maIFEOE9ow5gX{8czX!ukQ9ubiP=f|ME>hZ^N0CtbB5^pJ``gAqZ6k}e& zg($bh2?4iN``c1a70VlH#WOX&1})W`H>XrXE=aL4p01Ime8iQz!&POt3gtEnEu6)& z9E6s{5d&;|{h{K^phcpE9`~*=h`Z42jPn z#^FSl8_JynEuymsT}rTrkpgJU@N`vhVi2wESSTUXA_ayndG1qijDx1GA(7NGbWjIH zbIw0P_<(;{=03zR33Om3aoEpOWEpWzb+b-+Hw%jGF>9Dce2--vERSWk&PQ;dVEp2! zR+&}n8H!7gbxGtJ`8s)4uS)B4wx#?@({%Fx2Cv<(2oT4{&y314Jsm8k_T; z%K4`1KBS&JiUE&>^s!_4=|58i#&%N`%yeexU`IQ2U+1SqrFn6XN^rZ!n3#M0maHW1 zOBmIZ(4&{t2+$0CcI+LUB9A4)dON2>V7Ewj70NV zYEuWE4tbrqz`1MszHw?})GIU8QCpu4h7;vOlIo*2s2o)_eI(#X>N^Q0kdvR}9!jHn zH5V|*PQcqi>iWac3$3Sdq7puu_~LJ?Ovj`eehbR+$44ZjOObHwJ@%4xN1>&Wy5#ur7ci1%RL)#M7jrV6k8RJapC z`~d69DKs;=GvQ}a6tZpry=RF5I4lZO(Ev{4C}lWzR})7hElpmMkn@~ax!&IWzYna6F)I@8UH&okRCj&Pf|IQXI!PkjGHN}80N1VXE#5iNWqJZmL26I^ zHW;RgaOIDLXrLZ*5B3P3i5jMO3@uXG-GRcJz+X3U4V^C)hI7ut(*1_&pv^{#O?8Cw z8IAhnf6eM3&Dl-)#W)--^&$V_1jdM=fVO9k@V9e^2DcApj^2~bKNU4s8D!oT5>sTf z)uT(<^(R*`zTH%9!RNID5yn^?DFnMytX%v19290vW22(LD-yjoInx<$MP4h(ItOSw zNaa%QMxPOJ3MoEAK)blAV6pZCb_Svm5V^ zqNO0jNIAsJr>9?FF*-9c1hFNDm$IC81+pm>(Y``xW1B)=!j;XODf~GR;uFQ6lVp43 zD-f42-L9*=_rPd742QPK96jF3xfF-xWh96jZ=SeKvI&)sjuhBk?75dl%CEiqF3)9P z?p~L+uoo{%p^kdYj??1ZA>udnyLvreGp(RpV z;%6rpR-f)vMX+^(A>nhO+V`BYw!@Wlj?HsuVSOrY?e7ut$NYyhaXyh}PfI1(vAGVI z*f&T0sq4;M!;h8S`vk3rtqrssrruZ8QKl8MTvY?7SGGhTp0UZ0NV^hmy45X}?$zi4 z?wx~`O}5!14{&K4OZWH&Pz3aYU&v^30h{A`j(CKmzhSJxU6Jq}MQh0Kk_X!wA5Rea zb2V7^T>0xt0Y;J^yOO}%pTS)=%B}VQ*zYd6-umSd$0S|uh{1oqkVgSvep>ctu1ARM z)#Nznm0ig`odxvF7V%&M^5}>@x`l$MFHt&4363}a4$E*_-7Do}PZY@GXW`P3bG3Pu zTeNX{VR*4(2iql;aCR{5TPaB364|V-NlqIGzsaAKwYRFmJE-8yWmk8{5FNdkM8A1K zQF|$}dFWV#+^M6BInpNXkL-$WckngO81Mm%!;~hgQ(4Z1SFQ`Tj=bhfHKWC)T9_Lv zQq=l})&NFnxO<9KngGz7c|bz^uE=5wkucPSBoNI!3;-kqb5-Pg;ilyyMu+rPm|>a- z(*p*u7BEU&yTS33Pc{6Qj!jm8<_KX|nK!AuE&)T*#S|jRyA#_Z=D(C=R?4YTJlg<_S=7m0iAMCyPv5spUVEK*ByBeT3ZiP zAPwp>*i;oNd1K<@qWCyqEhGKg{yQ`q3~%ZU8SFp!bFRS;rblYh0$$P7@YkC z@yDlTf-vt8t2V~F#Tcv1iMpq`2S5&zqamH*KAxq0Q-B4gp0Q-!9S*fM78jt{?5dr@ z{lO?YN*AIrkr4-xsGexgV7eYdk8jL7nR=QlhcNX|y}v0+eZ0aREw&<1BgB9^HP04s zX)Q9BsNVq%um+~EZ@5eio5nDz$$eu2Tcf-P^ThpgwpT)M5iO%*g;7P(Qu;Ug#y?i{ z&LwPR=+rLzx16k*22R}WS{Nq?*_V)(7jb%nyQ2x3!0DTccFeL!t8n*+iqtOeG2z&C zHhB24Kiy_Xafo?C*B=@SeLj2*R@YlpoX!!06lY7msvd917SL5PZ0k3l1H7VHg5 zVTugBJ%Bs;D=1#}bA1WC6Py5_cjgnM(q~aXsY!%V+kB|x_Crh55(xI=gTVQeJot37 zBqmYHBViM#3DMg_S z5Ujt^IP;+ByjtXk_D*LHoe`|ms_PvI{mV|5L~$jGJDB(fEkdzdAxh}0A-Pg~doK*; z(-z6gk>)wZQ9=gtDc>&#L-WX?2l?r)rtsi->e+zC!ggWu8qwwmAr*Y>0+G?;t*X%B z6s0>1tPyT=Ye4uJ`26iB%zoF_;8a8WJ%UbZr2G_CP5<&$n%|Ba86Kvkr48GYR$vR$ zXOO!|xv8df@%T#Ll(xdoBx0MFa*>t4eBMjm)~mR6A{A4RZ8+KFS+(ge7S_v4Sx~ukX=haP}W+{{FroF z@K0>%KUVqTLIj>5jv(apg+qXyZTdXL^9(#1O=7uqWq(YoEQ7IH<{kstw~k>18Xkny z7O)KlQ(l}+vtw{U?e5EcPs_6XEmsA2Pr-wgo~2jI9-Sr?`zW^*&=rIdN?yQgHX{Aj z?dyc#yyS^+$W?j(I72{MuFCZg&`w##I0U2kK1&n-&GM)Ot0GG04ei&(@k#5;s_2b% zfA0IottNU!7V8j1zJ0cxF5N?(98CC42AJ}kD4m-Q|L6U)5Ze-Gp*>?Ri z>ifNWY5RNYtKA%h%)SHeyoSQ}{k8j-sTz1+Bk$IBjP5~MgnZMucD~yaqLmxB>wMaGCtBUdwYfRXkIfNXmnPW?F=JWu_>YQ3ridAs8-U%+r`NFy za43`xb@hx{*JQ?q+BpL+zPA`1N$lnFfy~q1^!HzfP!Ddz2UhAon-LxWh z2I-|r+Mq)tu>GyG`crNz{-rDk)@jJJxRjcqXB`460|6L-?1Q?AnmVA)s#Q)v>co4n zSZV5;J9Z{z1I!!)s?0!eJ>J74#|!JmUY0R<)8B`;n-EVL+Y~f-s`&22;6K87ueD!n;j>ijdAm3eRiK+K#_ zUL)z9d5~YBC~p`Pvd5Uqt#>!ecbAQ3BRJt*(nKkP7_vlHf5%o{Eai()Ef)yhpqgIF zerGuH)_NaLo}~+aU)u|v^!L}3draG9)@hH-W&3{#X<9!771%TG(Jo_-c?GXN->XS` zwL^3i(^;#6xN_oo?g)1h4SwCtfIgAqRxP3jxS9id?p*meXU4Gue7getqKg-Iqz!Jl zw`O>;z1+JnDo>Ytcq2RBtSpXNv&B&j5oNaGf-RY^XfmNM*)zKoMg9%_l)cN#kXCIx zTpz|Bk8d*Drd;qOxhJrhz1c1#1-+t}%9BzQ3fdD|cD-#Llos0-@KXK7fD&wpvVQ@T z=-8}Ji4YhXDKDt6NZ#CjUA6D)z(@hALK5K$60SB=xy9TYP2ex zp(oZ-B!0z2LqIIb{dSKd7<9MyqQA3rOHg~vcW{nGr3lIVT}9pH3Y4~6%K(0 z(D@CXFt|9~;cJyE8B`e^nWf9Ut^iFDMwjGPhuG9}Wk2ez2QuHHyh)z$@{({v-8sz9m5!rn0*S}s%`tBL+fS9UnH zdafI+GNJpF@ai4X-fUytgG~lON4U}c!}jFNS9gw1KjfzaJ!mXY7FoI?#rnVs-%(94 zk!kZqwEeX>aW<5n$&;f&mfW=+rbp87(K69QM!PK0d$9uamseWa)E_wMl`~}`T<$lX z(r?p?Gf4b1R_X$heP+BxYbe+UDpR1h*R^7LuLN{dP{PMQ;$W*89sW$J|we- zP7nWn4L^s4rRuAu(w^8lUCA?>J)Ie-hMWLx+T}l8m-C0?rIWyf^ zkz;H#w7dEA0deg@36vRiS3%ba{J`c!a5|gUdtF!EvWW!bQR+IDr7}AeB0(26F{pfL zy;OlG@l%0|Dcx{9v-a+XDx!`*Vg7Zf_B3)Ji+AJs;&x!`0t5FbVaybupLIt__ntgY zgW=2~yj4cehZ~@|LK?=5WpeykQaSQ56sq@}2ATJaCl_d-B9WFR86x}wZl2zr)UNfN z_HE^bXx7tgg;120edeUa2p(Nhg=p33X6);DMI@DupD4~St3>oI?j`fr)E*=Mc;cpK zu7P}(tEwMI$`Daywluu0ncz@DZ=m#XAUJ{kI|+s#8!y#Eic~am%@WXH<9#Vqmq05P zRn~g=*R^ilzA9bTa^n8xk-ICFc#8nsAhv=KJnC(D<85sj4a7EJ$HueZQivGKvTmz@J+?Kd>D{FC1pT6^@PN5++ zF|1_|)ll5f-#i}!9(fPF@&Po5y%m@)(80I+y!PZSo!tvZoy5@C`|Au;kepGqWWYW| zhK7|z4ksp2`VxaE55c`^=q=lh$(?k|;T!ykHYD8fyU+*3n=-l!nFeggnyn2O9qjn8 zhs{V{g^B$=_ZvTd4M)^>OI$)j%WC+^9zoBL$jD<%!ch=*-~-Jui|4A`ko3*^kqY*1 zKRh`L*jA3351v6cdEdc6FkrD+_6AlsG%O~FExU&~YfHDv@=QL?cTK)T-lZ0lEp3#=84!#*Q7j zve%sP7!wvCn~>KGIXD{}>>bT}nj|XFm(Bu1*aD^DR%=o*-^Ps8h%B0)T*<5t+k)JF zBV}*2?i_2;e3wv;UfkvWCJS$B{!AEQ>Eu7=_c3%iP$~aEeC# z0`#eC%?xx5Xxu0+$HFzSO&q55g3(yrVu~}_?yUC1UNYGf@~Bsa$CxHezD(NJ;-CFY zCV=%hrbQ}LUE&m_6#xKHGZgpQ+y)}@@eA3qSQ#kUlX*$M0mq=LcZsm-q4nE@9Mp?G z2Mn%Q2({L|xd{iiYS`gn40%d!F+KJ2kw+RKeBa}UO`ia1m+qMxm7=7`W(AG(3Mo`> zU2f^a@Jo%_IOGJ}9!mi%05!WYzsK#C^cjf!ZY@HK#osCfZiV=qv^0Iau zcbYl;TFXTAKrZXa>e(pEXu?;EqBZQW$G0=0OiZSkTl!W0dKm|fDPj5M&yxo#?bVH=b_q>n8X?5l^UYcN?>ou1lJ<9MyJ z$WVV9;&b>yYILk8m87K~1i8pn_WJRFuNZcn{SjjTwa?p3MSM1EXHj>G0lg7-mf;9? zkv3EC!Aoz!1Q;grS&_ICpJzt@wwsN4ac1_gGW^`Jp=Hq4ar>TG$vc>{0|aG=T~FaG09UbkQJp?g2ugWi zXzD%zV{AG<64el(ocF#=S@`>}5Q2*&tl|0I03pRHn$c7tom0|YUNy@k$nt3V8!j>4 zia9KMeH0WQ{F`mQ&|WPP9`c6Or`afFjiPTYv1pH-Lhj9Botg*pqm32lUi@q2J-@#v z>Qzfl4Md7$^)P~NguDO8iBfJgk!_o&FG<0%rtU=Z$0$Qj^V7#ke}0|svLl8~D1C`0 zm`2fLGoq3}%RLtH1VXeSQiukZ-%2I*xqJXn7Bsq<3zCcTm5~9{?cR)=+~I29_*0Fq zbt;2E^k!U^;A?OC)5tDT_ITe)w{wx}jZ^o#{1PjBopqFuexta5@4{O6ay+3Qnn&An z;(p9dVzKnf69G%x&-_;9e;+eGUrrDt?{!@*nNGk{=E3nc<(N50$+0-g^nXRUY- z_3?AgPH=hb3U&240|%ujU@>a*j;VGM{RJ4_!xg3&2Q5%7%g@&Sk%JS20!#f_8*$hw z%cVi~CudmZSif$aF-RhLoNmWHC&fOs484CPw(xsExx$)TKUvM}f)=IU?H4rB=h@H0OM-V;!HfnLVcLQhYRh9(3C`oIV5(Z0tq)vp<^-|K}j zF7O}?`8=fVY&!^oK>T+%O}453Of?FIjBefux%^P3aqBK_r{zZqUm_bH#))%sC&3z& z%98^5ut|Xfs$3%*^=B+31 z{`{2M^8IrA)SlM%eowacem?GceLQ~Uj{3f&>iT|N%I&U9^7(wekM8Di@%;?a{eC@O zuG;GQ7+%=@9$f@OfO#ttNX0^(?wELGWJ-_W4y{X~f4%*2kvYJz_pFwCpo2xMo%XX8 zYR`!YKWtYPvG`Q$BufFfF%S31GpXrPx`>ej(%g*8co5Tw^;@y7QH^-p z4o?gyEjG(z59)7Sna2czOD~`sUC*81w|R5O2d%!-%)4{Lf*e!~awfMpm22K=SKZ$k z*E6{CJUU`fc<>_qQ&8auc252-=V{Lb-eo|R&LI&KeQ&QO;QZruvE0w9!_H91t9|O+ zXobUc@fgu%Z}3(@Hn5AQp?785mI{eGsMi)hZ534u_JV&GYg04N5oiGXb9>L6LIOs; z8Lvx5($@yF-{be-dAkFTeG`GmMbQ^lIyzA4JXP!pJiUan1&AON?tuV|;Jqq39>22p zn5L&gocd0@W{F=(gIi3eBU%W(wLdb$)&0gW?w+OgoWC=-(UkDzE&^ZCy6KL-F6~CP98ud9PD}~ zkSh4T;HUQeke$i>OjVVh_D01sV?=yC5}d}!<;8H(D6(XduD3lybdVE0b;!|=h9s5x zUc`rehi!RuOXHEzNT*Tx5h1kH>R9DW)?7R*BqPPJ5NiDlQtOJ*BMeZb<*A(O^Dp1N zFL<(dlurrt#{%9UAgNtklpG6B6{O1pM15Od^pb*DTsVQH&UtCglo7m&!>}F1;(A*=7|vz`in6Spogh4!p4!6Kv`@ z75Lt7PwzzdZDiErp$BB}m7F!uD3vY`pD72Z%?BdrcwUmNmHQ7ccfHHrKt=cEr5ag0 z?NOc7sua@w{Gkn*f)i1a6T}Ppc)5?I5`8#Dzz;qFAkp@?CaiXus3!5D%1+Oz;Ik$c z%}B+M2Z6%_h=5~jQI^IGWw9Gz{DY;v?D={sKTh!*RY1WudvL+dFJS$L>Wc?Ii5qY- zzc${RGb7gsAkDS?SixohLDt({vVp>GX*28hu+j$-NroJ7Otn*9J-(cGaEu-_Sjmya zSVK&WU)Y-4MI(wKCe8fX`_k^@p%efwbIu2y!46Y6z`Pg{y=VFct81cvxMviT{8nsf zJ1>0)ca*q(7+DG5CoV;}Tp@fBdcF%2@ToTK7>9Q=E22UPep=E3W4g0{voAqASHD}? zl<=;UXSfphY|RiO&K)>R*U0OU^8766;!W`#41|9hbUOWu#)9X;*4Chr%EpMwQIy&U zif*(~`Mz%v@25!Rv^|$jJIPExyszO;u%woTju598FwO#4%f;K^W|$I$(g-Q7b+@Wx zK)8}XI?#Q>`||(;l-7dx)EvVixt_+~T3sIc`tBPJlW?fm$e~nGL`&ww31nU@OAjxW zBvyps*NBo?Z7ND$SD5ca+KE+U3G3kP6yAI0`IUKV)wFYXTSmP{-dQ$WJk4QwkhT7g9Sd1S5x(Q6g=$~pSGq!U6^cPc?i_sh z1m;3H*n^Xr^kD7~p%dCF#=GQZp70H3W=>DUsJlPj(x$dq4KQmwqSIi)9%N8>pcyNr zFm1Lv0jWIUjJbzR`+j%+bRhUoP6VD{pV2mp1g_8NfcCyk0*^SoNlj%u82TovyzQDA zbS~b(2>GTDGYEELs*#x!Obf7FtIBS*zrYKpGjUVc-hRm3|GW*Y zS|dFuw0)c|aHjH*UQr`=xTs8+Wx?zb(EXN6$Y!=CiO_5N(1v$U3&_&{IDrzoCx0Bj z0oP2XS5NC+Y9Y?EZW?;;%e_97vh_1W!%uw%I&hN@61)aICtK!=k9ID{?;IGEHfoC8OJL(YgWU znt;3xj8%NZl`fl|s>vsBj_Bj%<9NE2kq1V5VZ9>lB$2j1PuVYTh;J*U8BHr z+@^Efa%2xs{Ymnn;Po#vSste5swd#9b`N!h+z-t}Y;y%gYWDn*f{dWjJWTdzzgAA+ z6tdwF1MWI2*rY1VUe_3Bn&v)QO!YoqewO~8#66#ci)o<%Zdw8&ppd%;tla8K@ncBGLvV(S0 zf<7+bfg1K(eU!W~;}In%B zx8uQUo}J6?>|Ljtd87VGHCyvi6EF`!g!K>2fs)^>J*#s+${6$_2WhWt@1iAi8=>F~ z`=2wWDSlW_7~QR6j?rQ&g~ott_9?rqg~R7WhSp)i1AuYmcEOYISm#_dmxWtC1Tv5s z@uT)4ilzftwM04N$uP!ApM*Hk-R1**MjOr$ysbl?-fzTH99ji*5!^cE&Wml-y5!tS zIRomHgrCc&pv?sqasmz3VHyDy*o?ydvNKOP$Xq@ad zvo(lnp*GT&u|e3W7(Xx@j%s6a_|fC+t3SQ*ac$%xjXT>P{Vy|6Z@9NRoxsukg*d25 zun%FiD+0FH>$X}?NP99UGy7918#kbW3_@Sabc?A+J)l{F3@RKxu5RBImw)hHD|Q4; z1jvnZ8fF4JyM>QmDJ zht+4{!(}I2RDp71vM7os28DZ;x%|u`viNETGzm*-eY?bab{;jG8Kv6%R;knl;_V)S zEW}1da2)VPDdo9G3=i12`8Q7aV#x_e;7$*np3poASEOv|z!YE#8;Q zr59c%A|un?4|zY&@A`RiE>}mcw9cv4+kBLt6MnVK>qlb{-$$F#m`${EFu_2twoCtD zQU@azprynPT1N4oEeR8D+1nrh-VxrePY1*W9%j6S*4ZAPj!14;qXJj7iv_8B0CUEu zz4@%c*tisIj&ElQ)3=f|lL%Ku1W4pnR%=;RF@5SSvAz7$ypr$=8KXizmEW~u6;Y0k z)e>>11*yzik#|(3t-*oy%tz0{DX9lj&|?`^Bu90)p7JA{kY`a6RPIrneNeo0Of*52 zDk~X(q|=$S`4jdduwdDF)xyvSVm{H*!SmzU+oN>RyPwhzAeCT$P#pu>)GL7yg*qfnU1=Ju@#a}4 za>m4P7xj7=aJe(#8fwH)Uv&kwgoD~_vU$=nbr!h9Pv7MGDzJaU0er>bNAco?0Q}ce zj*$!=PL;(j7xF>u#hN9G6sBFzBQ}~;yW|i=LnU{(#4F}V!?(H z(1b|)BcD&Q@y1$*-vm3$!92VH!0k^EI?U0a>cU;A!aNrZncp)dd%Oz>IL9kQ}Gr# zKZDI{8&Sf)J3L(7Ug^0FAuxcHC(ssL)h#~*NbSnr*K0%WF=M=3M_Rl%9@Xfwix+--@?{sdwBiR?1iltTg zdiv54FO`MR2YBgYt+ZqrBmmL zWLlO_*?}4cd4g?p@|c_u;e^-qkHFDcJhX2O zG%I1`KvW%}ou>AUGTG7x>eyc|6-5IwTkZbs9lj%QtgH^>fhTX4-%v@&461TfS7}0I zYoBct^>QUD*hwK2yWj9u=yza?tmEI9klz$=5mMh%32NXxkfZ?(uP_49X~VnR(9yIz zVHaMjMxY;;W~^igQmw2X`%X!_3nsreZjP@MuJv?n-B_q3pTv;!mn}6x^GzsB-aW)EMMxRh&r{xwA-p13qN-k$g;MKoTI`Iuw0i#JMn3te<%c#|BR z4G!>akhsO*VRF9wyswMC$YK>tlkW+k+V~Gy!ulXm!g}iv)khMOWv9s=cZ6!U;eBy) zLK=mDVYOF86&SGUZl!Uaf~{hKG9hFzAT7N`V37k)(RzqGHFvRyt<=@o_t~3BTJ2Cn z^XXtRlUY!8f_e33qd)OsP{)M^sw1CWy}KY;0Vg9n8^HxnmIHOLhsaTF+*g08aRiQd zq=*ezpw(K&)Xhs5tG6cCanh%XK^JZ=Pq|FTv@l-}^R6QZgQGPT-T=#C!8rT&Ga>hP z{*@hJaLZCsZWBP}1hpQl)0NXW3CgwS8jayLiu@lK|MDzlY2q}4XvPAR$RGK=`pQ=n z%jb(v!-hcqJW>^*yb^#-_~7bnPK)pJ0o>Cv1VtX>`9LVu*dhPuG9BuAz0e~e2Sr$E zh+3fT&iS#p$G}m&JkWqQj^8U1Im4yk>)2>Z8Ca+O`wA_JtH_?0Bc`K-Z$+2mSc-8q zAKvW@6z0PSq$3W8`g?M$g4nHMr$b=~in3^eD;1MoF7X3E?H7V5M_(;xc^x$-V%6pX zp~JcVA?zHQb72B39NV_78{7I~+qP}nwr$(CZN0IR8*}b*YBuu^y1J?teefK4Of>LE zby(Tk3hX*$mr z6g8P5K-O)@szMY)rL=-pZD#Csanhh1qvM>4(ev$^225`;Kk~I?yFnzQtv7bt6scHXM-LbbtpYPdMqnqvJHhm14y%nP0mm$(@!vQT8R1=B8u)_j_z z#DJ-4Q(r}F3o50M4qd?UY)lcqczKVo7|gp49Pl#`!HEx!LL_+T^!Tzu(jv?waC+H% z{$eZP5jbA`@{8Fdq!COk=Q}|#=mT__7BdKx^E#v`=X+u<>t)e0W~uYPNA+Kn-kqm^ z**$nT5kzy%&CYIR17=IhT8bh6FO<9O)*KPH@I?bSRQb$>9G^+BW7zH>lZnB0K z_jWuGazaeOQ4v-lP>*IGs+&Er;flD)VAvUwPff0VKWsji1?Mec77C1>k4&(B#Kd^v9q7lLUE2}GfS?Jfmtb`+Ej+7nks6Gz+^Ax` z61=xZ$yQbFD!8lPS8s`uSie)~lIN^!{@(V9xSF9nLfb}5vV%RN0{k~&zYac3zYI5q z13I%y6q>ecuu;c!=Q!S$p~;}XSIcL0zgPR*1crpw-8v0bK1*R8pK89=DaSLqv@Mrt z4EJ4E!CCBOnVr8NP0D@&DPL@$8cL6D=N{VgGI?1$VWw$Ow7rN+h%4uipO zoe`Hc&nu4lTGf_Mmq|p+SgKz`q;OEzVm!Q(rY1I}(V&IU9nnKB6|jtqIumKeW+3F^ zMTD`Jr<_TqL1dweWmgRphZ_Fm zCn$;kMV@-gK-H&@{v{O!cP$UI!_oJ|CnaNFvM<+J*3P)xYJu8l=_#sD1DiV5WRKKa z3DFdb-y4bfFzyndl7jNAvAHJ8^G`Wj9Yn=5inc9M^?~wB?RpWmg z%KJ>B_!sJo9GdXYmwyp}%6T!J#r!G?b-0vvrO9ft(imh{UJmA}yvi(Z6}w8aivvlp z$h2_`^%7l}9UbGUe$cv7?}jsCSFO=tsx`jw*e$snF_6LQtqJ3lU-27UoOmw5>iq+P z>>u0l%$XA$oIkkskEn|TC4(_^gD*ee_UWDLx%g-SZewA-Yj#T)c}#I=%T*y;r3)^; z%;i$xQfm(_D-`rrgXn^_x=@z+OW#fZ_!gKM_r>NycW^c%md=<7hjUqizKxG~Vi?_Y0stp2Vyo1H_zJf z_ZyJACPHuPrTf)=f)sJUI*mF{1_k(HbU#rDl&RI&C^+)u%lMKbMEi;top&^C`K$~t zN8E;!5%-WP{UMis;*s6Vv;^cpir@$y8_Un9d#^WWHGA zf~P==^DOD&0f*I9^8OU}e|-S-{Xg3Dejc{x1%4oM-yaD5 z-p}&&`~AF|x3|eoA(HyGz>cub{-87SGX~@ltQ3a0{1@){H@|01u=GEZKVYwO*5H^y zez+jQ{J{>l9zVb#lhk?&$oEsK4(-Ni4l$JAM2(CUynXcqs}Rt4kG!J1C8F0?Zd*lsjVUqs@3U65=;67Qs> zN=lMXtyj55{Y~brcclv=*gP@;$nWT@-)G@QlyOz1U;jGa)$HPi8%SQA;tg3IX^eD= z^(!MB&Qx?qY$>E4m|*LZh@}@Bw4yTg`yh7ptmMkC-%;2zX}3>YXj6X?MBaoe(|1Dd z1C=`5bEGe50#`3FbW575e3BE6VPQeX^Uz1O!gYW*h(kt)?R^&zbkYUU7{1kv-3WSK zz$5U+mrLY(>Im<~f$4Mlh!&L2oMfs)hlchGH>_CHxRj$~3@ht&Xek4iBlLCM8e)I$ z`-B@~YZHUcDNzG4Ye;QEOo-#ld?B4NhQaA{Sv2d;WdE~hH%CFfdt#+CJ`)LD_P8Wf z{LH~zdTwQ@-)wZ@qm;pX$~?G+E9Ixx251lO(k-1oWpe+^o%9r*KQ}1%%?bCmPI|Jh ziU*1x(XV&9WYKZm&dW)-&*?a_GEDgaKM#d;I(V0F5a_V={*}A<_;YGV`--kI(e7oYD6eZc+xKbG#7($domYqAq+he&ALU zW-Mlka<+FV)Z6W!QesE{I^W>$@F&gjNf(u+k#iYOeux3pG#&d&gMhfZT3XZP505Jq zqS*C2o5@HpMgbRHSG5a!a;S>-fP`s@ zgt{Pi{9A%-QH9E^`lBl%1q&BB-pu8M`B$JjXI9#^q#+{;rw#g#MScPzL=jeuk#a)vHkMf4Cgou#& zOE4J+OFAFCGxsYk2tzLyM#DN7B>ze6sk$@9k;il_b^y||$L0J7{EJ(O9lZS_jyg7j zGr>L#bnBFBB~Hy}c~ZP5(u}aXlQ=b+u7J}CFO@?xixkOpjVann9A7l{=%FvqEwyuj z5?U{7PJT?`($ZcD7eznzfkh%m*$X}+)+drKKg>DC$MC0K(*W;F5@^@BIF5n`C1d28 ze6K*KvWvrhq&go&;A0B< zy>NTZAC)TDS@c#8sZdY0m~5~dB6}%v;rADc!s|ntJgas$3`5F)wpq+Po>l>Z!m@)H zaX*WXJwJ+^vi0x7-S6|=uX$}!U-Ww7OO;r8t!}s^ea<+|NA1IM$U4wKibA zJdE_({IZxUBQ_j@|1MPh%uLPlmOoS;5qr87WdE?XX~kI*kC)LAf!$T*wLPTrVQmMo z8;7g#Wb$lCoZCg6Upb*aU5}bxNl?zuNz%@_t8uV(m)P-o@b9cyh-Ev^XZZSsSc2234gcBNTuT~2 z<{sZTSqHCdCQ(?V15`rtgxV)OAM4R&C`9I@ENN$sXm{)r(O!L;o?V+SoGi|`*`wAa z5lRtL{u;3)gT#lP1=|)-?8eN0^fTNowh^rnqN^_ijI$Q$^+>?eo1k@1vvpM8Y~Ad zYIaxY8CI^y5A^1R!7EPZl@nv5WiEb;$`ZWUvWtfUvmx9}owhB6M|YgUuD*!2;7*C4 zLFM}Y=z#W_|IxOpz8L0YDZx?PQlPr0GODr;VT^_CudY#s zGz480Lz$Yh`Dj8#eavN&+p_6KH0rPgO>b5cU`1OK9o)7-;}D0+XMK4pg*LwN)3u08 zxxrUOj%!A&IRtxz#mlp`_POJA)y3-=6^M&IajOf9l8BY;rrZO0k=bU9>6&G_ODkNY zeqXN0)rqwlkswJJU^M$X=(uJ{Ey7=sFpe9sIoryL;#PIPoVvMsH0fU2^ZetGp*#dn84pgOPInFYNyg%1ic;^~56JHXQ2N|PZ^DP4PmOOk#w zG>9i0ZhOx1*3Uo`F4kV-O=b$ff3`qiy*{wM^>ywZD+WsvJ{LEm>Mo|U0Z9*QX}Dr0 zCTB~rBmEv;v@i?^E}I`HZcdmF!4cG37|b9kS-X?7h=lOc>G_G5zSZR|=z3sO&hhC|KmRq{(Sy}4T8qtGo;UMFBu5LAbU3aGE3~=Dx!I5Kw-$BJw(M>0QQ5h z!G@6As?AkF=!@;KihWJTJ5_*pW;3{J6B~jp9@oo*^icS-x7DEX8)GFViUnE8d>Z@dv+tM@8?I2PtgH1}Kbs_Rp4HkWruLwCmhga1>s(={y=t{h8^QO`h_g{Ckh zQe>%CLE9dQVnHrC|Ih3&a4PJ}^R@%D@nrkCM@irs4bAd$Sp+WpzvPT%iNZg-6jk6;ZgX7 zooxgPkmKA-chhoB$6-fJveRv!;Yw|r`|=IP0bVpK5EIk_Z`MCL;WBW8HJ%hYCBN4T zlt-^gp==Q1@r!rQpNuc|q^{NU-EZ4Aj<>9BO!6oaI*j>tJ~p4qp6E~YrQ(j3d6Ub-v$(UDA?-ZhYLy{@bh_kp{4) zM?LcjC_qP0v8=VQEyGqksAF0eVS_N9NY|s-*|f>dBXw_g1m|V8O6eaS0A!egz4VBRRvj6V920e*oZ>*|=wrY;e z`iz3~L{eWyQ{1!Qs_Sa9TC>mD*d&2LI-!A0jmw||^vxY0M|`XDBA9941G%i>a9}(0 zolVw5WVS0krP~F8XypH3^d(&-#I1U?!^5TS9;x;}yjvkeRAh4!Y&~lC2Q6Vr_yPzw z(A-|amoeY0_D$HzCA++>)1DbC5z3TGVtX<^0zOX{yWdrbFQn%?5`9qHpfvkK0u&jJ zJWqhw4B!d>bBARR2fa-ZXgb~IfL${)MXr7=PJ}MhSCet`0vgj<&3k|6H1wxSZcf+h zkNkHTB(9215)~o2JzQxmqpl}UFn13cy#G}Bl6fKjD?elhD#^Eka$v}ev9Xl z=CsWd3nR}7+O9r7M$Z{)GR=`+d*slG$J23sI#l*j?L3mE`;SJ!oiCYtFJTFy~+ms+i8&wn@GN->8oa<&Z(jcGKyzp8YXl>$+T}Yy{A6zJGpw?2;t1`sz zT=&;cj^;{(Cns#RGP!qC(|!AlKuKQ8{)&bNe_WCc+wa|p+i6ip^}%+Fbd@7>B5*HG z&r`f%EZ1kAiSD;&-Y>QB>LdX!8b(dI&arq%cA{TrTe_=7u5DTtMQnlNoX^s2<2WSb zHxH@_BFq8;Kfv~<<`jSKU5{G7=Y5u=CsQRl9e>SAiY*ZtOAhtD6Rz|O)J-iYDGOe( z{)MzsYHAWFpx=ELz-Yc*u;c%TP+7Jx(G|Vz(hNnnDUh9m-QltH;mEY`W`S)jfC~w8oWd;;^Ntu0ldjPK<_)t6F{% z#owMfikcsgRbU%o11itF@Wd4z&&4p!d<57*&IDdF#AxA4dD`l*7I7vrLev>{mU5d< z%4S3BC3}%G!uD-Z+7G`94~`ksdCDBOrzZNjwQS!I1XL92P?s5MF` zQ;t$QBSh@}EQLuzYzL}>y5{`P8Sb}I9fcc84Xx0q+V*~(bXNRrKcIRh!y$}kTjg|X z=*%86iUFvuY!i)8U5u^)Kh@;shRoYk+WsP&QpdNI1rSauogJ|w2Tz&Fn&XfatwsjX zn_uZU~5%kWgF?eQ&tv ziSBB1oM@djc&OIj7Subwvj7!aG^wbB zqD@)~16RT8%mR}M+mAf3l2`5?#14SbW7wy=ZS&mb%0{(UYR{(`!~uf4PivAyAjtt( zIi%vAdL0k|e|tnwD9tc@wmd+DKxuu(U$-HZJ_Dm-q{|nMLtr(g1Sa4}g`RVMln}Nc zL~DyM;aSQqeC2SpMq#I%4Wd=PIG(TSb-4^(97T`Nwq%qrM8&fZW;9{>Q|&2n3|asA zY>EBnun)O@u1Q{KX*9iZ$;Ud479_59M!yuOUrY0HF$@u6M<3L~-05Rc8$;o~TO4gL zKy2f_e4#Dh#}+=qv8q6HWexPraVZ2=CrG`TBsOBR2)H=48zb<}R21K|R|=ks*5v;K7Wr<}G4d zw+FpGRjme9uI26(X%oR-t`;jmc}fb6{ebk@PBZY5+FOD7_ye$<9Io{vW71koczA@S zTJ-qRg0?}`)WMLz|LI~!M>ygGq9N>zuYCqBPdC~fRbr{%q-)ElXIwR z9xzf)D@(XJj_f(a=I*L_7L6jklHKt-Q%KQbVQ8pn=Wr}h*ew7YK2*NFx<@ezj?fes zaDUBR0w8S+nL`uR-0+@lV2poJfgOQKG}mU^zi+lc86bz&L~6|$dmKynrBpztn=qg8QcPP+ zjKODCfbWr_KZw#Ek!k1CtAkFXU}Bvgq>a7Ay$4eDH*6zoI?hO=J>AR6^9oxu>Mrr< zW#_92crnLH)2+-|#~=e9=Z6RMex?31^P5oM36g3LU9%s$Y!FfENRWy9d;VIMAH#Of z%GO_Y4n}C2sI!Pl)3~-KaJQ#{#ptWE`Y|y7i_A_$Gv$4-4qV5Ci~K7F%>pw#(qQxy3Syh?@ZR2aGX6bNy56VlYo^uIux>AM z=M5c9p^{|`3a{>uJ;Gu~KrUW*F*Smc#4|M*BF zDXx{xD|ob)_e^mL&NkxRHJ9i1wJ7b`t?hlxaP3w=Mb!Kx4WrwAL=yhF;6?7>UKN9c z*PkwJx`j!I#V^B$l#;WA(QEy$LC5z!j$SYJdrNY7eW&wU zicp6*nXn1SzKbH`DD5EeDW|$uSp)t&SprVrfbw{B9E9PLGnoUfQ8$QeL1$ZyFUCSx zP{;(OGDx+U!Qz6Nup-O{#m^VuyrMPXkm)(*Ts~;fA}+{0=__e^-1(arA@ z!q=+M(CY#Z!o5|91@5P7R8BAX*8oj`(4NpiNobO6@I5k9EOr7OtfkcZXYyqX)J0=T zz$GbE{aL3Z>XYV(YcxLHFi1;d{p9ixRn+k|$gwgn6_*ih!P^O3!W{edLLI+Fz- z`1{xBOr9;FDZX#Omov|7EEqS#^Eu{$l^eyBau90b+OAHomPvP$^44@s`PB$^$T3Px zpnbb5<|j=>BYJZRnejG-)k>3rO`!3P^Bshz!$JmuN$9Z0h2FLl_Z|Q~lxs)f)4I|;D`z_Qj>Wz& zT3Rr@gvIHK&`dAkY%H{qxS5x>${=kUCoL}p(#~-4ANKLDAAszk_38fhFm0b!s59N| z!l_VOqflBLfsp0K$zgMLg1RQChSFnee>LfAs_It?PSe<-sQ8agk(a<6jt9}El^EgO znXS&JtvYUsc^}bs6hvLE>Z@eh>C?(H46bl47nf=*~u;R=)2>a``uJ2&Ym{Z;gG!Kx6-1;4;8Ih7+rzJMGaGU|)x(BH#t6ktPb9S75y9Jl?whQef17Iy9V6T$u2b9mw)ZG6;SOl9@n=#ysSCm@b$MYE#OJS;SqSq)ho zC2ls(sSD8{i^(ft$wl@~O^8D@egwae_jPC^5>tgmc3K({k0NX!g8cMqG@*1qCU`o& zq@An;dae>#{Q-rt3eJJ{avo;=8WS~BCWMH>RqlQ}$B&u_ith;53UWjMfPhNzq_wk*(@*^+zlspyb$cJpltB z)FtCLv$D-hG9DmMtXwNR;T1I+83LCHpO=BSnFq-Z<7Z?16rFZ(Dg_v5`kG4=(`ZJ* zWx}g%B#j2)l&`G%e=a;F-bYh9`cELn%{Hr;t8Ln&14r;)<@9j9kPbMdCLMxZ3c|TC>GbwNT`Mp z|5}+Yy82qrL$ZKJ5rhpp1KPr+(#)Ty3VQsE%41GGR?5OgyK3Y6oBq|T`K!+(yBa}hl zXPt`_2URl~RCx>7S;3`sUr@b1!i==}t@gEDPKSbyLKfdZ5O9|9s=t$~@LQ4p^f1ou zek|nFh^{~HeMEt`#j$tR*ixl>r7VjekV+s$*(6LTVDaGz-qNlV$ZpMIgSjy)cmR(< z-_SQX{k@AyF%WLC1d4^*52pHQ_)zLR@lHJjE9Ow()@~LBp#dP*J;X2;+iRJM*?InR zT5bb_iLVPF8AY`KTc}vVybmjmnpn?wg|)yh2eLtPS%1 zokxQeNb`-yzc3Jpv{)$*di=qCdiiF&!iW`1^b1=X{vJesp1~uiy=^uZpk_4lMF*zD zGf;l(21l3OwMJh(KRQlrIYB<&x0O^2cT1{<%-y`4cHK2ENKu+p6}@$s=Bs$o-Q(Fs zKzlTi4xGciFZVxc5fR{`*~M9H(?Nok6!!Gn$fplw5xq98pt8wPpIXFrzo??hF_idVu~d2$N!cXlV_7c4W+2yP3gneW zs^|<0Y!N*%o`_K-tJB0+#4A>F zRI0B0f(VbswmewX17G}%aRtfQfUXn;zc_aSvL^V!!7{epR6PGFq*1as{we$>+#3FY zLs*Fj2A>vi?_Bspb|D6br)Vi&?7>q;lefB+PULdi?q@{cA z1zbUTyQ*{86mx2cV86Yd2&AVsSUnotk(hcj3#xzjT)uPZs9=ltTnVbb_C|b=#EN_f z(EK$}U|mtflIK|-)quieK8>SoxR_r|3&5@*2W3fUfU-~4e6pj+UOqI_g&eH&np$i_ z-C)yE$l~YEP9#y;%Y;%wWg<=4JIE?fp56V>fPZ^T!_la({03GLAK;#?ClN_~bs7~| zX}?OZOE7%vpj9Az*H~mqt!ED7Nt9O)r(qgA+G?{1Md(yIqId9&cV8pZ5^ZXes)<;; zux*Di6iEcb#NR&?Mhw_;r6xihS-Mif|7L^~(Ym7h;tf{NDt^jmKy>}%7*xpbAdzy@ zxJm3ybuSJvhQmP4*BMNC3wcX_f0Cfj?fG2s*2}78{HRSy^x-6 z+xhqQGhBqV`ywZzxgPZTS2{2+xbY9TMgTU_3Vk^hj~!XLcD827aQsCHg#bb0UWe*( zC10f2Gp*K^=F@cwXjI%hHiYDRSrAE4!T4f=?OrKKZ14G#XM8J|Cm7eH++E{0tOIAmi6ovKzVR&X5W*(aKD#KzzaP9dBv zPqTh#T-WeB`gv-L0;X#7lvq;Sv@M!IGLvKjZVmv~Ns^RFHrQE_ps6!$heebOjT)A4 zLG0#Klk_b@DdOv2${|22NpwY3algu1)OxZgSug?>qro|DoC*f6LhhQZk4wzndy$nx zz#XC2WX!>pv3pF$tV4Y+1oTw8lM#|kct7bE6iGZ^loRG_ zns^!<2lM|5-QDHyh!h>Hg!^K|Z7`n{Uuve|Y0rdr&C~PebtK*X9aJBN$0ZHm9ar9O z_DrSF=$#QQA4Lv-_`Ri3pur(U#kvG1JRC!*|A;|+VRGNE>vFqhM2iNH!JNo2r;-E0 zsNOe6AR0dj?31;g5vZc=yL)NX)&{RYS#~6P+9$(%#;)I^yd7i1h`2`?)u+1K;(zYh zOZTLEh|uS9O)(B+Y@$=I?M9?m!m}`LwR%suFkg$jUKL~YnO5HTV9^7Bc#`w;VbJdf zQh6-8&L{utONk24YZ7v%L;n@_q$8Z+N3O@k+E`>u+M|Hz3*pxy&db7g_Y!X4K$^^! z@4bTiF7&Y8r&r4FK_ zLfn+;sv|Jz3v(=8;Izoi04pRjR`=Y(qj)u$ZVwB$7#-Ztvj-S zbasNg&yrBKSxnKO(!AT8fjtgR{&*%wv!llEbStgS@xIng?tyE=kP4T@I6XR2&cU@n zRO?@<9B}vw#{aXA$WMwZ5c%mZ@cRf==+1J)xNmYczK1VJxawohrWxzD@6AT0PXBT% z;qWCQi<`-N+0UO5{7JCLRf<<6T&=#d_)gxu%IGb{PUPYc&w zz2c4G7gFLXs}m5*MA%iHzh}c92^9$7=upMjk@U#JsA88CJ$Y2f8}97zaaJ-~kmj>t zfc*_7`j@bPUvS#b18YKLXliv3$cMq#$ZoyldD@&1WRI;SYk(@xwvE^BjV~gm=K8j6 zAWvT3PRd8#+UcAUMba2cBGnY3qI(Cs@JK}glGQDOmh}ZZ>Nev%GeT-vi`DQ*$9O4$ z8O2#8@n>whe%)^++DQkv&U@MjL%a?s@WopOPO$s9c&X6CyHy(<&l=5Bv&5DxRB>W% z+O~qwwBtzZH4;iR1NYBL`%j0|>_y`3RpQK*K_al}qG49++Vfv)O*V3XrbvaESRi6z zJEqp}V?2#p1*)8_*(0keJ9^sHdi?Piqx{r=)bq9`%}VLjQnW4ZZCR=jm>co#Wld-s zFG3c~A=D33O@u++@t|PgXOC+H2O}E1E8FiO&^5JpOl>3#gm(8?C{Lzd+q^>OZup?E zCx+1{urO1)OL$&eg8YF^@F_PMKXOZ?N+nNL#B^M4X^%r2cW-Y}T_4Xi9!6DT9*qPEE30SR0hJ`UUw-NnXvl{VBzh?nOYgYSV3 z{{ev4MOC7trT(9=zVR~1Z5Nd7wXzO2np=C+1y(%jG!PlR*f-W4t4=QNx}?)7?qi-) zuv#xa@f6PbLs^VnB>HWPLzStOE!}SovyIfrY7s+ww=46NwXJx3fVd&=FVN_Hl081a z`H<6!i5qN`5?~o86+1nFs9(RsJ>GeXr$`_8q9YDx;A+nlH>wK{Oo^Any}mgO4FbDK zqM=MfVp$CgDW3P>zzjn|-@Je;@DigvU9h_eH{=B-X{v5YjGcM~Q>1Rl8hi_mhS*AB zGB$6UTnc%SAu`AVnk`{XRLcMF5d_U?Ty>0!{6h)Dz9>o!H8*(NpK1%hjh{~0scQjM z&0Lb1pVf)2j!OFUGhOn5>hIP?+yGra{7ll=4bZaXdl8BS1m2d?c%f59O*NchWBHyx zK){KHTq+hlCzc~>N#MzA0+A}aP?%s?U>3OBTK@}cpMQ#1la*8xHC}Et>9CfpI>Q6> z<5t(()Nw>5|HGJpd+*f1Vt0~Re5jg0NVRsnUvQPLzTAzoqSj{<_8QYjy*V_>y1F7HMJsMXy#7KaMr|7CpLh& z(3icm$Y>cy+&M46twrN0L?kq7Z3#}P-rBWInDf2^{*efXynJt_M!$NY)oN<$4>jbA zr#a9@6wn-l9&%W}YoalKEl+4*bj0w5hIhZ$7Kicl=(!<h-LWJK`Z#1n!ev1sz1) zm1mc#tLDmmj=g2~L~2_g$Tn`3P?5=9C%{L)v0ZzLISQs#(C=L?&H#^vcU{6S6(SXn z%WCn4pdRm*6zO@w;lKkpPw!*4b4xkR|5mAw%bw|K7}Y>W9=8Q|GD}VUlD?IjO?Cx) z{FVrpFHiio_yo92dmN0mO6iEP46VFpwF{y+?-^rNXbE8y2wT=Y$oQs3)^KrGp!**Z z)sb0HV;sq}v_h^wK;bYoI&#B^=@>4WC4hhX{)w}~U*{vKkcbr*Mwn^y1klDne$#~# z=8u}}HiXVI`eYCfVs4of_~(?xm}0|W5HgNT2+?|Toxezs5X6$_aO?AU=GZUl+cis8 z_@_RuiFNKu)!og-Yf6MfiWw~_WI{VQ6`%VH^u%FL#KBBgPIN7uEeS7q`+7bQ&qNfh zB>eH!S0Yq$e6w@bCd~y&C(IxV+6eGh7jqdV>Q1=rlG2|Z!4$lE(f)5~&?dk5Q?3&5 zqbZv?)PHgEe*xUXDCh6W@@y?aX2u7XQZh54R1<@8)G}BZNaIP732*u9rEMrc%Q7Pc zT$F4VgTnSxa~cU>Ener+!qJjgjbc#o2ZzgfH{1~GobNDH z17Z2so;L9vh7N?~T~hJDh7&+8Lfz5rfWl(b-a8`ca@i7WtM<(}c7|B@+@h|%wmWh~ zH5_}Hs&|&hu+w;$vk51m!+ErR;Ohps0Z`fQRSoik&hrcI)$-7XM{d-sI0#e`&X-!1 zsP9u3#A*-&>m!pLoh0Rqanz?fg}GT1qiq!K0W`$zo3XLMkefj-U?SJnYypQ!?>t`4 z#yia$E3v-d_ttXvr1&6T7M(HK*TR##w_;)UD-;C1z@nEWoog62u?#>k9p0cY9vfhy zGn-%q+QYqFbz3U`8uXgI#Xx#`yuwX(b#v8d9BZ^<5^OW6Fhg4`{*H)tNTPWSH;HwZhg<;lh!i4xds1isK3R zQNNvK@^lU-7$L19)?V^9h;k0M>}tK%sguY*D(#t@oRH|feH$2(c^SM_8CK+LG|Pn8 z+c$XL%-|SXsHv^kw&}TCa!{@`h@sFkeF}9cT=i5D`z&iit07}rV{z+GeQvds0{FRv zV3!b8Yc&GqRW9!1~?({yA8s<{)6fp zy#eWe-q9Pr0;zCLW1aFPCBj19&+$&|xo8 z1*-HBs_r*nrBsGVw4n!>^?QVQgQKs`0IO}010$xe4RUrLIxXPIL;6Fw64ya>YTvV$ z^%aXoFr2k;uylqOK-?n50N0*sZ{Q0zJSWNvrn#Y2rbE-R{$j?ThPU5h%b_Ps+b!Rd zN{Fvw<@4Q0J?^RDjKn)aP=_ua6knraolAX@^GdiU#^#NS3$##`0rrq;`26>1#2Q@w zF3NK3r9(4tz|6l#!@FG6ccx#V4zCj^dN>RGRj?TA#o_P)@oo;~?(G=E6RFb3eQi|sv2>{nFXHLpIMUnJ1})MUXCCB@VUOX>NcK2x z0)l723m;K_3B0D-D@5nOxuCh?A8MTnUnCTOAzVO;7*F+^H_1M?qX4#9Ll6>C*Vfg7 zfC?M4zeq4#PKuLW3D6N&d6oL^{Q)>Y)$M>oIYTCphWWt0zm+_z6ZrUW$1E|L{m`gE zsvF=fTgq4CNiK176i4M2YVX;>wr43O130nQv_s_7^u=&~84G1M&J0DtsKl^%f@K-O zU$|grhQ4Mg_Z)3-Ny%}iLBP$GrR3)ed3)oCcoPojB4$p8|4m#HQkh@IxS)^s{abEt zjQY##W30hGW&cIOJbyN7p3gE*oW(NV7ccF-BZ8oPOmZEfU4ydEOF2l;&ag1@PqUf- zP-Q6yh;nZu`hw}HA=LFvvf&NBQ`U#Ws~ZSR4}p*@oR`+<(OuFmu1oh*4d8gYefCUQ z#IC5P!T5&Y|Fd4pJuU+_`(Orbx2_HGHN4K!vF=@C^c>wl-$ZJk>754ce@qUEv*~*I znEk^zE&}RoeAQl1#;um1$NH8KZ4Yu&OcB;o&wd)bT*LnF7x1?x3}ISU)Do&^a2%o~ zeR?TM{$EFfP#^!a*DsU*~gSwDVsX z3o-vQKK%nLH|<4mldD-Q1U~E9+Y?;}p*E2W%&nfUIT|?8G6!s!u7Q$7>FkLejqI-> z#N->Bp1{|mJYf#;luuIEcCb5`3*5x{qJT%w_}CGB>-1f6mc zLKK}JYHs_Y5Em`>jLD@BC2FYUQv1-U&&vryvzeS?Bmw07V)X4%7-IDKR8;smk%|Vl zDIZDvQHhr?Ci49xW$tGVO!gy8pTMjErP5dBdzX0#EFDFQ!i~=YzwN>FyN=<*)(+m` z-EtH|eZiLR{EO5F-`eE|Y5X-nRF1X8qn|;Z)EL`{U3Z za@BnApxS&Z_zS~&yO)GXmiPe1qt71h57UB%MjQztb7YHT%e*w5kYY1tN-68bjPwzHi0I-x7h){4Wmf z^_R8rs{7;g?U)~Bk5n88T&e8GR>dRQ(H|fk--S0Xo3pHJ(O;XPw4{bZS^_@81epIr z*g17)0(5CKPRHulwr$(CZQHi<#9A}oPTaWy4|B;IWAdoMe$54LmXJZVN6*sDxSalkDS{3asCGUPEyc= zJHw-&IqTiZ_>-0dbo!o6k{E%Z|Cpk-$%F^Wo)_g6qG^G1)rjswFf$gWV6mwIM$>hj zj@@Dbh+LUs4ag1@KhGqV-O)sTXGmdFXg4d!YbyC^#eO~>cRLl4yB&QWLSh%YiCh(R z%|EzVwPKW+JMvFsF;6Mz^Zl zt3^arqX>itPcJ(QRvxl}3g*DYcB zN3uMw#%vKf=f;tQo0wJ@1vGV>_B;O1v;RNUW8aVKO2d$jh!;BpPk8d%ko><(v?2o< zKLM#l2FF(CZ!#KzN3f=t`|;=FBp;V8&kHr2z7NSK=L~MoW6r39Dpi7_AQr`;$#+q4 zp2964d^s8Y8M^;zLW$Gy%~VtSj^bA&rEz54Y5Hjow7b)m2XEOW^)2j%4W$RIAUGQ$ zCwvC1*yhlyt;%qhCVnU1x;jkiNU1?%89{k!SC^I0R~=%0Oo|3!<#@z+sM6jtJA}9)!rB0u z1kT#Bl>f3)Z4C0fo{5J%qq8v;id;pYmUcS?`_W740WbJc>aSO$NQg|k8>yc6*#R?m z(5OjyMInkqD#ZlFY-A6_HqlH~=c1OnH!URGh>kQ7H7Aj{(3IVauK0T^W_65$I@LPr zyULn=G#p;4aFQn9Cs&gSrlacWB*+uN6Z`S9*Kelhez zXd%{!MSiG(Ah&F*59 z#K~!=NF>O)i3Tvb;NSohB(we=Gc(PQy$=!0ok7AEYVTPz@zcQtx~|-gmfAEX@eDCe zgc^+^aQ5*Nt7Je^Tvfp+o@k!Zc0aV=XNYI5%5&?$*BY*t0Gku+S~#FB;lXZiIoM{MMT-4{7ax-hy`U58w$>|ac8 zl)y4*GGVyrN?{{6ivF%&2i#NRe|)kY`3LLcrm0}-Bz^1cOq&fIP23-Aiq_V^eJ$(A z?HkwB`L&~&BO)#%Teeg#DV4Hy3C#;P}8BO%LZn_XQikaMP}hd=uQ0eXWAhP_i9Asz$5~?1AmTy0b;{%hCK0)PH$C%=RcKW%&2q$Xdk(jFosO5`>dIOfy9O~x;uYVFgt&xRn> z#9d0*sDLKA@VCn8wi2s2xw3Ub@zHQ?yQT38xT6*mDHj730;p+KeS;LV+?P~mx$Epa z*^`KbsWWao=D*MADfY9Xb3-u@$`SJ64h#W7JnW``sc%$FI?O$33B~AacxqJ)8Wh@~5D!+64aRW?T!LApHu-dQb4QN-+Jdnn?$;V>VH4bC{hhZ&LQE*#pSWIs!Ap1w+{ zZzloGuMD^KHIlKBsMl`WZMGy%A^h%OaNzo*Z0{iSP|7Ov!RjB4NK#j~4NSZroR{brjE zAt#073>WJMH1y+fA^h99xS+QY!{X)6I_Zc$x-<({Wpxn%^o&Uq&hCQkp@`X)b%2|b z3xd0(H()=+Emhwsr>sh$=}bP5qN4l;MA}MMhWHqe_v~p8sahA>9^>?+}eYz|7L0P&~%peF8ea_K&Gz z2EjF=bzAAj?s>^JnKi{_c9YLW!l;(^d5oWSpd*tcYa}-64(a0H7SrW_hFxcuT7>x| zkfsUHqWvc-zud)Nan1Aw=tH63}U52Ho}zWn6X53D_x@w;J+Yd zCaqGMnkUb*(|!7Y0boZSteVxM77LJZZ1Xf>OjF4QiKS%8Lh#(*9R!4WUU+B+&-2Da zLhyXlPr%wN8QTY7>hATv`jui z?5;>_e{Su?pf6I)(nPH{#?^z`4(o5*a~w&g$SQ1w4KuC6v_h*QL}vZ~ zs-H=KtqFKU=KVuhxTgMcbvAz|0Gdz%hV;O*7hfXqQuSL|*U!p>Euyng88x&{-EXPx z>%9)UdGWc8t2hS*HUCq~gDm4Y^{g0-0+;yVq((qaidVOuivT)xt2Auubh<22WN0Am zm0=n2NcEPka{L{)t4AMM&pV}FY#mo`awu4U7{|BpBORa<(m+8axVW?l&0Cyp3Zh2u z359?i99f607`IJv8NI>u+CDUxi=!mwmF}@0fA2xiZ{L#>pC=hZcG)HwZi{(4?Lf{ll#;8@rY0Db4sR`C~6N#+er2I0#KAO-4pR!;c8U-aXCSEXrWEsp& z8pNlNS!GuSE068iIGG+ptje+Nlp6F?&R)Ud>ZtUSg^y3M|jjHj8ED|^l7*gQ=lmEv(PG%dt*LaMFssHnP*Y+`ayRnfP*W(X9 zv3I>Xs{=xG2BUF0;5-QHSvHkJ+FinDHQw20gLZi63irV`5{625;frrCrh3XIE5y32`>8lc0HW)51prx(nKLs0R#*M1Oi0W2 z=>SVMQbs4q^(cZdMJClrr}+&6@7A)$;ok+bbe+^J+4AtKV%xHo%=855w&}0>Y;UHn zh;o*VDUd4=oFVsL#1eO(syn@45>IxJ4hlsrK8o8s9ko-`~q!#wLw3M&w=#7%a1QJ81GJU@W%sMX`0BHw2B)JS4X@gG8QhDxB^mXsfGWk`tt z2w$t@AbRPGau5LfE79_8V$j9oqPG(*8yRyioz!UFs6uzNMYrEQ!s^_h}zW$ed z>v=I_8z9um-iqb1s_Fx(OvMQ;e-5DYii^r+c>Newf`l5NYqQd8uQU%-@{NrLl!JUq zWoz;9)Fr~04*r%DI(%w$aDdt__9DJngYIaklt`hAcm;?AWu+MH(k+>kt(2`0%*=6> zHe9~0%u@dGJE2g+d4wku|Ki6ki+P>$#uSg_+sHp!{sR?Ct_z*;MFoyN+wR5mb#QI; z@^p`xn)pI6*N)4l|;T5lWy+A#YcW3gJgAF@&i(=i#873)RSAxp{WCP&Gm8$^$%t}Jm3 zTF{1!_rmr{y+=-!6}axREg#(M%?tULTBSS$d#<F%nUJ z%BrutEK7uO6X*6+SAL3fR`M1n9&nc@1On5ITC6-SM~&OOVRi(_Cm~jGdyE6*j5Z3f z*P){*;TWjcMQI9`agez4K%w{tv);P6BG#=b5%cF>`xUV>qtks8LP-9G!< zx>ne-y(Dby4m~daq`!VNma*I%xhFx+o*4Yu(lZ4zjw$)0gGz$; zHWIsUn$C82E%~`3SX$L#kP|u_Spo1@VV3#4kOR& zAHKxP*5eVIR3zwXRMG7R$)BHRfWjcc@11N)X7D6iB5TvMhd`P>Wty!$&>9{Ps!@Bh z?W9f3WxXS6w~C)^W!eb6F%5{O|}gF@i|)?X}un__dYJE`^YUnoH#zE=9fr7_$tJou7#aFh-)rQDV?|+^kDF%15 zk_T0Ds()=m7x0S)VHKy3EoHw%xRL>>YyMf!*U2g738Jqv*#QSLAcFSD;iXioMb$`e(Y*Rcy(b*FC9^@v3)(6bJKEfXCgE2| z`E%&^9ma1Rn@62~5?J7x-mDOBR{F@&*#kX%&a-f86OgWH?MMw61;a^!I`<_q-5!_^ zlU=rFpS&bzM6mmyr%)A#2e(It=O?^A4xALnGmleR^Y6E#a;lkeNLeca!2U7hRL_?0==L`sd8-T%3SJA!xA8TwiBWj4ZM#=8BLFRM!e`Dyw~eoo7MK9OB5jB<+*pA* zF@rf-f#_qD zQl8Ryqxd1;h6;6Gb6j_Vh(b9R{n4w4o(&4|ldBX)i=M}gyDfezup#%NNn+NA4*;=B zmuJO$R4oHv!lMJ2Nkw+0XX?oAIC8l?cmP4KH}A-175H?tT#!6(pF{ZJ_5yhiwIGa- zq4rDkIP^UK-Whf$7oN~WFX-)&2iNmKeO1M%iLUEyR&P-&R7a5(0Xo{}%Ahwv3J+YC zz&%c0SXVTujw$X2i&wT{T)PMi>Pk|PZmx#oSh*nWW(~%>3QwisdK-9fMQ|7Rz~iI) zDhdef9Nwf;be&iF+1na!xOfULWf&yypZSpnzu6OxFfc=$%d*fFTh{(E2X?|iG}1z2 zFmc4YHV<}3kLuk_4&|Z_qCRw!P~UF9w-am*PmLlTcIGc&833b`JUDrBJN!c0zw@bn zYzi5+4Hpw=isCpKq=fi&{CNf3w70pEcG=F&BT+(dSqvwmJ5>;2fh%RR$p%1!`D8?| z(XT9wr!iL5Yqt^wI^t&c@bwG$cqIkICuqdcgE)|MFX5<@uY+0N5d8*) z`pS&nV+IEC6~gkyX0K-FzP+f0B4=~A?DSF)Pr@wcAw zyR3DbdYw)!WRHpO-egceAlvBCS@pGW-Z7~79~q~J(0RcJFJ2@Cl2LKR(13$}#HrULTdxbO z$T(kYGps+rfzyRrMNZWtr-vMZJimkK=vH03O{@5>jAC%AEdC-Rg+Z(e^k)vJ^IhQ>F$3l3{rTmMANBA zSjUE&5c=uVq6pMRdEIA3+@-($%TEzp4!a$1c4#LyH~&$IG+ArKlA;T|Uzs+u3NY;^ zb~$Cn_l6r9ZZ6OkMEDbVX_%hBA1(s=paR4g2(k5pBsAN^HP=Oz({V=X$?bDhFN=cp zIWLQk=WbHnKSyzlfZA_H5WT@Lcc7kppacA{|Hly0-vJ}YX-{#GuIt-0G7=k>UC1}3 zVG1Vk_sm!N+R`5FD(y*DHVqKSz7Hi(`282Dx==hqx{aT^L`OzDX}PAAF!~NL(A~xk}34;C7M}ZE|deqxk3xM39EkVZvdN zX`?Rf-hVm^e2|Wq+hfx%X(*1Yi$8WHklyh$OCoTH zz|Wpgc)5P~{PMI(_RL~xv}vn3(p50L;fAVVnj$7LalJUqYRG_ZXtrF~IY!|PcW^Ft zhAqpn(8UAH6BjCtE@eVL#X^A*C6`NzmVBOk1?Zlt%(67zMu!W$fzT z7h#_ZVPMHHOG_j57hhpPAwQMJFCLAf{44)PNo7r~DiB*AOUCi3+LTyHvHHy!X)Bs z148zR=WXxz6oH{ywtG7+qKRI}{^@-JcE$;jEF}0M|9+u}1Z)@7X zQt7>bu&=Jy5seopUDK$8=@i#kMW})W!^wwlUmp2nS4dhQxsrU1fR=hRXNRlWnb%yH z{lC3pReR_=jI~37^uVdkn|Fhe6?PD~k=(p#ecRCI>myYL(d(BMd~HXJkUbk{4sW|p z?Z7a!$Ss=Y>|j0UCvUCCP>C;$G(0C-tfJnH#w){&B9Z?TMLowrV}-Q+T@VoU8+v zhMt8pUe?TKsZ}S^e+N~Ls#6oqQ~@xtAwEJkvxg2=f_oY9S@6)1?8se7#~L_w5nI5Z z;54zvz!0_NzxjHWw=f*W`&y0W`gR|lGtML9AD_7!S$Y1z-1F2N?+D6TjuI)-?dT## zylC2g*phtV{<;m$1$IeRmkq*G64jDq;1%76IqO{HM_S9_UlImB3Up$&JLHRx&ld@(Kxe| z@9e<{h6Kf}Y*P7HRShQ67hN&5z|gTW`ZHM~&1bo59t7Ltak&tfzUMqOn}qdYRtCw7 z9J5a1vAQ^SD@JZ8dz?rZKa{4QGAO3D@nA8YjrcVg?yqsOm-iX3o~9k9*%();<~HMB zv>{aViGiwAlE(D1OaV+|nSVhFp0)Mk#ijeCg5sKwR^*qKW!~QEqci8~E$zM`L<3Qp z%VO4kUhKS9I)}2hwT5pO1IiUK`SVT#eWuCPmV`) zx5DbYT%$BuRMk_!*|57Y7!GQ<#u{%HBfl%8~!0_`%Hq*-eO?=`B&S3K)3UQ#AvWB2ArnFDU{;MLY?d2odo zD5WlK^>p1Oy6L!f$VT84oB%#AzUSyvHF0aBighJjg-b@d6Cpqql9llU4+#$e5;Cch znn$CBR7C;=ooXMY9nSY2t8#!D=Lf6cZAGD~Rg&=#ym?4@DW>BNu^o-r zbc=Bw6(6_ed`jF1<^dykS?LK@`Yr4QWkWO`WfrWQ$nxn%nsn#+3Llgodb6npX^i%? zV~cs~HH|}2v)ozhDN@TdPW7%^<`>138riud)!hm&$XXWLJv^;Krd!LYXB=t_K#@UB zj6!z-LaTwl9JJmKJN`c|0>x3v(HKvTx5|W48vwAOU4k>iGSdCQDY3O*+ zaXZLxOH6U*@Qmbbb7e+gUc zCbUuC_Pb7C`y&lW3mStOSM^bwV^i0v&Q}5-PcK$SJ2)&Ey@A-VfLz4IOdyW?_*lM#M-cV*gzan`R_#BR;kMnBNIZ=+ zB2X@az2Mlgv9Z+YqM05jaH)374C-t*`W5&S#z|ptr!GhLr!nqP&X`<)#)F4;Bm3Pn z%WexacL4)(mYESD@NHEDaABDa+Cqh!4t%$DeC107LIc3fKf)o8LpP#1cr}kXx!H|t z<2ykr(5tXjC-A@yfwkJZ1>EUf%Us*EyGz45_j!Tx^sqi1W<_4R#`+ooib(|Ep-XBw zUlhj+MR+5iSqc;eDv52+wI*b`sw?J0l$pz@lib#>DDc66CFh6(cijmGLD^YepqU#k z-4{@T6|4c;N1KI5MjdsHS;wK)1sZ3zOQ$(CtkziAPdn#rEv)e&RP&kp`@C{kYQMfu zE~fiKGDzE{93B+T$=i$H{eK?H`o7L@{XbqF=Z;>zzCX75d_KGQe`d<~=YBlD!u{W$ z$NIjXY2s7PK% zv(Wu!taP<`e&6<084#VDe2A_R!hE-7LW469`+s9``mxpa>`02elf7E28=eTd*mJ%1 zLb{MYYRm=kcXlb#b!v6CYBW!6e+5>aoM8zQ&b{r^$gBA%5KXVZrXsL@@?;wenzMJ` zvHXO@j2I6a*wNvkAngN}t2bz5y>_>fL+O5gu*24FJqAY#Fhj6Mw} zTS6$EbZcj3X2$j8WsTtm;hlq@_s@TwT80%{p~q-Sv0T(MeSphxya{QIU#}-4HdyTD z7Fa3wmF$JZgMwlSife>a=nK?x1n=w~jtbK&caZrmWI_1}Z0xmr=BPh!H^$j@LdOT*1)F`}&SQ$2gjonEjCdF(SMco{$;m5aLt@=&r zoq3_TZPh_AY&cHVxl1ZkHHr`-PTe&jn0dfy{Pulunj312xKaZ9~&3}v2+PuhW zsbNuWUA(1Sy7-`T1TL(2;MOJdEly#JH9}k@4y4MdwzBYECh)9#Iq?G77Z^q7%;A@^ z9$jZ(b>f5rV}8LOc6(vugH~^QTo^;wEeeKN@jQP%1EX!?I*Z^A39$pa#;Mn` zr?EndAR0|^Lph*s^ZY#zX2v+(0Fox-QNXj?A}YerpZwDfEe5;yZ1@+8=)jmy5U0{b zINd32V$3OU{E{OmITybGD#aX(N1?pB$Aex;yt@tdpD zZR3gcK+HX~m^{_|g$T=^ehc{OMLKDV%Gjd>K!fOyK+ zhS0&dB_-pvU|8;ymjnQM&#t;ZoIg}Veh%}6JuImGm=h4?EsyxHJfU4WGgUT!l;Ye; zKhCCa8OU}|{`v1*&bHr)``mO;9J|^F?mq zW@L7y1U@Yx9JoY^3kvKv1mKntlv4l)`WR3J|O*x=eYQJ zZ4!t7guQ}O)c0L`-H`@%iSSY1p1wbP$yg65Ccej>PGM~C0}HlrFkid64~;)}7#P|( zuGP3B&*o!M-7$oH&vfS#5);F+yt<)ugz^0Z-2^Cya*>$iM-Hp6!$-fMv|Qf2q;ogK zf1c}t7S~N{oXDjYQ%EJ1vjcfqSo*zV%HaCyrF1|X`G>N0+xL!CCcMKHVdQ*Igh0du z#v1uhnQJwIuGv!SIqMFnk@Cy_p;A3eT$jm^;W5~aLELn20l#kF%Bey1iO=ww+rE;J z1%j*Ydihd?JY5km2XEjo2hVRn%mb%NVdy~J*UVnmZrks95uNTJnhb2b#!WGgQPVwu zsn@asy%N}M`fS%Xm$BWZF&|_(K=;7#`jnk-^+}7m*LK6@^{o{9&intBD$(lCbTTqD ztzko7m~Em0@sh8(X>LWLqv)!r@_ zl6}Q8zDmZ9crA|Toybcup^4N-xM(sdgc$iV(EjR!Tb$xVit&1GI5?MF^V(hGQmlO` z1i*I2&i+UMLN z%DK~`MOBB%+jx*;hNenZ_Begxp^&H$GL^apsN9w=qD@;K87i;t{JEG!HSBrmho9u! zaqf_Y@N5ca$}V*XbQrgDfj0Ejj&)&hA5}SGVbK^aS{QSAH%WxDiG&CS$eer2gH>LV zR?hj$Q(ydx^FeX&p-U zv*SAi3kIq@xYN{VkD#lN8h9?Jht4o1*%nGk6BN9dmPajNx+Rdoed&VH>+>lv7Qs#Q zVnL5Up4HOD*i483NXV~p+Z?ClT$Na)B_~WGDP+aB5dk+4U@UYpdaok}CT#(Y*8>7V zP&8n00ffQc#`OV=Q0X4jKW#z0l8$()s8-Bq#yd1kR3Bei8g`i zYw(8kmOfJlIlfU2K=eW3D|cAFI?iy#da@CLLLmaekYr4`5nI-=gnNIwDnY2@;C1M? z%fF=;pQ}bKDWd>xHQ3H!-+??fN$jg~0S`a@a|HS9J@{d>(WSvchOR*1&gZ3&qyS6_ zWhXPtO3gC>E_S61pu5zW${%CF%dpAX@RsD3Fjq+1GbN0PC(OXiukSW-%IlgJtAkBC zobr<;ljcxL0a<)9s|P~Op0{_yePDUnc=Ut6@nuNX?RQ~@vGu>k$oy{nx9`+zEmP4< z2NE)FigP@+zG| zjUko}(b}}3iMNf$QSt@!lz0)8l7ev>L3|%1Ddy+x_2=XD2Q*yoPY0>K-84n(HsSb9 z-dR8^vT|`{=<_Ix3p8Awr?8nErzoG%EKC%oH2R_}I~5cfWz3B7^)F=szLz?f#=?I+ zzxP&d3_ILu5O42t>(HXqjlc-ac%IMdq!!mRp0xt}G;=1B_A4t^_t$^qb8|WnWzvw_L!w*WcWg!40=TnjzV3!muJ$`g_@aX;Q z%Fex`+g0P7fZxYQqIDQign+OyR&fV8pkm$+ISZ2T21+c^L1H@e#&5PWr48y8r_)VT z`8h&i*S^HHvX6*$7BbNK7vs=s>sQQcz1l_%5r9OlOSE9paZ1)+uohA5gk+i9-h_MU z?=GI)vaLG&Z4uW$9lgolF@;R|l=q(_@iaCZKEyn zg8iH+eoMNx$2=!?sSTvj?U@X(cGq@?wW7j56HN~;v$Z((6R0B2jO77 zy?vEHh;Neu6mM9CELOS1@xq=DFLPE-3eY&dj3I7YOG{IWINO1vqJ#}$cF%-NSRhJ~ z4d-8~2=o;V2SV3m1Rx5Xr)*+Xj>UkIRf^|CN^y*ZzvJh!I0eYT$~6W*E7PK`V+-0> zzuo2cYfw))vau|6>|}kI9uLJnj%%93=>AQ5I6?PIBmKL8g7zJhS8vvqA2p~5!f`uz zWAhmz7*F_S69E)Czc`VE1;Yg~-tKDx=TxR`(#m9gvwUhprh*IQ4>E3^G3M^r}!2tm|F zK)m_7Vmr0gV8ktGzN@mvlVq=}@w{nXdy#`MVG0P{(ZeuCid4czCSzup%?#ujW%J+-R2Mrwvp?ITPB`Tuy-&lE$P?;p`^ z!m7M!5obC&O+gT2zGqYXtV8Nit~g+cbrLcHPQC_<0+#Rb(lKWH(QPKpEJCE;9u?)G z_D1mP0%g+MZCd{ri|jD!!5kG7^m2-`yrn_@q{69VLufCvdT(I*+>iDp zhTcYDVLonN8aHzca%nZf|))+M2*75t?5QS>7_v4T< zbMJiG&G}&;P%5*Y#m^T|pTt@Y$0g*QtKqn|C%@Kx_NAI5j z%LZxW?^|U~igjueA`Fu@b3~men6Z#qZlq89Q%!s;fju@eYm~8V-DkrAh_M(?Ouj}H z=nM=G>P_u}(;{#}<`jwHJlgzrs1@@j=qL<-9gV;kKhD#fiShZkF`@*Apb;HqWa0^s zcc|NL8q&P8zx_@?a`h2=9~g1sT)DLz6qnOX2{#jgf3;GVVikxi3bQnrmRJ6J1-%S% z861qm!7Ex9RRvddy0Ii!h4UNcM17kghi_(-!yh>xr^h5tMs(3gV+a}09yE) zXQvu+>dzcr)87tql8Z!laIM?Zr+4k*X49g5zpo|7 z_EhbGp^@}?_|992FSqZm2)M~j3*>pZm-`@MgmPSOQ)9S&^e)@#Y`s#>G5jg;vFE-y z%OANFRh;o>Zb1cV$SITSJ4WMpXJ?R>?HkWw8thn*>`|sJO0m*>Pb#zfpb5r|jw?rw znlCl5(|4yK@Uxr-2=x_K`ME|!y2o-3y<|XIP{+1Vc^miH;yJ}Ax3$x_-T9;E>&0s8 z$9nFkvOPvTZb2UaYoai1rbY=A=2usznc-{sY1;2vr96Q$?|hYUrjs4^72BPeAvglTm}EVU^GXfv4|qPU+ozclF}*dn*5y*Yw39sNbwjrbVgy zX4NHu20S=16u(BzDe$Wn7V!CgT}IWcH^RbfpX0_N_g(@5$!jOyOM=6d?h zxskptuZJzbB*IbFxMWc{dO`X18Eaw2B>{)f(Ud9nObYlL^1&r+C1KaTm8#1DFBKQg zi@0y;5LP734wqYe$2>wq!o|Pd5s)&8MM@xbY2p}Ztei*%I&&u?Oder_gx*^3>4}zm zP0r0_&u0^w*df{894RYNOBt05>p|HNI_9#Gfr={05M&@HGdu6UZTTrZuGb%BVvW+ENRLlHmm60zM`WUL99n zABs`L^{Msjc2q`2)t`xJaLK)s?F~e_qhm{S6*)+>Y+f@}a?1}FU0BEfq`6d#?0>Tf^K zoa$5>DaZJo07%+?!^1P_oqY8Irh{ViVT?^9^*;})0>8NH)ixb#?$Ehogj@b}g5ymY zOEE528RmU-uV*FP*igptlN3@!ka$Vw2rG9syQ7)VWVgkHwPUpkIL&_3{i#+M1nwC( zGzNYGHbrkgKJ6~23*4ta@OmolOy`5HuCXQT9YVWP2;7?vbCin+vukK;9~plQ=Dha% zL%K+}Z%7>%4DXPusc@8sOzd-F2&DYG>Puv^;%wba1hc1LRvX4n!wg)+$M-Pl$rxh= zjd@an1lAs6)g+I|joJwW0g}!Z2vKetwBjqyOxAPfgid*GT_G;(CaV>7@bDz(kt1Ii z>)bhw@l%t1+rTvUft?`+fmUG)(;cdvr^KAS(UMXJpO%@16+tkex(^DI9gI|1SB7z z{gZPU98|GFZfDHoqcfMR2Li~r|7vaN&DPt8Ex>bTO!j9P`=Jq{3B1`VX`B;opC%`-k9pmr5aAn;!5&!LNLjb#Zdhsab$zQU zv|2=KhYdIyC);Dwkl)o4C^L7!`&RRA#g??*kZFZ8v`>W2%>z6LB7|C{9^z& zZ+x7>u+if!qb~X7tyk!ieV_ool341g@8j_9KEU|>dK48A;ph(9uj6MR7C5MIay2Q1&^UI zLEeB(>uzZw`BJC$(oz!9n?!bh5B8v9M@H6=p&<|q->VOBOmdlMHF2~V6u|wEhqn4g z_dn>5Vcnw4L$nVaKJjF6Ky?^8cma5C1C#-`VYN zol+H}fS2c94lhfX{jgPVt$~xNzyAV#2=377V7tM@#~Y(TuTxQ+WF)gyFv{CNY(uU~ zy<6d-Q95)MmWZbs_!Hy;kOu7ub#}c3i|J7rNF4`6Z^eUVSD&EOs@Q2+=4x8co(vg_ z6z}*WkA;`8*d>Z@b8vnwjQ)7RDrXR3A+^z=3y7wC&i?*qq$|kG<6P!XGX9*Vo9u{^ zjt^M4>+qd*_}Lw7l~;-2@hI%ial#fMmkFqT$X_0dP4Tf_3ZOskX=pciYtjAh-Ze+r z$q~uYT3^`-tgpvp&SKM)xOQ3?Q|q%8E~`=}a|Jh1ixA@BB7$Nm7&s+J*P(x@Ngi$inrL=!yC`T%^TOnpk&5<)^OLMB+z1VACSsxX0;-i`=?m+uw8-gsQg^3#7%mdO+x~V%>-^h7mvEq;$IS1^80a1{J zP46s{&VB@PL%FU!Jmj#(q($ye*WE~ zM`puJ!z?E7cjQeJ_`eSc7op+OAl=XfJ` zJWXLV1Jq4$9Pt7dZ-doH9Dmnr>Cpe5A8h=EabZjOsLLr7c{lW<#*L|e+RkP`*E28F_PIv9#}?G+wu394-WS8SRk*vT^b#SL>>=g@0q53 zp;WoaL&W3~=cg&{BJp^aNom2iAbo*kF1~H=_rXsyg~;LYXLGi~uPPZRgRbqfnwXS# zfGx~lmG=#ROIQF84MM_KO!vwRIVG++4M4%ex5#;Aj67j3;B+2Q!+BMs46)K6od}}5 zXYuOs?4!a}x}1p~JXeNW-l@?rB`5x4)G8tNoe)ni9~4e|_y&HEj!z~$Mis0!x|EN` z{sF>qjrJV5ad3%t6&+er{Prt^vwL99cnLS^bZjX>pXAbhn{4PHOiwip(>ZEPrhu6! zQDN2C%Hbb2Q_l)Ky&Luv$GZhRw#)d|QiHAnY^}ju6E|Rw^Jya7U+qu*k^X($9FQ-> zx~{qsNVDdlm7j&`oYS?glpv317X7N&SV43k8;dM_i6Ojkr;UG7Jb8&&n|&W)5Hxl) zdD$}E>8w(Y!th^&okN!Z>;gC6v+*lX?Q zjfkkzHHIgfCUeCNT@bxgA5x*Sd0w|eS~GAtijRqXbpQJZ(lh>PNw0>?L?oO$S_<5e zM!;16E7l;41FrRbHnXKAh)k@vvtuFcCS3v}LmUT~o1kmpSLOMS*xA+Ozwu#7zP%^9 zMD~>*s8q19!0koG`>E;uRy?y&L5huYleuI}hxQ;Xj3NprAA0Xls~|@p18hav&v(3! z<2O9C&~)Zq?uV6J;__fpRN6$9)r~|yVWQREq(H7V4hl?0zY_3}bw4l$8OJC^;&v`a zCz;jz8)*0-IzLpu!wLvA+sV(|L#-!|tJKY}&CL#=sa@&qBG_|(3pYf#*RyQsWH&Du z`@1kt3-7^hrEkEpE%I2B+Y8xK_{9Zr!#6QPuXXNTI`PCYuBcaHe3(43r)mF64Md+! zSeBkM1^o>;u<1n#!HVQT8kw=da*tz{6;zx8txvbhY^@%|t`k52< ztnAu1N$OxLE{6yNcU+`$C+=OZihzq8U1h5Pw8W70sPMWFq?2+4C6g4WfR~gMI+AHA zln(fhLbrtZT@UUw2L8h%ADK?^&GZGiKYNISbssr;^=aHD<7)(fa1S@})%$XwvGQsN zIcWej*v^Ga7@V_kz0XV4UM07|5Kx1BJ5R^6eChk2g}*z{FyI05U(4x?*9ChJOjXwf zLzp2_px^DOQmjX}1X?-S{K&aqwZ943kLDfboq-%rPEE~qZTx%(+Q9Nt8u3zNdx;2J zwZ+KCa_3$NO;ICfA6A>HDBI~8l+d$7=pn$`^(iC4aLB3$cl<#8CjsfFZ>aaB=d02Z z4%Zk|l3}~rBPandX+rT!U&?~{TLYZS38CwwISv^tIcp*gc-)JYuGTj-Vry!l>!P4N z9)G}JB53pw&SyR%WMS6=J5leQvgt&HK7u!XSiH(5On9zmlSU{1VleykU zK$fV1AjoZ-tCfuv7964g9M@Gn@6Joh|3R~rtgu7OmZz&Xph`+iP_Y9#cU($Ol>!8n z=;!ymhBx`-NbHh1ccq^zDw-`mD_p7cZZ#2z!Rut%EMkQJh-l;Jm7?svIacA%;*MWa zA%&4hQ*QWCZ z8Wcoxs2Muz0a-`KwCto(CM2AAyB?A1Sd{JNWVqLLcbt+EI99Y*a9NM`myQOJgHU=mbr>ZJYCo^3aQ$ zRd2^!+(M)7|INiO&_*M#QWZr5%-%xbSo%vFpK+upC77k`{9A`wS(OyXIl>GZnIKIi zo1qvw?b6V}g6dF#RTEYjmklrHU&(6{G^ZFVPAI5N_y^h1l}OboTWLuW|WjRml^| zvAtcY-v~W8AVX(zD|C~N{RuwO9Dw4j9<=1YW%r6#DAw1cbCJ5QjhMpQ{5S~TD?){a zmy>Le;77kJ)c)Sq&U(8k)hlNI9vyp)O|ePqR`W6{(n~r4uD>2TUE+Gmkung5*qi3# z>uu^jJ(_zn0^4HenKZ*pw~R`eSfJD}n{UE>h_wGs&V$23yY4X4A&R&yrO)k_=l5F>Uz8FRAfAStvkqs`Q!DF3`IsA(fGmW4f@rzGRn`n!VnYE2Hzszl<5Ql-ws%Ar0AO{G`)r#J-%^or%7CLp z65t`v%DMU%iYi$a9CV5k(OY!9g-*>IEssupe+i^T6hjV$U7XLHxFcoAWjfl(e~KOg zndO-!gw}_jSpGINcryW-GM=#!49P%n% zc#0yX=S?frCe8=k%&wWp$^@cSmmS)qzkkZ={| zti@3LP`#$Wj*>yt%FPU4AjD412A#2%ZizsBAdn*)BpZUh5sgq}#L+ECe}Xi)1veD5 zy#?X@!YN4N!$0(R*;TXmF1@sq1NbA^c4Fpa+GMF}#{qk$ zTBYyKFx67j*~cFE2_7a{q>k}KnBM%OwrtRJ*va3d*d9ksT@3K>v!8XvN}~{!k|$iC z&tfM~4E-3PF?tmnZMTYfSu(CZGUXqU|5}aJSV$TH@2K^t^2A* zC@G^9$~(ixKhe#9kE@`)$8;!#Ooq%MV?w^Ls6pDy$itWfeir==JGQbYJim`(GE2ah zXa^+{$nFMUu-MQF6%HcvyvC&QUdMaQf+(1b#|YA-uwPPp2;gaRHK}f0jfxB^Y6u^- zDm(_Z)e#n`KeHp_+(A9oK=VdzQn-D)eY8<$PsvR;sHwHG3D{iQKBostWyKbR_Zvt5 z^ta{kIx&2!zIOeq*WDYs^kK8YI5A27^)+^pFPgb6FTTLZe-mQd5iC^pQJuMQC~=Ix zWxMJaA)(UWW=*vG5oczquO$IY5W3ar*mcmIBcJ&*d>`MR9>8joYT0{CE$42Uf=Tvy z3a%Os5exUdbq!@0`})#9RLKI+Ud{f?1quS({NGH;V71Y_Sdmh}=#y(#UrYg@b+m=c z7Ipnru&|pMacR+OFMmZ)iEin*+^3UdP6{rU*v-ZsqIqRzzNLvF#Bn(x7rm8eqKa7= z)9miSY3zbB5$0bst;M*|*K$GRNNiX;rU(Y}tLv0VR1PLy#%Y%dObmqIx4Zl=gaTwA zSe|b11*E>g3X(9>l75>37J4(kuVL=BOD~1X>H>0&bwlkE*+BtrK4oq6Wy%nNdy4zg zS(s%do97oJZ8-23rv|GrcK4XC`Oq_|&NSqY3<9EHCMe+sL)<;c({5JD{s-tFDIQ5FG5^S_7C3ZD-PmAZ z4>s>a8zk7A082-jWaa3K&jVs7RY9cy5oHcfVyD!e^}8AF9mG2JItfdeS4D-0FmV}- z?0tBi|J~#8vI8Nwa0AY@--@!=#Mt=+!nnfa4 z0#|Q@IE4CWS8~`XhYF{d%kNS2cz4mDHZxS-BlZ#_)X1FA+rZy)E(e||~&pj$d}$^8{vp?Mp!1H1Dq`0!R@VJd4r z`CiZ11M-w=kv8gJI{T7-P7J~H z0+`BI9xw?x5{?tMq(B$JR`mkvvwf#=1RQ34E@gSkE+eF>xMF&G+4W#0)ze4PMN~^B zl^z-II)BqWv+>*#yhA|$B$J?n+@L=pmE-5zY<5`y`D&7=*6%5G0$3vwQi7SkSv9ZP zdpE`sHg&_jc6fAq8Z@`ag_(ZxM)(<2kVF7IS`N@$taGnj!YfMeCVcQGq^SGtvy(S3 ze5<91K|$HsLcYxZ1(OJxIl=gJVU;vDLIe!QSli<41L}N+KBA)_E3G)hBF1pKqith- z(BlE3ApyjP+cG|XtnXACIv6}eS!PK^ZD!B33K-|~fq=8rYw>1y!x5K_-H2oLm<@r( z5>4ko-JC3d#JUb1YZ(sAJZi4wnIVExMpD82OC&Pw@~i45QQNT-nhHP)u;r2Bl{r1Y zI|6NuowQ2EXLwJbA%VuTlHyqk>4aN~9|?o%4w3O$;*vY)DGm2F4LTY)9(w+*ptC+G znk#F1Fie1{9MjPflu&zte8E0$|2X%i=SUu_%Ad$1jo$}@qv;Y+KX}nXZJ1~{^j0hi zQ2td2#;xQH!)-@FE>5=yr2%(z1_3?xT@T1~bJ8xq zk8n^72Ma+DU;>p=k^%)BzV7%(9eNHdR3;9vn+KOry(^hJ@>Tr^q!x#}r8Grj)=ZiN ze;?80W_XX259&L$&0%nCx0FuAS|#pmtn_})uZ-E%`d^`G^zms)yu76Jgl-O?C1o<$ zj^xtim*jU*9M`U^+Wo&dxcA}Bpj}rajlJa0a@+G4eEzdpZLtV{R4eX+_#CwL(u!BZ zg(>>f8}@QE+grAM%X?^Ph@AEMI(8^=Q;Z~7Yt?01|qFqda zYE)q!Rp&cM@8K9lh!6|^Z`b4zAPYLv-&&+N$SBZsa5hrA$-iz@2$%O@o);~En8HaV zTByix{Ga4cNn#Veje$f6{LYCPZ%&IV)#^MrJtgbU3zy3PD{$!&8utusHc2`=D|7kL zHL!q=m_s5gbCYNRe#|9;RbnXk!-b$7vUzpsPm-AwVZ-i=LL`&KyzoR(1C+!Nd$AIE z_K_P-wDNqlG@Qp9WeZxiT82I0g0Rd-FQ#gN=LFl|uDLSHqW!3RYD1-D6thkRJsjyP zl7bS&sA1m_etQR&jfR`e*u>du7d@7s68BmJPT6`m*Jdm4&A`Mtwcj2Q>Z+bD?@aWA z&*qi1sM^)@MzY`$SHGH-&^hPM#W{yjqB8g=#1*9FrV=`Ndb-RnwOOQ{_Ivj{=gsjL zGE4l&xl3#{L{5q4WhfRXD2Fx(E02(`;HAzeEz+;T@kveq&ObS)u1m7QV7zW4>QNX`!7WgP9mvG#u!TaD48F3d5}eX@e>=JzS>E=s-PY zI3>Z1z+sFBw}^u;A}XBjWSPGXg7Y;l1I@PR64V#w<2B$98I32d|mJ58RY7)+>jS(|KAuvAq>Wpk!SA%aWDx0wp#Lv^B0=9r&c%@u>wm98+%#&$}^N-cF3|jcW4tPQEs%d;wY3u*? z+k`klj>|P(K}vd5P!K)_ctzWAFWiDIqd!4ct@|<%8DQy{jgAH zmVZ`qYO(%^bhGdn)mZug;#v?r5bIj{1_+oga8;6K()L0GBwV`ySbbQGX3dTX=2563 z=Q0%heIl!T2ga_6oL3K(oLYveT_6H%x;8eLvP?i}xwK#4k*S-bDz-kw3D7jT72^@q zJ!SOX|7uaVE`hW?uhfX0;84pF##d&cvu(3}1>IsMuCWX_ctYVG<_ACcW{Es;-RD`X zW{^T=06@b*7OzUuMG)dA{%PV=)2bShC`^`E3Z@^9^VuiM%F)pC5**LGY+H2Uw$G|H zJBIck_4H#!ox+UDgc7)OLfe*l?iLTlY%4jeYSPK-_r+l~UjDsssvEZqdbi*$Al5P~ z<-^!F=XQ@Y!U<_~5uE-Pb|~(~d%BCR?}^l58r3ayQA?R%{?T7{*Yy$gBh0af=jxQ# zMbY_I|D{U=UWMne+T1kVs=I6e!D6q{cfIO~F(K{E zhTG=(=Y1JMhAh?N{fATri*V0w&ux9p(qkb#?qt*q4sK0FD0pLPN2k|D>_>8_$ulp8 zyudJG%9p>C=(#2%w?EFPhi{z%B!=M+83IMx6Xx-(0a-J8)g!uo>=8}=-$?|3v+}-# z$^z^SWbm0{+)oVEsEhw({kUREN-Da3#T%u@o`s&OzWx*g?yi zCk6oa6E7GY@=GT;R3#>^Af*BMT!Gn&eeUDG<7@_hYbqeuHKULn-CZev(~>o zk}y(9Ba&|NW$HBEdF(WjlA$m@D(c@UN%W}@J+@60vVzs_o9A4*!h=wXp){}hY`Hs| zdQzwb+Nc?ypqV0ReWV|U46_p2$tx6^+ zi6XXOU5|J~d_`p0ng|d@gCNj79exl)1i`?LhRLR*d%=1NEuwR;0$&>V1IaL0>0S?Z z{RMk?P}E$T%8w`nZ?VGd!Qmyb3vQhOtu}czge!`ebpE(XC~No$p5Jsj5db`hHm)tN zMS#VL;P)YS%94BjuO}?KuuS>PUoWfdqczL{4%^SBkWJysEC2{NpTO?FQ`9is2AOFD zJX;Z(bZoG3!NY3%gY=!=a10S<(l4JZwG=q^6RACB&{-_z#i`pNB!_$gpqL(yh(a4t z#jb7^Z-*jXz0LYDuZWzL^ypfzag4V=(^zBGVv%XcqfBkX1^mh=ZNUd5XhIsK`6(w^ z{IDW)K|QV`s?dj3Mp~XdG$T8@x>j@qeET?dEcaiYqwE$EXfLXrdvw>?!Nv2wd_a5< zJ>$y(To*7OG(Bx5$~}fG6}>UJ{Wu^U!ZSV0&U<((fB(II+yC-tz73-vgEz!j8p|nkTS=(zF+F$#YX#Coqr!Z)HzBM{`2Z)-cA*HL)iWDQ zcbz!S$oDnx213kSj^*=mVH^=DbyHt9OC-OXYaHDMmnJxdJAa)|_ouhX)5kD$xfM`A zS9}Y7=&rGNW+i5->~z#NVG}k6Eb}_F=47f-_IHO62Od0(+mnluA`mq5Q;epPRJkUK z{I4P%mk5=LS)zFKzVq7$ZO!C8@0Jbv8eiP`c;Wmj-jwoq`mpkaqyRLGe{pEb%hd&e zglh-eu#Hhx6JPZ=Jp;V35cPb=3QfDFI7;jSZRS2gHUHnL}dp| zR&RSV2lY6_w%&vl8Q!`~0y^x;%o6rwrXhZn_xOs*yut?(X!MKLlQt)a3vR--(}Hdl zu*``~Wkl2C7+|xt1S38Y#m)e%zY1|RKa5(_I6h06;rdF9|^nU^mDmX z)-ES{77>AS>fKw&?vq-jG3c#uqj>L?qun~%!2Mo{BGbYyaape^^9EnI04%LeT0W{X z$!ubnK6PlO9@Twn`ARS~`>sUtZGma|p9S*|z7&zsBeu&?|Lga9oc33TFxb_jfx}h# zeUfceTal7C#RhVtI)JW!eVIHQb1VVN)-N#P#wM!y^d*+}wcGfmR_5%Yud;f8T*afJ zYOtGj@02*HYOfjPcOrYy9ikD%_ilCcy;}xMz12jc1~+Q;6ezuz)|DzA9v`CgazG9w z&M(gJ62MxD#Ug5Q%16hY$`aWbgW`0RwIN{6i()jjy5Q+%e|lj0{SW2t4vg=AIax55 zKXg&Bcrn~3CgV)`RL-Z$P$;~GluZ5c)BbP z&QK$t7L;HMZATSYd-7dZf8~F5cO7(K4vmA(VZ&uYECcZ2)3I*&GaH6`G2!pcTv(u2 zBo+%csbN0E(C(9k97)h$#c2p&Eqdv)gc}MTOn2U8?$b9XgfSYT3^Y$%R!sZZ4DfUr z1pL!tH6Mq#>`pj8ZIFS&*tWf5XiHm6eFzsg2YoK4_oxiT)+K=6xXxEP2T<({Lu0nH zlooIB6#LAEFF-?RQi0VzMNX?f*?QhgxnF4Qx81S4&!QQ%&bKDxCb^Vur57yn@PII$ z=Ng-JY?MOty0g<+&Ms?4b>S(_Z&8_RSD>?*Ae0HN=-P`idWsNIQ5aX&i$`T4PX3Jx zdJHlo=w9kuFHi*P49HV;DZ-s7ZO)yNSD;b*RdHu2Gr1vxy`u__H2XUV_N}yS$251CG8afOWx+{k|x;v zk0shJ7K{zCVR;aQhY54CYs4V^?#&||(iBVl4GxOSp5Bqxt$`MecgpHBmP;+QFVEFw ziX_|KigKd)L2JPh&eD4C%GJ!8Vb}h0ZE8&_ogv!-t#yMrU)!~3OsmdiD(NFfL5PNK zFqI-$<8CD{NJ|gi_4X~c^T_lK5gW}XsiN!!r-gT3EVHNvn=8y^)<{s7 zY1Ex_U-U~uhH0z3fTG$@LV1m)IZCjI8Zpjd&5n&e#jAWi^HWo|ybTY!N75F*x(slD zc}C6^@5D#|CiW|Yw2njBe%PIvk%4#b?o_xeQ_fESsmQ->bt%4y3g{Wi*Sx4&#VW^P z7BP6f-KjW;eJXmtHtv!|sp<}nt$Bg=7(y%pTxPzKmdLify<059Awj=}n$P_;y;X)8 zTX6e;p1%NyPK+C=!Umuz9!IL<3S&Hg#+{dv@r4;*zb&~8Vq&1z#Yqi%(HpL!zm@er zTeCBIWyA8mip2_CE8fkv5pkY~+|wKe`o8c6+0X0#Z>@FrnS>81A);ks?@*ZcI!qHS z;cz*A$MG67st>9yr3dC8_Dvn}=I266Mb+VcG|<^9^dPB3q#Yh*TPe94icx0i;d;8o*dR-)pmH6=p#+kuY2PbxWaOC{T6% z1)D~|Mr)cd7$EsN?xLgV6~d+1QFZC5ur*S+n{QncHLZ#gk+Q>OhHkPwhA=F?xg$NM z2V!@f$~=^j{p(-yEX}YY^&3BF666OTGXv%xvYl8#BvBGeZ$@VI0v7!|hV>y)Ac>z{ zM6veM(<_1~CECa#0Q_56byE_@4F`V$T*PCU2n{3ibjFAR+O_-pDty4aPLG>RZ&8-c z;-&P?=Gqec^p3)PHL(+RjGHBg1yEs0jl!6?IQhOwAoCnl~GYfD@sXw>lrk4Mmj8va1@XPWMFT`8yR z$@-|8U=l6dn1Co5ogzIu-!k)hot`yMSd{2%7iyD*DlqT36I*(w`_*(~lvuaMJR7Wo z&z--7ZOBVyXWF4YLF;Ya*<1nUvTU6YdguQU70OYG5ip6W z&CoI+Q1+0(UI*y;hPs)rVLF&P@~JxdI7UuEa4J(rQ>KkjO`vpw)N3e3H8L4?W7KD0 zh+?6Uztnq-MFr&fFH&*CEu`B6NuU7K_uvIO6TEk8lTV%}wzP_Rw|28}nS3xzN&#q|981Vn_oNg-0`w@`LpcHX@G9 zqE)V(SpLMLBTQw|nYAmX2U>GQ=A&3*Lr0#AI+_AyxOYmh3zaQ#x)DSSA*@B-7GG-C z5j<(GU61I?!KT$qS&v2681skU@~zr%(Aqtq2%T$BVF z3iBbXcm$^$d|Xswdix2>xi{GJM@|m}hg2}Ow&!9EU#;z5VKs);FkZr2DJb|%#~#*5 zADG-Gv2ct$JUO#87cfU~p+)&28^E!%wUq$LvqLS~Ri}mvZSx%)>!}oE0f8=;i*=Y= zJ&gKDStK$5@7UF;EA|hRLQv}qi82Em{1RwjUIbCy8nmgtVn0q%nT``G_Nxd$!yBKs z;mIXchtR)Fi0mqaFJ1CI{M93oYWFYOU#HDWefTX1@9dv0YtrAJ0fT*f<2d?C2aP?7 zywH&Rvk){h&Z#01<=(2s#AS9lxCt;?CE4spiN%7YoHG=;ivBioaEtPF0nzf-m)5XK zkMkA#;UQv!jmAvKK~iVy;hnSv$Z9l_v*3#96el{ZY7As4wsSIUEfUuZig!H(n9Tyd zTmrcE`VTo86>ozm3I)ZT(nt#bZLqjT1neR7fLj^t)@FU#l3mvhm!I^AL zTLvNj!eP}uMn>8)S>m>d)g8*CNbU@I-*qawohVj&<}i!D{U6-^TJ4X z>OPc=qI3N3uiqPT4c2`;QQO{Nb*%9DHy{o-TE&C#VY3cRQG9d4(<}8_%dV+OP0Hx% zB*a3K^z|}ssr{}0j$;8n1_s?WnCa=hGsB`n>u$5!rm>D0{k)@w&Ae((4ZEY7Ra!Pc zPg{#vQJ_HCeqVSo6pT_CQZ5ah;IDL?P0yUDV3XI`@ApjM&wsL)-^-l^!Nh~>&;N3g zPq+6!|2PYO_p~dK8OzpFit30I^$UIdp~nh|GY<&Ka{)O~{CGC1PwRR;$9LjyIlimGaoa zFp+cWW+zo@AnRbSc%NKXy90>QjF$sm3D~3rfOT>I0(R?Ib6-MrT9qB}`e`5vBdfDG z+eu83&j!MW^gW@;b!t75FU806f_VXMa|F9+JgOD0_iAIv!PyedGY2;rK3+p!rYu-g zT$%<6)?7;s(4XxmbR*i+ZEY>H2{33}?EMKa?{rpEnBFVV=U>3U&;4u1@B4tok%yB} z=^e?2$M?g^!mmC13Q@%wsJIx;gpH zk4#aGob z)g5V$e-6k>*E9;>Fo&9-Bp+4^bKa|$r7FMTa$~}= zD{h1R=2@DgMAtd=h3r2gsYE(2&7AIdVWNGWuvJ-IWfacHH>u3DbQIO}7f^K(v?aS1 zmJhGoVYtc9DLlemKNfprtEuU=ifEw=NXUSF`fz^J-X=kDLaf3Zv%?Nd+zt}C+Z|{%x&tA3z&xW&eblxEx;x3oxQsx43hT&^w8S$zRBR*q?Ea z-xG_nIsN#T|Ehd$ed+w%5xmQ=o!Bz)ggu;lt-9|az9dLC_)uZpEu-7Cof^X9l;}Gv z^0@2|neP6J_Joa1yL!o1K}K=#uwfzG7j3i#d%+d#1r$d|ZPz1ZW{E)M4EeAQ3EZy| zo=S%s+QY`cYBe*hX}a9tR<;c##7vLs7j#tZFHQy`qT^Za zacL|qRLd{e##L2M=d*Tdo3@Egd+^z(3kk9HAgoen4wk7-@WpJrSJ)bQC52r@_xypon0i&;J><1v=7v?=;22 zktW)T&!-Fw|C5_n4Ix_$2l3~RW*aaNjF3J!N?hQIjqA3PR8R-!D??~snKrc4-G3IbIjy9PS zX1g-n#3cGK1MwX(nsvQLt?j_;Enib1S0-C6>;U}@?IdK7Nd5@+9m`-WpX42XpQ0aL z^T^uSGZ^L#g$^BjPCUUkZ025@KfwoyTNB>YLUW-TiIGdOB?3Yd;1!^u{?!*^+Jd;5 zS@yn>dCZuk&WT_~P>T6h`|hI)s_n>|cn`8R_Q*!*5`vq{Yt&{BFv#b%(p~qDjul=` z!e&Sa_5rw24Vn^-)&C|n(9J!iS7PI7N^o~CXwKft#iUTPD0I?-7@A{pd8LPuo%P*K z$ggO+8wqEX`ZR)t&CS)!aSf3-sO=0?~>@ z-B&)-I*^1BF%sg)g5fVPRBalISfliF3GYo9kNj;NoydDoaXxxkHECBWaBh@A!G;D` zrvwWuoWKw%-%Fe^^SV{U&kIH$BCw~hK$3pV=Qom&m)GGB?dgtOL>eKor6^f##iX_s zCz}G*rNT68QI>c0h5NE81%-EUNoHcleFpz@7?>M^Xrud)9tpVLlD>$iLRHe(HO+d+ zX-G>n@-KfEDGk}Tk%z?{(ea`Ee=MnQA~MIs?#|9af`9GQ;5S;HJ-#*-3bHB>MTEBi zKA#5Ph$H`^V?|@6sPCHCPIfE{^!?%_iUO7N3IUyQPEJ+*QSf^wJ*!qULEr_kW+~o# zl3B18#j2-lyO%@H^aLiZ$i(jZwVORV$_#nue!TWL=r|V1r4R()%<+g#b{BILZL8f` zz|gYwBpAn#vLBog_Aky=bZ)~J)%iK7Y+l{f^OZVJnjppoTo*N>Ot~sE4O!>voo=gx zXU@;VBwRBKm|U$%(S<}Sl4T`aYir?a)P-r+)2~)Ml&?Bh;t<6@gN~j^-#vkdlN!wL zYGGdJolyqP;vph1WJP!f;X#BdC0QH%ka{4gqKj^y7V}|uGwt5SrdPnxu;}h ze|XJh-U5JOXp$mg1V~!8IQVa`rT+~iL}cFJr)uFrJ_b09>^lnFQDq}jHozKchT$0k zlN?l$UFHuV8%wpLkNfzPLwLT;SN^cwp^!TZ&KjYQp+;2@L%d=H4Z7Q)|29NPlG_SW zvr*wwOvdd-NhZiHPnwh!6l2El`e_CfR(E5R;>sA8S@!E(NYb%@{cUMaFPcR9-4oh1 z5Y%c+<;9A&ZEuSj7<<);M_J(e+oI%Tn;x)3>CyU)v*3EJcN>uK774jA8f;BS$)EwO z{H80HN-J}R@$tahF40#jXu^{KxT?t?#NrvTcQ zf8nKkwVpF}C>F0+Ve;``X|u$C$?R>z+(SDnkTMgCCc-$fzxgS70_4RydsQ#dnI)+w zYKu_Vc!5L=6D5*m$C%^3?Yc^u_zP2+5h({6QQJz}d_=Cyrju^n#f4nV0fLLYCP#~2 zI#Mp@Xhd)R-*Q*~pp}&~eZaZmea*Tb0ll1YNF~G@iu|Q3SY(p{8%XftCYtOsQDb^ zsDe~gSSY(@Z_`7#_*E1obWwCQQ%rUsZN*YZ+s(-CjTXeOxgn>90CP$Ko=ap3-|U+b zJg*i^VG7K8l7T$qR%CR3SJHc6;*w8cYco~vPHGd_$s?4!{w#!lxP((rVOP^jWkw(q zr=tEZPy_)gqMtBSedZCe{TPxjHdQ0d1lLF#-ijZQl+@WFH%b8OW6j`ar^m{Mzjk*P z6o_0LW9`l1h&^Sn2KL}Yu@=lQ!IQNI8! zo+X_6Tw8K%I=XA8p0HokR1BzG#okQd(Z1S?EO0Yc?Tsf9!%n~f(m-;!22^J3v9{v2 z3%G}pL?jk^dp8Ue{V|>oEb~}lCrVIvJJucb+3j8%);fx`i)+1Xq?V!Ld>^W_&{4-g zbKZh7x!!AtY^U8?C}mSQXg>H%c0!b3RKIs`Ib*4@onQu$%E0Epze}6e?sXhz6{XZZsw~% zdwVmfo>D5x*?6l^zUU`V@&zV98ZETYo-_A5zVHH!H~#zCSbfB#+H$goO$$Se=Uf*6 zhPg6s7)(mI25(bb=0&S!#OqS5Wh-0ihIorMcF7o>tQpNHZ6B7<1KYHay3z&Gjmkrk zT7r{SG;Po)-;Y~5)S84!j&8FHckETY(v8~d6!}Wex?JAN<&W5ha(48*uJ*hMz)QJn zoIOGe`BK*ln4s^6NtsX6?zC+_4|aHwkh#tV`^uB75~JIS7AEW=!{zSuDVG^(4-!%5 zZ)<>%^$~#IP6q~?oJb`-#ZxLTH3}so*c}J@^NU3hDl&Tk$|ChaR@ngc)BQID_hrZ( z39gA#0Gr47{GyaZK>HlJz&eLSMXG3vrM~l_KfJBVtReuB zkp{7J7jWyR9O}@Zd^F-fBLMAKE|ZB;GSpOE`3sL*(W21!efBDwsGF9=MEJ5;*moJ> z>a-SCCYpV8hODXs=r7_P^4C95zeAq|^E$lBviDJj_sYVJ^g(|S&@DEA0LIc}>ZVxK zI&iL|@2c-@>qP!T6{hJ=gb59%C0BKTe?M1tFc^h(5O2Co6vyH7`_?W^u7LJIkASU> zbL1u49GUvh9?6ECi*G8JONnKW`aFiZa)=$;rR~s`xWu1S_Ia#k$L|zw*qPX?&vX*( z2L9@l(z^KH@`}&r+2FhN7E#uWI32R;MRu^&#Nzfsn^rGwOgT=Cx0WgW4yqzAfSMCM z)F7dB2@C;Kyw1k%8sTWvZN^#*7)hncY>s;z6bGGo7aqwSFUYzda5dxOBTMk>A_#~{ z9}Xh7PhKa77EiemV6Yuc510TTd(9zQ5k<}hWCGH!{k>T! zF0#CCXgwX*a$7>2B~)EA#T+>=cuVr=719Tsu5Ats%!aHl9U{eGe~$d`Y|esQdrmb- zTbwP+Y8-<%JC}6vE!RijA(j8^{aagW9eO#fLgYkA{aJBBoQ+FcO0g!;^poh6^fiPO zoR9K2ok!?O`iM%uo^p;pHjzmb!ATgw`AdJzNM1 z{)-7ZJv0R<6Vx`84V?+s)yy8g@6^80QrMlU%`D5&WCt^Gefm@-;;=hzd3fvG6^Ll~ ziNVI_*n9+F{AU_GZ3dJXX1bMS36okan%>#@lQt(0J2vz?bEI$2Vu&E?;Qba(VI&-? zCy6#1^sVTsrln>Jr+_!(=%ax&P87}O6)n2($!o13#<={eE3tZ0%YU2sq|zs3z$)N3 ziZo?M7osnEd&!-iTZ&aM^c|$`rTzuazM92Mx403CKK z0X0@THT^oTZF5xy&8vCs(Rdx`aNK49#m}X*PD4S>85pvB=m3*J!^8FL!kn|JWLaR+ z4{V$glnTI=MO`_CUyd#$RzEfn_h^>%59i9X%y5A!8Kvj%C>?UhwOWC;XFUDwLlVWE zqu-cqQLVAB|KgZcGjhcgcyiw3+7m9;8xs|_KBJ{CV$SgZ#$U&b8~ZqMA-x}W{M#Y$ z!2cIST6*ZGK|c1A>4#8G#L%v>#_`vlDU+`_i%3Wl4EvV(Kmqu&>d`JD&zBY+b0C|E z8YwqNaz@7*))ixJFNIc_hNuv<#j@c)QKE+Ew_u}4H2993oLpmWL}6|iFES}FWd+ij z^I^=thvqMPJ^kX^WeTRt6xL$?jq&O|LvL;>zJb|DpBr{SB!&mJ>5}49O$N|SIr&Ag ziQp%>>F>_hQa6uDyy$u)B&Q!wB?GM4QC8y(6E+pLxBBZ}Vv|L9in@>CgQ?bobKwo_wDj@x z@F|-j;%8jJ&Ape~Oy%mdWC^yWa>iw5(A?3^nZ^Peu)`?UkL@OKtMnzw9*!$TY8pb} zo_z4(U%eTnTAp7#!ph{~HRP8gJgfQJVh7a%)4*#DqgW>y4HGVv4qTney85gZ!0er} z%q<-1oV9HcKM)3x5v@?8d^Us(B3llUaJ}M_zhvWSSWX*#mU5q~@_)o>WZX$V z6*%&97P$&--b0pL`hI^YGuF!9V#ZB+*Y7Jd#J-q3cTI&dT_08@^41h%xYbb>*UIftgmviIkZHq^m|1ajuVLD%}PZ4@$rqHzCpZRz+0iB88WzfV?S!ooo52(d_ zuWHrBj3q$*D{{18Rpg0Y;nhcYb>;AltAoL7h`>F9Gq*}G0^fN&43Xek^LiWQXfGJk z3byO8^!+m>|238G4y`nuBX4q{M8Q(9HM(& z6CO>eq*mC5xFBF%&!emuAE@7q2{=DALOmb(vOn{CU`@hDPVe593weTC!3ZWvyD+MF zkW$4LzRDvv%K15LP$KA}jUSiJXftNgLs!3%9Dl&qoVLSK=>z_#4&WK27aICVPllDl zOd67gH74Cks^{o!Gg+QBq6oYuVb#Ht{pxoKN6CC#4GpQFhQS^_{@7GX;s#mde{Evo z@}&MB05(9$zYsvbBS$;`?_d7(mp}dePv3t2dHi0_Uw`|TZ-4jazxnj%`9F{U>@UCm z_}8Dlt(SlN_VbUwL3e)qhhP5r=hv^_zFfEslxN4&z?T+_2g9d${nbVTHTL;j06b6v zmwTS&cEe+YwJAy{avEbo(dE8mi-iIFxM9w-n&AFB=M z{A1yms7FH1Ks$-pFGG6V?49Kh;kNg9|*$t+u#>{=p71^`>ufltA>I{lMw8`|MKfUz}moYPoom@h77Ro zAs4dU&JZ_2)OzJBRT~%>#%j6Zio(BIXD?n|n-FL17wDnAN)xz;V;d7LdSEIu;EQ0S znDi80%?SA6#1AJFz?~`R3r_app1Pf(;A6UO3$#>y{BLaPmD3;3W{M&L zPsy-O15%L*g>r4$DYr5!e4%@+M|Q03Ft;~$%7BliIV|-moqf_6nQjwpg-8oMV(2+N z>0XTy5qvDuY%85E_<(t|ar$DsDi!?06EkG3M4U&z^`FZJ!!59>k86I#c#cEJ(HR=C zOcjk0HNc;oH2l!Ou+_wP3WY@4GEUjh4~E-iGlexQ*oC*Q7s$ar1-7FDf2;;ukct18 ze(R$b+hqvDSn_hsaIRQE`}rYCFsm=MraG|Vpp-^~!}I^M_Akq}-N=T>E97pt+?JN=h zEmYInlat}vjOif4UZ+BR8z=Yz89URnpeVornxQ zY$h+2$t4151&aH;2WfRMOZR-IBW5YZ!*KaKBp`S<=t4gC!yUbqBm8_kVOt~+I# z@{{Y1h!&8);|lX*S_Ei-%1zxSTBra)Cy0FgzP_8191WoDT z1Gl8#DR?wmxbP1TFTN`fwd|jEY!9>BEkQa_(4*wH>kd!66Y5h>emh26WPGC+sUg;} zj+%{!;l?Pt7u5yr!}+>oQz>hkBIpdGXc$O#EF0f|j`*WFLtOTS3Fm}|eQv^CMKUSg zZn63(Y}8sHF@G7trc83>3CV*$g*igOt91&>T8r1CM9xtIN{*5K)2D+Aih_xR(;^Zs z*Jy*G|LNM>()!p(cLxZtHK|^3UL9OPQKo+iaqV+$v3YCs3HCQ?J~tP+`0-RhwQmV< zU;3xn0vUAp5sOR4ndCzMV>srfxBj2ho}l?Sq=0@T6IIiG(gvx%8(ndYnHeySgD`Z1 z^e%dbOPdWhhzD3QHCz1ne|R1Oa@)qZQesGZ72lo*U-~KY3B|@4$wBq86wJYLuKpi< zyh|o?!6I3lzr+Hc0`d4dTKsJIQy)2n%BGK~FKb_ge|;OLtIDx9QQ>%4H3?g{m9>jS zy!n)EZ$zH(ZDPKMbTAfWHFqITQBFAz84a`>1~beaP@w(mm+yi4v_K z&yV&Z@-0ZZ;cJkq=BV8)uUN1_yfRrl58TJ$P8eRAdxtYZ$6T@`D9IfZHTI_$9lr=O zF7#8kxkL%(DM#x-ND6X{Zbe>quH??dK-J82qlfpA$7O8}@fy_jkn~qbKICb`xP;w% zAeO`FYM!UA*~2(Y@{JsQOulnUYv12xfRgo3Q`j6;Qd{51OQ&UC)K1Sn{!sxEJwElF z>rM^4tkaV-x|RLa>oe|V5C@gZ$4$SmsRfPALiSui|E4}^qT~jdl>R#EGfzxnh7k<- zRn!I(R_nBtH}VEZG=P}62*|)dyM`7ecU#n#pCF-$D0s?E{Uom5g(7-a^nN*O-K=0H z{xs{&t;cKl!Xl4;>_Z^XiU=lV5ZypZ>3~?cf9gXdH1n;BWoQNlD;F#D${X^!-FSd< z*=E3<_lXJMCpbf~A8(|%d1*fC`TJ(Tr}a$*3}>LVQ-mla!ZUqZp&xwgpGb}is4VtR zOFG@R1OcCx^Z_5(*p)L`RiDr8Jpssc|Mcn}tu-s#0y62?vFnZ)M*^NEILK}vK`Rx90j*3_VIcM&o0f#B(Ma|QuaFc9U_d! zcVRDUU%J8Jj%+kAjZx2P)g1yLshnT*s(+xyG3>snhF~y<#*7muBK$B!+A7r(3~@O9 zmFt0`qdPl#Hk{sv3>*i}V0YDIFkc*t(7vR5c%xs}9Dg|uZ(!ugrPWw_QO=M)qpaSj27lJh#UOw$dgWY_ zC1)jh7Z^f(szeMdi5~bS=l85HTEWqcDv5uvktx`!mXZ0DGwr>a;BGE)j?rW;4c`+( zo^3`i^lqp}#s+U-Y~V77!4BzH$G9SHVe!KP=U4p0TMDP{llMCc(qb+6s&?LA5m?S! zW*rl?_yvVxXBQdYeXQ#<{&j^`q8{xJ!y*pb@ztvxr57$lxC|h_btbYOEKv){#j>L4 z999xm?aPUOcnsgQ`P|cJ4aKX2!XU!@7plEn%&VRtq3aLtsmGe{7f84uHXur>BNlV! zMM8)@2tK5`F#h|k38qc#a81Nf(z18hqy zgv**(2#%9RgUQZtILgeTuEvDufJVq*PFGBF@(k4(UwJHHQ#&qW$%t#L72lzRTEw+{ zM{QypIzZNqC1H#~NQB3u@C0%xKBEEV%q4c$g9uwKs$zSmi7!>b0xjid(*V2}+vOj;c=5*Z)Db&6U7cK+G;3Jwlg*a1~uJm!@0!VYs z;X3_rDZexIgh#KKN8tFpvf9AXlEj}jMHc|Xw@o-&9E)S73ho$FrNB>p1a`qpCFWFb zn~8Dm!Q>OqO#DSlc1GgI~p~7kCuC7REKY*j$f-h(?lJ*XhC8G1GOFpD;|?(+5+ z2)^v&$KazzD0{3HO}Lke=y?|IVoDnyy+pUeQY(B=w&*V6G!1vXFPHqP$D)ulMSn3p z>E4TA*V1qM02J?igJ$(@xY$x%q++A_Q*Uao(wXk2dEnBnF$hw7;;_eu$)k2wc;d+P zE&?h*M$HxYV+hsu+wBekilGAI$~1hAyHX;bHAbr+7rQXk=F;7SpXMa_R?1W(8z9G} zOnd>9txnq;fOVqHtuECYOzaUOz!O|7{D%Pu0&}p$SaZPIh+u=Z04Hhampm>cm&SU0 z5(AwHj|&+dOuiTSOE2KddUg2LZ8T7!U8$d84RPuR3=MaoDwV_S(Vveus+FLwy_7KF zl<2nyCHDND`^rUYLDSV-yPK5ivQ+~k2acbQuc}$=Mqtm0K=6e^40M3YLjs3qv$kzE zS*!i{0COY$+S=q$$T3kB)LglX)%pgXjI~6p^;M27@cJ+rbjIp|N<3!?m%KitpLv*j z)s2L+D`k>L?g@knKZ0kRh{L3I2;_X?a~vLUdLJbso-`Wpq&WQ~X!eOJ@m$zqw!m$P zV$O2`a?}*=pN1NO5+MiHKlS0Jk2Kzh-{+^(Dk6RikwzGhf#_&K^}NIfIj^@Pz=SPf z#Y52dNd~C;_~(w=al-Stc6VZ#dymx&lbB6#v|Z}+C&&{J`KTsOSRx!yAiREhLp|eH z(NW=Z+f+w^q$`g^O+W->;tThfg^Gt;uWj_jA|@4q)31N(aT{Y`RGgNwaCHtL+Dkp# zEjB;=>C|oZk%0l5@T$_F;9L^HXL$Zs{ipcu{DW70`lT zuxFl1ye=1dqcEJ~^_zq26gkE}A=?rbr7Hf6R;#Uym2jY`Rfi}tS=1O3i_}MtEWYu3 zdD@V}*2k;|RYS^-VmnZaSQDFoebLcxB2Mpw5x4ew|8cL%K9NOFNH@%h3zpbm;c!>l zmb3~rS^`F26?}lfmARMT^@sMS7d@^A`!&`&&&=DJ&9uf;2A7898_|r_-T%x}t5TXS^OX)9Cxu7r} zBE-W(IOii(z+0x63=ztb60Ts@Ul}5u;I`>92=6YY0|k zy@4+b9iw6;%9`~n7-&lc@xTP8!<3 z#rZ++nhY=#TknC<)e(U%OMIHvH$ArmY$_o#&ghs@g6Ao_ZQ6t);xUbJ3YFLpCK=H6 zl_lVhmPY_By2HvG_^#i^Ke=4yn>fDIrj9|ADs$vs==wN?*2<|(x}d2EvWiXg@L;A zlsLn2T$$D9dL<=+(`?PWM^Uy&fpw2YSA3eQfO*^gsozr{B_sV)u6j$2K&!3X9*OHi zHCM~~n$n$C{%}&-I-x^+`fm2MYny2=2>d*j#6^1Jd`kF-x5nQ9B;`d146S;Oi=Ng% z^Ekt`4cqH!i)8Wv9mG4}(lhkhsK1Y4)uY36#$ z;_4m267=zE`T&!U;I{eb3<0JJdG+yWX8-S&;|++qpZb(DFwf(@2t=pq9oebRsTSA; zpEkvxL8TI%*cC}n*4TFgRLFOqL%#s4TaqY8U#2I~=46A<@*w4XM?ESUe>i!g9Lj0_n$+^=~_}Q6i5$e77<*C-xtQ-jud76baqjDixuG%IGBX zl10o@my06kI0v~svARW2DD`oHqO{n`bhTkKz%n#b(GCzZyKqO8#G3QRg+Ry9Z3xtm zDpt!(tttw;j}f34z3`Fy$c#k_!yah7#f~u%goC@dtlsGLndR36;V9c{AqZ#kjc%mG zw%i8K7K%rixj;d6j}}UJMy8Gykn$G3TQrXg6z}&>J%k>(fE;RL!*`LCKO_{O*nm$% zZ3KdZoY6Q=lJucrez16JeU8UiCZ$ z`30b>Ub-g=IrYSa^v7|bmDMQiPAO~?mzK?ZTyewFb4i>KG3?V5%)Ki6o;K7?i5&jc zq@WU5B431b!i&MR?`*<&?VFIrd5-aq{oH0qOB92v%$%Q|;O&&QPzi))kjE zTG&GpZ8U=rInG%e`k)@m6AOn?k8`9HRjI?;1I2*E8Ip5r&nGyV-hw51DNW}D6ozOw zBIRx|W$K^!#dCpZSpU>#;`*R~3)QEhKS!(fGP>@SusDThmbc?-w0>})$h3c2LKJhJ z(YKl3yUJ=s0J>RhC}<4%g2z5z`U0nwHSzwAx$x==fY0}9VaEUc+h6|nmw)}~ z+b_TL@ALDw-~Z#=zx?&TUHt3#pZkCI*WZ5p$4}qpkH3HW<;UNlJ3s#0umAqb$8X=> zo#NIzS1-}I_0lK2Uq&S~UZKbm^I-R*)=kEIJYIyf2&aV719bqUoZ04MPIgGv-*F~A zq&Y|IQU_)%KSo0dyMFT(;-#k-rDbjTM*McjQJ;*#8Ovh!II5$Su@^C-U?|f4NHIe8 zIwyUiV-cZ+Fe0buF8q^%VtUqvfkgrTJ{hoX%fkw8m4O1=03WPV@>CZ7xLpO}{lp@J z=fX2CRlrpyL;k;C*nm#&pSIXaBn|;NUD<#{#Y%|f40*1P?#>{@^oXuI;8U6&L@l{T zj3bEXZ|RFr0_u}jOTE&HmraM^L*%^A$q&Iw=pXvaf~PyzZT1btxPwLz9JK6BP?c$w zhup1lNXj=sJa(>t4ItL>sgIrvQxv?$98JWUp9@C2Tg&j_(}L0Quc;M-s#|TCJ#Ged zWU^w@)h$dCLKqY#*Ro=nJ(lr%V9z5@;@@>isL&eEhN2|UxOukSSG}fHFst7@h>(1VzMc7G}$vJQaQN)YZge(c1#`HXm4PgAgukZQ?CAi8Qz57yzVS*A1) z{?zl}@+%RxqY*^>>Xbo_J6898R(m;e7MJK*9Z3iif}kzWssGxiJBzF%0^ zptYsDr30Ei*QIyec@5H*gcQ0b=XA$DB5iGRW*Bhn=IxzUaW8Z(>*-g2z5^sFyo4#8 zA{q!hQ1g&N!et0SeQuFRA};n|KJkX)fpF~`3tyGgu* z7z^u1`3cVhio8ECU!a~B1bbGfW6~}^RAB^tIg0X6oUb*$>_h2(G%$-Xj%ENbk#sF+LC@QA!B2RjVgYWMypmF=BfqceaAmmyvrzh>gxoPZPd0{(i zz+xb&vy7o@=N4C_L&j`JG4lpg%PRDu!1w&2=Z>SG6s|+m`wKX>oOzr=Uh>og;*5yj zG9p6DOe;pUIScj5dZU9$*se{EDOpqqhgs_>#&m7$WXH%7YN3kOOnq}VGN{mZ{f#6f z%;ek^Qz&SLwNts1?4%3o$S-%2y_oT{>N&QtQ(kmXl!Tn7&yjOZ(9eC5b9y7Zh^IHlM@}oY<=Rfb zKWoi!f1Fo|eT|r0&UOhxr##^s@Ta&N=m}rBYdRyZO|#x34}|QOfxCmd684_SI;6Zh zf`2IMI6p+NoR9~E9hi$cfYohStSaf-FR732OXA1{&~N1~h_&k8$ehL05?q0yfbo~w zgAgz|Jh^)^w+Al1ad#He6=;`4Ylg-il)3|WN+(CD6R>2r`6{uAViW)FM9 z!m6~^%G!PjV=aY>BT^$WnYRjE{T&<5qKEq>>kEqeu7fP1uZD`oc;k{ zNs*G?mwE?}XP#wr)C_3d`%gEJmyQOjdgC%l?23fXpA5P@=2(UhVkI5G0wq$pss}AZ zV>S($WMR>nrOtxJ*PiBvCpz1o$G)8%_l$L*)|*WRP=Au}505_Ec!nVU;0y-tc)TFpUb({g*mmrF2tXI% z4E3&v^ev1i2nI42SHXGepLz+C74N_Do$89vL?U$Zn+?{d5N$AwxL5zAqX|gq@u~0J zNA?l$TT>$2gtc~}>_8+$&tnM?3#==J!&IBcAcVzSR(}vQW~K=H4DMX+#)1JSilmOq z?_Mcm!{}G6=u|R}1{t#$aWu(#U|~t$zJV7d3mcg57v!ruvg=+yFx0COMHh?SPp70G zS6>M%;@W=k^Y(kVhl)dr4`-+^hHf-YLpF24{BE^65i3!k=|0zxHbE_Sx`{zh4?n3@M(i^TK;&0AXe}Sj1?4D@L{BsJ>Ia*Tn=Asg|bBC!urF*83m@B z;tcTu?n)_J&zQ>W7-h-9E_Wy7ik$}j$~8wa$H~f#iBx?bEXd}eR=9aXVf-SAb|W=B zI`)c14BaG*4+rvDyX^vhcvdWeqL4Ch{6?fu=tq21X8y3mvV=*0S_1Q`*0&(kSBX4g zS-;}1fYQ0w!V@l8KOjhTo+3l2ZRVa3a7)FI9&V5{RA)V4<7Ga>O`laA@!=U|nqTw* z;_=UCk@WncuXg>Y9uyR+zYO%f^Iy+a3{v#tUt?dKNice%dYh|5ZU(1_^%sx5cyR9$ z_RJfE98qRzky1Ln(9+lIkv4kKLjjr0HOp=qs8gs@HHseE`G&in9PU)T>}6m{;|QH< z7fxozSXX<$WyUs1cR=UaCk~I|urrxT5xGV3Q@*QaFqN`P`ct)rmbQT!fT(b1M=Dt= zlSotR5w2wG6>c8@KGaIoM;u##da>>gT0jkx22d~pT6Ds$$#34r)xPrG|Iw?O!WLyP zZ}_~XzA?rSv1EBsJD{16AH+Jp3gVKodojr24#5zaXH0$ihQ$Q7Oo3D799awn9O~Zw zQy#B@cS|dg^)M+MUfk2>LEyZPz0+__t9*P~)Y~RY2ZmV2cU$6TdXu@_0P*Q3!s%I| z`Yvk=4>`Y@iB#I5=4zx( zM$f1d31N}xn2v>Eq`@%^AEmId;uF3L+`=IMd?`z@syqBNkTZW&EClMG`n<|o%erlq zq9@T5fwK$OLC^syv?k|M5o*lccL3aVaQbT51v#v7AaG_-S>Wl9bFphJLC}JiR(P_f zrvIw@>?ZAqx%$gcI>tTXBFIeosoHE@0H+ut>ZDVC4N9N=f+A_SMUMOQ9Qg}8y8fv* z7kO;ETD%~)Ie9D9j|M3+F+Y9Fg; zGa8(Ehc4nBQj^tOi2uB@9=bhR0UVzto6P&G?MGiwWoomS+uByIUfWT_1O%ntK&G_l z6R$g=`p?x^Vhiu^Ko;MU%<*sYKwCDsgiSwz6u(MdK_)cVA973B0#)A6?@7H30|O1n z%wTd~XFmw!F~93;t*`}>shi&o(!ME!gA<(BtAC7|LR7mGmP-4BSgUlhWbTC${$YSgLX>)G;r0!72Z~gY#K`JM)}I5eSKpf zV24bc3XQw#y~!j=ZDySF`a*4+X)muVfPd59kS}y~&|)u%3kMhPJ(U{xz_-l9Rs<2i z`Q=h?X}X+>zjLcRS`L6aB-wr8OuUbuxH$BxX9jYK3yhG8t7-|U9H4wTTka`Y35LQ- zf)rbGy#pNjinoW&U4_isaRtOjf?643XvpolE2(aIgr5a0(CFPI{QR@n{L~Y4OKcv0 zTDUQQu6KNz>H?s2q+8TsiH3tBv7nJYO)dAmf!UG}^cL)Eux(^!1})fDB%Av5MLi)i z-YIyclxv$mAqaY^u(51+G~H!}NNKu9Kj7ZeN$F$ey5D2euBZxKTAA03c(Kb(T}mt1 zlipr{+(_AqIK9DCUdW&R|6vGn!FJ>*!#Q(ajkIM96)9X{*|vg92}3*#%kXrytx(ru ze)p+Diuz!KtxPWQQQj6eAW_tZD!6Ea?ko6pnfHn>-NcQaOLYSWZjUkKjP3Gr-~-Ev zheW4f%+&X5@33E#E{Y}5rp9W?oWCP24)&Y)X$$Z{BlX(ajCy7Our^~LYqM|xpi3*% zLPE=-ED8^VYdy^AM?q28{;7YcxYTJIZZ@aCdK07S8I+*B*ONrzc5dvd1_N;#&O0fZ zed0^hRdj-BMBnw7;b6)DC(|bb_P<|OfZB@&d6fRIE4ES%n1z6O!TxC&QSiW}7Q7AY zmS!S*eh~-?S`?zaSl;*R<2?Sk!(>)sdVrM_N~;~RBpw44$T7G0=Je%-%!!xp=vMr& zPF*d%8pBFGmw3m}wr1Hz)MT`$_1T>}HcK5q&%E>3D6FOksL31+w1DGZXKckVOMY6byeHEA z!{6~=Vuu`ch$s~v8(^ zI;tk|QsIF3F+7w9SIaimYWHPZ3IoK7Y0AV`al{+5T;zK$CY(nw&=l@q>l`a z-F`;!`rX9l-?6L7L4>x=Uio@VPA$sK9Tvuof=E7qJM72Rt}IjLMXdXp3cRRFb26j9 zA!o0d(Q>XkdxJs{hAr3@l%(yxxzbl-o{`UpH<;0y;H?gHsaAk9^gq0wQT9bH$`ImD zQw?i?Qt!oK<@NMXU!krmQ<4l=S7ckLSXeZ_>sc(Zg%-FqLzyD4Lfm*JgjuPUz0Tnd z!V~j+!v;(YC90baIOycxJt;7SA0;_+NtGYv?{`3^sKjND$M}0392xova-7;LqW2|0 zkz5M4A~}#4-gU#=^(Vx<`@H~+nIA#0QEJK+$C6^cFC|05q5o@~v#h^Lgb;Jt=FFzO z`^h}*b5_;^LR3026TS+IcH?tl+}Aaz`qVJ>FU0GP9HIrqVLhKS&)p)g!k_vu8?o6r zbp0}yQaeZg^!j8KJ!rO*gW6raJMXgXuJW)?S)!IXzS1oKu{D%&(E`Om7@aqCs1IHp z0p>>H=12ILEinox1Wn;it7;hbQdw8oaUzTTa?qvl!JuHa8jG%M9jtn`*^df1fbnU6 zmb$fnXSd~I18XWBzncQ*{R#GMCccdQxZPmNjS@7kzAXq(HI#=MB-{hz9?!+GhqE(% zh-8pC8~-|DD~70xhkQt%AjA8%Ko}#8FrQ0N=@&79ncJeJ#lp*HEpo8t=kfuO&>)o1 za(I5EfGDi$K$=NQ#`p=+Fe$>>3q0nsuNln6Y9Yw$|GME8Hw33wjK!DRgRSC&sL4Bh zw_mXUtQE-2Sfwbu2Ov&rH>4SsLl8I%l@Q!eB8IIJULhrZCzTg`+}iZUnu(dr@>?oT zTpe05^%4f!sVsXwFk(6pk59qdC^`H?et_%r`)dZRQj$_dpSW@s3L(6CujlCUK3E}{ zczTU5UL~p%hhLYTW(f(U5)(=}L!#>woIZ(a(aFj+C7*SX2d8z$t*%Ua+(hFxwkq(eJ805_nHXV9OF~yRh1Q$WU0U zA|#%XS%wAfEab!mV)1z+pfFvwxaIEzJ>N0fiwmsU4JswvtY0&C%#5|tx6{PkNQs?a z2dCfdaEKjMHDhIdx{hA!j1R4r8-ZCaevrf*);moiU~^{ihhqdR&F0nGAj_Y|)Rdyu z@>shH_=B7+3D#ObcdZHzHGLILn3W)dsmxrc;G8MduDFN$^QU~ zSakquwL}EGdF6PszW9Z_)7Lrop`?PKu(-;WM z-ze&aDWUifVj0dJqd-Ti6s*Bg;3e=!L5%c}I{ZqOjlK(8BMEuSYb2Kx8Gh=6Gl`nb zk^LVpQXHqVwqE0$%3$o9W@h2%SpU3tt0o0z^btx&+L+g385(AJbb6}IK0>ILFU6a& z-BNzslR}|Ze!TZ60BpDf{V{!+2=`R?p-zO8ER?6qeEu+DALh+DbV%I{*nFhSDU4%^ zf9g_5qJKf3s$W=&81DiuPj^h&_M%-z38sK~tMp*paEj7XF$(^)7o#u+6z>EPo>WpA z4Tw0T#g}w}Di8xK4Rtr^L;?2NRvINLWWPdIv z?E#S3&mCs8OVzspOdA5A%|0jkqFN3v=3_2N$6LJ2~ z3)%2emVH~>YOJ6 z>n03g5;}6HBE!8m@T~VwTO|t26&E9Vga{bZDkb_mHXJDX%g5SLyhT3Uu143&cgqxA zEA()y;EPiMSpD)K#ZLnwI~UA$76Y$KH+vUx5_CyOl`C$o85bC^MnGxRnyNZ3zyzZ1 zM)3@vk|Aj16XFppm?IRSyx`e|?*`?QS_@>GYq6}N%;!eWkR5G(FEg90GsV&4W2p>s ziJb2ii$~V4TJ=jwB+gg46DnrUmGF95!B;N*R2)&Bc~L&&7d0MQEhBiTq{e$CoiyVk zOz&_Eg2EOeYmRsWfC5{{9DVRf&k{!tSGUb>fOS**(em0(&_W;69b;bhb6~xiW4_I= zusq~`Wo|o7RA`dqu-uBTdar-c00frdbX?S}y(*jweS>4hyi_sVLUIdkza<8Z@k5ZK zaoB2pXUl+y_Z-C!`T@Z|Jn;iTO*~QXas^NR9Nj3yQ9$p|_`iSq%isR;uRneJ<(K|_ ze*X6Re|-Cwzy7z2e;xmG|BwCp+mHYF>D&DA_iw-a_&aps$AA0v-+%e|?c4Ld3$goD zqgZ0?JBH*Ye0{3J&LxcP*N4I#x(WL<^jn*y)EuL`762k70zlyAeprZ)kRdM;vcXG* zB5TsBfx_r+gIBey-#s8mtfnG(K#sWyQLKyub3t-iofZhE0vU!>qCREJqBo$n;zXvO zoD+X7ks8cgUXMg#g%Hv7-B9V90Bzd`nA&3bLBp`EF40x-R*hhzhb~*y_;Fli;8cK9 zK4pEhhxs$ERWTInc6yGvQb|)O^I2?$nlhK5>f0p)4xnGmK)}&I^)APgyu0K>)W)LI zV81V$E8@BO`uK_GzBRXXwfzaa9@6Wtm%fvXX?Hi|em-PU{J4fLKrLhMltI*BRc~%e ztgs;L_n*si`V7%jpS^w`KRM?u=&MVIKo-C|bI|Kb41*M$%N+Gvtd9iP1N)~%ryBg+ zXb>0aJ;*q>23-aeS-jYSoiuO8yJDnRx}^<>@ye0kV;nSg$%*bcb6KZEY3ItNr^mgM zH04~;>Eb=O+LR@-y+_EzR1Hi_fC5`{##L_~CDtOLWPL)c%0ngIDuoqd<`Y`T(YE7? zD`>%UThT;k3!1WdRN4n1E9o8u>sly8pC>q_q&qIoDSYo%qoh>lXvmn6edEC=fgecb z813|rTXZ85B>N}^eoC47GC>I*X|q-8juPTa>_;KSqloN(Ri>V)cc*^(_(dJ}0vN5L z6z&|VP#R{kn*nJCrm2tYGI{|LDQMvnX#t;;C%w7Yn#a>2g9sR0k2M42w`CLLcdTU->ss}sQYHe_B5$9fFCtpy7X1ymflRy~I^K`RVI^LK zw0S#1FU184cu;I~(Heh;v7nVaPmur1VdN6O>!Td5`*5c$V8{tBFcLwE}7GcPbKmHNh8j znkMbLAGF2$r!{M{#K+L2(wB?Wxgq+<3~5d5hvTeIG@$*_Ef8AULho3EV-0{4U48TV zJNzR779tsXDTd15+cVN%D~3`Dap6PA(7y^bwv5ThgHn*-@Wo5e56_n=9} zBqmbsAidc20=Bn1EoWW{QBQViT4N0P;&`%9eLUt%>Tj=`w}mU0p7LfT^aqU7!%?r7 z(`U=kX=NREF9NmNK+H8HXdv%Y_$VAFR5@A_&f;K56H+Qv=5@&^k2m$|7^^L>^kM2~?*SCLKOyj8m<=5y$f#b2^*zF3aX1NShA&iI! zo8WG)9r0!1qG)7=grVLn~WaCdaa8uSZm*3)+&7m;6C^aux*M|mtuJD^>V@+|s$Xzl-{ zW&@|8nwW}_*#3?Y?o5L}3E-?ssVT@Cz6}ZIgY$}hj!D}+a^)K!c!c&KdA!3~2PWh;I zg8%uy|4)G8wlpQNiXH_^Z4fs3UMFy6)v! z2{;H^&kqBF7#A{$ohHbqPER_JPgO+N2h>pS?k0m-5;$%T zQe^MnlU|sHQuMK2cLDthS1&!?4fvPK0&hUjZ43|Xy%W-y3NoIrH|FfGK${qV7gR7u-+&`K6we~H8%_TjXC`wWx&8f=Aw@<_<}hAr&e<@NXRHJ+qX;ZkLFf4Tj1ill->3!kL7b1i zg@H^>R8cu}UVJ8fSiI%^!&bv#i4qt_W*^_@G^&`y5Ticm`T+tlM04HLk~q|t$%?Xm z*fG3)-tH8*5KDj&6%}_P?GD+hBA6$SGuqH20V8bs+WX$jyxGR5-uvJ{;ixwSS&ruP zjIVuu9L|&1-yRtGO=-P)$xd!xn(vQGhA9jBUBMR8rpM_88<;ZNlHJvPaB$chzb(fF z;$(`HIubZAU?K5ynrsI%b)gNDymD0}3LxW|w%N;ig|t3dP)JamAwJOODC2o51`t5# z7a^RY0Rm8lvzo8CPff-0bM2Qj%pf(f$(OlVaTiedV=&pQhZi$#WbsAJ4d!P6>j0Q~ zyrv7{5^UKHH=t@3BFqNYH}GcdamQsUhnB z8e4H@CKmzm#7)Rnv`SP0o*Ivmp+fcX_?}#+*q1blwqeNZw;kupm&ui4z6(VkJZpOg zJjt25NTe>gK3l8vBm{Xb3?dL8HKJ0R3wpbrI#Pq^m5HLb4xjK92__Y-pBOJ0zpC_PFcR|`#Mfyl;0EIr*6At?_Y zC8|Zmwi*+K*5_s0ql_P8KP{N-uD;LUs_dUdeVId;!4t#d7>M z!adT$mfAgcMH-~SsI9C|WZ~fY*#7e$e7A>7&wASb@ifcFr`|)teOA^OZHb;4rRj(6 z4iMOC6T3$d#zU5GX60@-p<+iDo1SG8Hm*=|cU2#OhpU`uWX4y0Ar|%qt>XDz6fCZ% zfKvZtv_HV@$nPDQ-7qhtz7mnMotl~fQ1OLo%D!(+Y3HvVh0vQ0+GHQ9s5dwdi6B_Ce;R77iw91G;_V{JIXXWspC6>Ub+^y?&TWX(YYlf>gLt-k z(xhR@F46)=0WbB4@wU8BNH1{Q?Klm!SPZZ z?aeW?61!B`74k)29M$`RS;=5vj~JN1d5(f(EZH%rZ-4`VM=J=%we#Z`!1NrSW`CgX z&OXKND$*hgm&|g2*W<1lG0Oo4t@95!w^1M6xO9N?2MVn-2r`cpbpX6=30&uYOnpzt zo8GMg42>l)%e>Fv_(aky^!Ofi2lSmEkgsLd#Y<#MbVLy&D17J0QO?Me<`KgRWl`Ot z%wl=Y-xes^_rCth7EENC6GX`rbe){_1PN<)ndQuPeAo?`Ep# z9ptyimSY*%WYA+7gqr%M4K6fk_^74NN9{X$;{ZD3pMo3XR9v0=SvL`Q$G7V-H4+N! zIj(zhvJOI**vTbzgBb9AB{FvCxYB@!nD<$I z!qPF=<`_)DiS0P7E&iGAAAbEAk8%ENzESM%ZGfWpt=*~Rt*eEdkaOj_2<$~UdNaDn z6-<~X4yijlV~*&gog+)@SwIkmRrgUEXjMg}1V(mJ^J)+HYfvkwLs}ozB|N#&z-Q+@ zNN5d0Ds^~xxj%XNSJ(6cquTl$9fO*LX-ybtJD@8|rxJc<6Y633Qx0RN4h;FVjCA7D z=Vg52$4Uk0`%}^9f%t-~`+aGL-N?Y^3XGICo;4y4O_;^56x>ZXh4lePvHBPN7PJXX zANK3_5HKtBPyIyxNJ-*gzj?yZ*2FSnZWCq_oXDHdrDqkDux3iO|-O?ikzR>lAzF`q@YLcFX2m| z-V{Ie?>>$V_go>KOXc|`uvhISSirKsBv@olvw=KBpSBT;u!WX(uy4#euWSr7%PPHE zPpG)sTZQmI;D_$mpU38=7nCHxPJtvo;G+6QytJL-BW55E+2d3WkkSN`bG0zuYW?5F&;(%0)LT&PQ&qFG5C^ zZY}-^xbSX~-~Dylc4cYm8=C_%bx?RrV>k#EGffTn>{Rnx7ptBxc18b&RqZSIBU!vs zr}!i8)u&Dx&gCLPnm#q8okMgc(6WVN+qP}ncE`4D+eXK>ZJQn2=r|qok6zyFtv9)Y zJ38|-sH#=9_qXQ*{8=uk9@+{J=dQj6{%Aw~(ck7!GP7S*ttvw|zzg06*2pSM=o+yU zT*@fuPlTaw+|QI%+wRxZhzRgZw~3RTg9oLOCc|QB6H-r+{i!ddxt(x6%tt54bBE_a z2aeJmhLtIKIM65a2YA2bV)&iL6qJ5uod9*})*AsdQ<0uq$+_YQ>a;dFlbe2Pz{kSQ zjzzynP;D4{{r4q=t<(n6%GiP!GmCObDDQA>IapeYp8p81d>_Pu_G(OB%~Y(e{ZH5Z zic{5wal0|H2p@>bBqFhq5pKEuyE7S9o8a4Q3Rj_)+$9UH_jam1wZJH1ID4bKGv25p z+{_`JOA?(_M}$~ASFEVAA4W7pf%(*UmESm4fjCq9_$>Zzckq3NsJPvQom+cF--P25 zEPZL{ulSp2h^b1d^2e(L2ziwXSLLsygr(#!<_^;CI3u8OT3jobFMFN_dNh-h4j(zX!y|CL z@)$AkCC6P83v?DCV&6!f1dp3fgGP+86c3A3A1dJnUOl_j8Z?v41T}mH|6I9Yg5Vq@S&;Z?N{GAZ%((g9Yp|2QW>)VBmXWXoq$9vDUA4vIC~=R)5sq z;zHayDgBht3Py267OiwxsOPWTm-7od0HLO4jQryRp3xL5(vl$S>73%m!Y))UVwGy^ z{2Syv&5J-*)AG_yjmNAfFj}uaxkGHraYcw!OK#hn!GM54!{KxgFK)Gf?tK*mpILZ1 z*vK5kp&N80lLF$K{iYRrw4KINU<|qGRh>8qh@hPuFIBv+ogGiFH8E#Xj0YJl&%fqtkm;6*Fde`R<{(3lCTsXHlC@# zA0yr^z-cq$94Xi^9#G{cK_~FtTZ(MjK_GE4q{J^-BQIY?8Wr#jgXp-=V|2_!No^j| zX~RqnOfWwi`78T;400DJ*YPaVJ}U*6Tv1cFoF3z3K1d@D3@|ir2pIPr?SZHIQ4pl; zA)%{6`ESV$aseT`Y%c^7LQFe_#yO{)syD8<&5Tr1#XijQcv14o1UR{*hjZnvCgi5@ zL7+#!<1vJY8ORu1UL7KL{*o%@tA+&-Cs#Qb9nd|cu&nf7lCFqinaP)gFT%Z^ifF99 zGN~N&>x#%=Bx+r@kOR8KH$*KSQZtJ;TS|O^0nAg>d0{+fQOG7 zA3F5tM-b1Ji^F$O=EK@TC!xV+_;g3pm4hHf;H;Wy0)p=shk&;qarjgD&r*A_e{OEi z|2yFaxxV)8epi1KaQ(gVLv^_$6!gm${QCNrP8ble`|;|~|MkvD*zf0qsw7pcgjm-$ zv!XLz$`!JTTTz-K40THy*D`wZz*=!*trlNdgLibO!8Jl?X9p~3zg|aJ3gH(t?;Rsl zsQtlabr?jawWmuZp?rlhdECMR^sfr@se@a*ds97uk5F~xR9m+75zk* z9Yso9?3Tg5Adj6zaW=wF}WGwilYsm zJCJ{-x@P+UqM|J`YFTGi#5&Cg2<`*5J0|=W_kkvagH`%6($>fy8|uD14fL z@ALKvWteP0KUBFNVfXyWFzui+AYiBR+HZy=7EX1`7$a|Thc64hkUSc)Na`j;E2KtG z?O%Y=PUGmhD>+g;*5E(gg$t#Qx^Kwy-~n>RIkLc8&p@#z#&m+l#{~w*eL(qc5y9h4 zAQ=6u92K*a*CKwRMI|$5nZ(n*c*sE$8&^sK_gg21yTKThz8)Z$WoQS+z@< zU;~r5%_-~3+}Vp0{%(Y);j~6RQ7T(R#5+C8K$)`|_ow20LgRr3UtZK{tBaHUWH*H;9z+rM_kGF1t^rFw7f-K!b4h)e3HPb|pe@ z6Lw?&2fu8;JK;@&b0uTc8lmQ|UkS&jDi#`@F=EqE@u8&kF5F%R30z*>9xtfE4WiEs zHFh2~IEe6Kzvp8pavmM=Lrr_c2Ox9`mYqS2klcb2X_21J^1TUcmvLil+Tch=Gg_i^ zh@m79@+W6bIC$*J`^hum2HKAiBtsE?r>+s0`?vY23UZOlJ>{Ay_Tm$?q*6&ZUZS}1 zmk-jHb;35q1bID%>a`*Qf+o|5o|qHR_y-td4?PH$*sYEo8WhOWZc5mL_iWu_7U9j5 zH+0}2xVg&MwmC|K;}SWaR@x|HHqR-1D_tt?;`$#`IyN%~VeJ?6t#y3c(S}dFAeES-JKL4B8(n=N zwTvdMY*E5|-{wR>B-v{#E;};+dJvj=yKz_vNpK^Gm89 zWb6%F(ijn)jHr)=QBw+ve-cAUcw?_VQYM@f#&B885sW0W&7l|m>)%Q$`E?6xwkqC=QN>4h(c{AyuIfD zAB8XHZBA#@+(D3b%K)*))+vq3f#9O8vLTp<_zTu;vgEd%;1*)0YP|89-L4tJ#mI$bZx^hcMukkZvK_N(_{v?&9m+oPrnNH%d;8~z}R z>QIk0Z4RF`Gz7_OUwM3xc{O6R#!(MH+TLyL{-4uX`+7wMDF2;RFU=k&)TiNYrF^w# zZcG*CDpAtX7%G)#mI62;hOe`d3NfB39aH&DOT!i)(F2m4$FEm(Q%;C`+WA+wITU>$ zf9wwtw~%6qat&V*eIU<&mo?7Iea{;jo-p;s<{NjP0zFHkQe;Mk)F7WsJzrK-Nj0FW zER>Ty z*gr)*n3V6Ft3DI%SOE)jh}!0x`_66v3K2h^tRevS=Ez?M&ip4%l;%FGw5u~6xJD?#kBegJ1|=J*iV>6UCc&8w?lSLl?dIIAZ;j)6FC3sd@LRF(6`Nti#?YeXc1GjIp7 zBB3*$wv3qI--=}Yc&Y|KLW8UZnn};N$O*wI30Eg|)gBrj<#rVg>e84m8`3;)>Svle zD13$SPKPiyNoCI>{Bho$IoNCiAs(V>b@T=JUoH{rPZ8mvl3yN%=*bXX>VFUGjASNW zjAWXmWNDh)ly*`18rpafCS7X0>Ln^vFmqmUV+O!$TK)~DhErY_%TEt%U3Hi9>k4WBq(=~1%8TX<-Ky9}ol&8fSo?l9}^%l1@@O_@|%}RhEdsJO`UOC3} z>q={M3!6w_pg0joi=+Rd&~P4C!Dt#(Z62;omuLl64QizzYqyqYOKwl3`r{6h# zo+I0UUa60pHR%pHr9jMKj{_l<1BkB3$%hJ#czr17^p=Gv4};!e)}zATO>yKk{>{sH z_?Bvo;G!8Pf1^srP-WZ1T4l<+34|+_Lx&3=Rcf_;V40_mM^sJ~Jcz(MJ6daafasU2s=O=?>Z`{Px_nd+`x zlPh{2`bF%H_sx0k)gCZl)qvA{B0YnwD@|ro7aB|aSVdTPp_0mafNZ+(w_TdyTs}lF zNYyA#X%4|Mzx94a5rG@sem8*tBt0EY zbgupbmO~J|sXMYC2c}^=N*%6sU!a6>qdxw9^0%DYB0Yk!aBlOP>Wg$>9uw5yq6yH0 z6qmUi--RN%D1IS;i%WDB>E#sZrZZ@*#X|w=Er-PH3)VW5cut#i`1WaPr&knxsTBh7 zk^uJJ+ddRl4eaHhqVMWu8O&!|B{6ynR`7?>-7IZa=DN*g45M?Gsvsc?`cfRIozkw+ zO!ti?1Gq8)?=~LGw)U^Jge!Y>z+bS}QyI*!@>lF9sro0HHya;*i#|o{F(3L0-C`sW zesnd7_pPA)6jrK9dXU|?xV5P0f+IsQLSi+!1Y>re{<^NGSY_vNMBsEsKrO>u^RV~! z3EhH2M<#dtIYX7-z3bCq=^Ney2%WYEmwiRrl}@OG5j!Fnt=FBodBchmgtPKOJFkD% zDAjGu`i4}k@geE>TCMY%d&njwYe+gSOZZNA5T2UUOiiKDpK)))et6x~=)7t0uGk3j zOGMfBLf|JYlZEufnlq_9E`LE-`Ba2AjV!)A2H}%(BFLOoYfe=_HP{74okXkPAM(Wf zjH2bIM4JpNLf0k9=%}2%R_72h2F=EU#XSVgOtLCHyb#FD!Gv{!3H#W+O3}v{9`7$x zeAHi+7q-Q=ZXx1viuYS&2rQQ3-qwUBp^1hWbKQ%1Wj=H-)yD`z_@15)vW^y13$lZ^5>Jzo2DCWQ;FuYI zq+#$2t^InyrUk&CiSJk|K4p~Y;2(>r@8SaJLK-Z4rUu#zja7`f@+nE&S@ifgu<~Qd zmc$Nc7=`g&U!zlwHc)l-X*MG_pq3W*M-Z;4Glm+csH0f{@{JY75QiH*c!qyUXzb+ zCPVDYkp`&+tRudiuN?6itZbov0|gwUx8q;glhdW6T6_@q<~`M0$Zw9cZWLF5g+{CL=&aAaWQIKz24gJdgObM^q~ zo%*9!M?#Fq&hi>a9Vd;U-Dbl`ZpayL2-N4Ib`a$(L*j4h$ZPsZq}d~@sBDf)u~{ms z9(C>VR^EUt-?}rY_2%STISSi0KG12QxB6vX#;E|y-!Ai6``Ft@mAK9^!;Uz)BG~sC z;jy^TUN)>B&|^*;-PlhAZzyHbYyX75D^FzeYhEXyGkjgTViyrijJ9NWr)oe8Mjb8y1REzjZl{KAv@yS+u1fj5$tqM}a z5_%w4aDst8{jd;`obN$;dt7xVLtWDYeA0vbmsT)>pn<|rDLMER)Tz|+vI)SE+ZIBjMVBQ_`+$8zK zf#2QB&p5{12*T;hs1&PHybO(A;KjS9`>t8cC z<~}zzvs_X;XLyr&ufdU}e^P)suV*}gxAJX;5%u94^ye@U@c9P=(zm5h^RqEB0jB}2DvCAlUB!^b5BwRkV3rc)Mxa=keXk`_NAO)*yRa1onQa9anHYTa_aElup__fS=)*r zL47?v<-tdzR|o9ikCp2>F!*mGfZ98Y=d zgcPsVxiB?Sg4at-`GkLS(pr##O^F92fOeL3H`I9U?t@f#L=Ksy3WG^GtO)vrETFZE zENOJ^TNf^Y?8y)2dclT!?lgMkHCHGKnAFRh5SX*Nx32&@n}%x@rupc`LL(p@)0}$L zBYGc&qMN(BffN@~ZPOOPH5g(S5ZM1BlIhWg1=Nm<5HAVDrI&j4g70&``uSn15L%Aa zxZYK@dc!n|NBFTYmHMZGKozL;WTJHT!+p(WWJ>F=qf4boybJ1@TK3d)!GkXCCzXiJ zXIbwh`)dQDB0f}Mf~^rec!9TnD;x~VHZ4*ZaYMhV21I=Og1Qa3w!?#?F+$CSi($ex zxhNTf^KWfYjY>`lW6Q*I=T^XtG>s?==0?tPEfgNV0^4cB}C!L+K#J(Xp!!dFH=xJcd0A>5JODs}|x z7D>Jibo>Q@Hv7mi$b1op59wWrv73Vl7@r}peq?)*{UhDkJ?2lMzt~w+DX#+h#vA4+ zi;;N~Z~ZJFn3gJs&OJ_$Kr;24NF9?wHPOx-RF|{Ou<{eEg!VwLI@vKXVW3rwme#7; zX1I%Z{T-{lavDU^pNe{L*@l!Fq3d90aqc%g!V~(x@G0e8u1l?%3Yc|b3*ev+o#Mz^^>huNa*r39AX3Zv@&PHC~J$UYO-F>vbi*Ulohyn)| zCWxh{)P%i>;_JGe>tr2&K*rg7h#$l0#oV?1mQT%y0m5uYLr042#7Zu?(A^KBo#vkiTj{0gc@~;@>BYsa(;HOpp8emmEG>3 z&}9|F=1E#d0*qlvNb%|9qJM%@+6X~m8cK&x zr_Z*OSvL2kj1~7y`gmYi6k-iMsPrrp zEsZc$>MdvkF8Kj7qh`l^;skOlgglLl#?zc-xbEo*pc-!14P~(lUC}?K? zm#e*N-Y(*0`zNr%^O2UQ(DG&Lqx*u(|daUR$%5qL3JGQ2zVAH z=`m-RRagNR(6`u3nxFV2uNc2DUM}|A`rdOmHE*^RXYcV)f4?XU+AIsw7lmJgAe)H( z4k?0V-$|0?I|UMF#yGh{rACA-@sRr`>_MxM<;R=;LkhN+Ms)v*9GF0+AE_2nd_;zK zIz`8(^$@Q2_H_EP_RX1>i;nkEl412(xhYRcS$>?|X1OOYbz!HRy`>=k>PRwMF1jF=cOC%C8Y5 z`$$uxhz_LLL8_&VQPwkEmummQ$Q3qd1+sz`V!3cWi)jQTcmSOIP@ z^oGM)#W$@D0mjLZK2DixC+aNbEo6S#@&)nxa&7O+E*xea(y{j)iPsUy^#byQ9u4e? zT-g8DjZyFl4(a&V4W1b^N3F%@74<}_xCTk9LlMs22x z)h!l8zOu5L=&}on{dx7i80)kg(AezHI7a9u-H~9xs|d-icoJ@{lB-H#Hi4h^kb16D z2k^*k869JVp!(bdN37^&Y&&~$cT;k!P{`^ys(e^EZpemM+FLMJ!y^h6w z%lHc8u1fy#zePrRiY3htkqFo41vkOhOTQ}H^8+ZxbPRI({4uQ&!r|9@zOn$?C97LU z(F;de7s_D})LOtki_re3hZ+d5v3hsjc~zRXI5LeM7rUqQUv8TJ~q70VvG z6Wt-6Yoi+}M`8l&N_Q~RdC}?ZT`+wH76)Tc8hIpfQ{#Buf4P3KP24_C##eg93eE7u zoc642U;YmG*%v}sJ>s!)%TJ>u*1ynO@Dah$36y1y2z$?gn%5uo#8QuF`Sg*wukHNA z7jS}LC7bH{bYG&JZWgOC2>QZGlL0kY1>^T59Z8;%Us>2EVBAY-x38!#ryuOQS~RXT z&410G+dOU!zP!I^o9jDzGfaG;h-z-9O^cwgQ@{irJXC*;4l@&bJNt8HTlSmMFKIAr54*;ck;vf%qUs8>B7E5oSs>+(@Mj0+t*Vc!w4=u_@%Wr6~|`@6lj$T>OZw5_obbCybK&b%yQqk%nHfVFCV zHIkh@g4M*y@+i>MaobO}fO&6AaX`m(I16jJ4)XA17qI5Lb4ReK5qWoKNa7WiXQngL9kP!vvHsGSk|axJ(L# zHI%Zxdm1b#<@A=Q?7>tz&7ssVZelpNycE=@R-eH zT&=xMluy-s%u62(l-fVo*B+s5`o3OxgIj$MnnaATs)A^Kj!FYtIYE2Qb*9}6y3&qP zf-Fbr2}f+SL}`b)?h8=nPcs?Inu?-jYWt!R0p|h;_``wX3K~z|gs_S|dD(z!3i(A? zq^Dn@BWlf-MuEJra<{KL=Y(_D2ta)<{zf3ArCbIE-R|`hLsyiT`qV{s4b!?^z=IEi ziK6l~^uT@YPxR|eY(Vz16`aJ=c4;D5J_LSEVe}S2%=T%~DyWA_7ot_qqkq{y|0+Ye zaQcyw_vNBx&o?E&brtfmyPqD7W)!G$+`7T8P~4D#mcJBy9vnD_Rc#)p4A+{8KI0=| zazgWz9(8-dpmV)}fHzm$kYtpU`0SPH;T4C)m+D~zdEwCnxCm;DhkcxKBYD_SWms1P zvKcY^*EvYvA;{kVBJkwYj zM_LzL~@_`W3?_3HtzPEXj>Inbm;duE(uBeTV#Tw3un%q|H_b~E_wG<-2S{|#B_ zC|{VdHQsV}M9N$`pajdI@PZOxuK?MF><=r2%(vwli(1^0QN9J;JNAk+XX+H@32F3} zfRxns2bqTw)=Wmzyq1rlM(C(_?J?>;BKSf+8Rl|gK@E;Ng;|!4WUtzV7E9`Grl1-D zeEG5~CS2Ypk zXkmG-lf;G#+$)1wvI$v+qCe4&UY{^FxO$w=WZNrq6qP_ewl!KZ<`kwSlIMLL;5$0N z({t8_YG9v4j0i0>3HeP_ub~61pcL$D$rQPjTp^|(-@72L@pIgGmvJNcQKeJH+BXR$w?JIwq5=75p;=DzzFVr%%VU-Y z_5l?7mwE~dgKQr5-EXewO+h(r@K^o(mNLd^JXdDSE7t6{@2FmE@avo~EO&DTR?d^B zI_9R$LjKiYYD75rf=`}4^AIXc(A}sn34?H*8qZsp_wul4Gq|$5j;hjH6fPQ=y(jSS zzjKf6XA&R+XErL5eGpxz#wOv>td$kGOTu{sK!3em=2~10__8;FqN6k*HgQ&#(t@5< zKI4-TwXf9G54`?PHAdbHa*24B-6Gz^Gy}4ocREGzjL?u1Wpk5IQa2x7uSXwu+X#HE zfpG9I7{&cgG<-%qhH-FgiRp38%~&Q+DRYdy=d9LiCp|6XKH)noer<({J~!7q9fNF} zu-zf;LO3^7dxnHUD)Bt&^z<_jF>+N8JApU&CM+nuqLoAXwc9g_W_5dtK3kY30+g{m zI9AMu6bIQu1GGFY`@ z%&e;Io!%-*69k_+ zu+n=_1He827d!C3?ei7y0GU}ULs#}H2%JdMPt+!baCUqYV1(Q7BuJj} zQ_k1bMV$*3#qhU8v;2uvJuFH04ZSU$!R$U}+0(`f5R?2ly@sEByTe~uj_T38?u4iD zq*{@PGDDD~-;J2zizO;AE@*`|s=J@WRG#?QAhkAn^^QJ?E6*CusZt8^o^uEpsSZl} zZy;3nb*otT6$92Y81pB=H{me1BKLZOTDoUIimK514tj1!$k*-rSRw9kFnHKg!I?6k zkiUTLF7GNM`ctl{4w1Xk-venuTllayJ8`*Lnb^Ks z>m8|#2b{ePh*r?@W#rc?r#tt`h(Lac995uGWJZy@&*$y)-tx^$=kWFWqEmhqLra5+ ziN^~_l-IM9)0TD1naUn(LVtj+>j6UU6su7{<{6eliBh}}#y^;IGep_pB-3o^ zAnsj5QGg0BC$h7+m<~c;X8FlVfT)SS;box*YJjT5L6`8`cGgWzHb@(*Z z-MJf`!-w_1EMKEU9FwSQF%cVSx?|Zd^s3+PDd-}t-T>0Zd;{=fSQ2|b8!6T$f?p8? zjq}vnzGkJOmUh?}u^sw1uMej4w)8gJf>Qd@R1JI35vz3y+?{qonIdwN^*#)O&b*&+ z^hoB*PsTA$421xlb8wd69YD_oU%#y5qNyMW+J;sBPWBaggy(Xc-STy2deD5Z2(v9ax?cFm8BCBgnF`pL5DtH*HUvpc|dRu|%7q}l?xSX%EA?&zAm6zUbNBzas8FTikCuDM)gh7Fh~$>%1DsHOyD~+ax`dB3!Ud_CZR#8 z&qv_l#C>$yU4g>#*)Ai7jRy&g7vIlSdM-Nk*>Svl^XI?KcPPNc>wJ>+!|*cfv_F~& zhZza1>KycuHM$Aa6_O=~U_H8YC0Ci|d#@>V`#>>-m9H^leNj5Ydg(mEQF6l;o(<_i zas}$~EQ{~-QLPw&C8*-G@%2eB={>J6diD{^&bIHcP(D90=_;57?b0R@Su63zI4Alo zm527*lYjrI`&UN_EehZ)M#Nb|X8DFu|c#oU% z8aYlM(_^AFeRb+?4R$vDi?1o44+AJr!o=pHx_J0)+Q=51*0L`{_<#s4yhk@VK0BSG zC~g^h4&;YZ^++aL-N5I3SJTqlKfPrOg9yGsN96+cRn3IgM?o8 zjX`~h$lzxL4NCSXEy{Kw4joN8T?{?*kqDPt-q`;_U6JI($#@c{1ygbrUI|a$Fq?N{ z0kPp0bv18KpkxN+D-%MFr_JJV-if?DxH@=X@&p&-5e4<+IXLtfeb=C9dHL`1mo;KccO0)rceJ+IT~zSbL8`ZlCcZHSo5FUI7C~ZT=9Ci<^Mf41F(Gb}nMT!^w}a9s-u{CVKN7 z56cU;GMU0%IR^K$(U}-^e$`hZm!}F(qCH=k(AwiR(X*>f-5kk^(9Uzrquy7^MoNSi z*5444-~M(R_+vwKhI!`wG8|sVsIBDEF9)QuB`9CI`fzb(alx>*%UPDL5pg8*?;S4Y zGwi*>e_>N-n2aLmUSIKX#RC&YuB58HVyquR$e2aVVBa$NGznVzAN$7L68RTW?(V(W zkOCrv?jOt!;Dxqxo7N;JCf(^!IDJI_Hx#X7BUau^CsXuy^h|W-k$UVtLH%&9q?FtE zG+U|<9k#=YM1^H}hKrz6uD%%rSwm(LyG8OeEPD|jbAKC%$*LNh3y(E5Uo)Me}XTQr%*LNT|4(^OH$0#T62H zFzmqjW1>D$5?;P{QUZE&^}lc_hdq+;z|%`Qqd>aC%HIf3o-P5U?T>b78?~c$vgL5> zh$>za(`Y~g{u8djK{qsTmBUs5g~xvSly<6LU?wewf{Kw!RbbOHNkE=^P6I@2wEmm0 zP+Dp+*=ehS(IE_A8{&u)E?W2{4@2gF2umoJm0+z^XKa`YkuK9x&*x7HxG|Z#D+yYn zBONX(H#ri;Mg~Eo2xQ-wA*ZpU2q)svdf;&27!DV|ZDt+2?=`B5w2(o)m&93cCKt4jmC%(g7F zWk(OwZ@s@hW#@K4m6cxn9h+jlHbF~(f~a=*tn;BbpPnq201UOe;8k=R{@f6W{+?W| zyGZNUcRZ00BV`F*DEZW=rCpZp*xh#6H)0?9A<{bgDhjGf>mt~UX%q-ILf$k4qNct7+Uvg< z)DVb2jFD-+ZxN7NlgWNX?Vh(u^uRjCM~q~7=EWZTdJGg#(Oe0Mkaq+g@mz+Whl-~f_$2PTPPl(|NnHC7ZrnB_Uw&!#T?Dg2l$-H9J#Omgl z)Z<;3e8M>`pxp7%kkdMP8(F)yJFH|h*OhDUS8d06wj+T`Rs#~qmrjBR|7UD=33Uwn zv8aKxyzQ_n#DpVb_54TjfaJ9>g0JDQqa2FvEXm+R{CP$1lQ>qV+1ESa;Hx_67_r#7 zYqvn3X*lDCDa=T~+Kl#t#a@&_Rixc}?qWSX-AS-v88hC8YHaHS_I`3!`Qt zEHvAek1=8G%bQA-$OPX3`q0y7YAP7*b@bK*?6}5E!2BVDUV^5yE=Bp3GMz^B9)l=t zhKO(-;MqQf^^#GI_f*|;@rGxeMi~Q(SCEu=wZgtLfz>U~V5iS1Kg4yfy%}ly@HUw| z1wJ(XsY>Xh?IfWA$TYMVU~L_^E4$bLq9gUXXaM%uv+8f!61$XUX z1aW+-8&T^Jy_>8=F0q9Y}Aad@;xP$z}f&W(`bh*pq zM*ZA4HQ~gYmvK!jdoHstnfKJU2)3m&n83j5!4Vt)G6LZ631hIz?qB!iSW%Qp=Q1ye zE*a3*>E0j1o?+{ zL$W63BF%0N_MO5%VMA!g;UwP#5GyCxL%8~;$$ZYS8pl4Qc4d#y?a8EF=G|- zv0KYAq^rCv!Grtvbd~pNT5dG6k}*SVyc3eZ2$5N4htrqJ)oICc-ph9-4-X7MSai-l ztYZR&>>(oF!bnk4c9DbLBONIG!j4b`?mR>?A7;Q53Fs4rJd(z1rcoOSH$rnXveMo+ zX=fE)URq{)V^)`I{{*jt_RshO@|7z%f{>xf@ROcVb zYa;Dk^7@wKxY8qGbuPq8BKhW3BE3=V|6)$axiuT_-c!XgcS=5p_qzo-Na~^z34g02 zx40xt`{;&sF(Zfz?@Jq8y+afdyRf$h<@Kajq}JE>%XETjnf%GCNza3@6GS!}8KZ7B zF%=-e;r9tkqRnQ5el*(Fwb!E*DVDFz+`uFyo%_5pG)%{NNc2b6H%j;vCBMr0;&(xk zU%rXTeax~|09+_LHw8$~)pj>ff6bP#3s5AvtRVm!GG46pr9@6>xwFLZ=$X3=3nIB3 zZMk5EyW}jbRw+4_0Dz-GN8}m~e`;DshhS!S{F35$9{LWF%B$V=Lk*_?WgW~}d}j_E zqmUJL5e)sMYG6It(a9^;d`W59RgA$+F!bC^(c*X(yI*L5?~lD zY_<^f3-;UyI#`&B-drh9;?Eeq`S!55N8NMd@mo$OiWI5NO@~pA=~*QA_gy;rf%tv^ zk%&YBUFyN0jbtC~h|8dddbM6y8*F++`HK-pV;Dt7OBFxE3jWQOg`qEXdS7HM;rk^2 z>W#OB7Ib3mRH4pJb{H}jhrh3BdM8|+jj{WliOpNF-hSkhB55N$S$}(vbA>m7h4#EW z%j=hFDzEeJ(akfqNM z!&4U15-D>BmMrYZcAibJDV!GNhV&>97~uL=v^@qVqo*_Eo<-C@LP2f;k?_Yugij)0 z1JeV9k;PVclDG2Tt}OK%5fXoB|EZcAfKV6y?v=hhS3o{cnroF>}mxHPdpRRtde zJN?b#ci|++li3Xl89?|S2=#S5ko0N5emf&@syknhPO2T%WCIEQdvanw=0%^K0AH1V z!1@KB%Jz_94UGt`J_y>cTm&0EdbIV@PqhuR(A5OZ!qnS5M|Pp<$+YIn?+gYO z+dZTKN{-DjfCDUVfX((+s3j{M>QIT{XVi~rb6C7e@oHrxV<+M=vm_GTmXsy>m>w{Y z$5Iv=$U0#Cr-NG@eK|G87)})stilcQEc7z8+KU8t(VY#olKQS`A)?%+k+f3=p?vK* z;oAu_j!HbapFW_8cft1|M}GZ@{d7{Hh)n?R2*EM#^!Eq|ip<%$$QTMzI4uY4_Lzwc z{+Ew#e|f@X%JLCA0)|7YZVM(L02qBpJdF^_$|5xZq#Bw$IxeExK$pOHYgrsZ@&huAC>|lyoJ5435VPdX1gV%zUcA z0vskp!Ma1&3}Z?Ua_q)83alO0AemN5OMus^ek~spP zWci2BGNv!;LI0`QtgV4Zak&(s`Y(li8S-G-7}Bv(MXYX6k83_8A6zZ5^Kn#ZDUYY^ zd%3_U`1D#v_MlJ$SeDt2qc|OMpi{N5rO~L_yUTiY;{ZrY><6gApx&57>yq(@i+&K=q!QPj-MAk*~?J4Ul!IGSaC zdi28*oY}`t&Rhd7+~|~;!VnRk-$_u#9SJ$h38J6RkF)9FyZ8J3=k1fN`+^bS_7@5Y z033g_<5ogf;!`nb5NjT85BC{^^wV@%8Skd707AH6S#qtev5*qh3-zN!Oq#IUd(~1-tK-am!f05_JXlbq<9Lf znoYZ=5R?>AEBo222zdOXUj0QJeE|rAFXvh?qXVo^7mmDef2hmS#OB@c8e>p$q`CegRL28GacXLY z3BPfW)$lq^4%&>b_u(I16+7Xnl%1Ck+~h*u33AV+@}csQO!Kpod0K1@?rz0ez6N}a z`IO`6?nkR-d@hkWv%g6W%j|Sb=YT!1m-CJ{AfRAM}M`^#=iF?j=yQG`V*MoYGjM+ zd|$UM_<@fJ4|#5x2&V75tZy01VHwGxbR9Wbo;U^1Fj`TvY=iOgxyz&$Z17ZS%ct=W zeVlK14376amv$_-4?(TC-QEmPyTyha=7|MX!6*^7+|nf68vjkpAll>!WHwdCvOYgB z=tf+;Fv<>k*;Ih!N_a-NX)&4Z8Ud7prGK`15Ng(CO{zVn7~K!LjH5!SxZl2l$Q&= z0OSe9f8)NtIWEI227)|y6vj)BG@8O9g;nSD7Za05(w}2PCYe1k3}03;jD6!GLqcE9 zm1c~EO)Qty;*(I3JB0oH3)L{!M=TQN)IT@oe+MXX*dX=5N{1>P7lUEt>VjJPx$m53 z=0e>d_Br4xns?I>`;2K%RJf9&hP93sEx?gSBec~9gU&Z4)$#Eoc{xM1vVg&zT{@&s3 zr)Ku?mY0E&&)bfLZ2K01j8u~b4RKoIVbJM6qswEi4Q;D~!M&=AZR|92i`XbEH{`Ca z7Y3Yfa7KUunn$~fO{a*^DRIqqIiQ)p*fx`i^vY{%*XMYmdq@64itQheV|9BkHR9L% zya7+=IK!*_ei6tLH9R{n^JxnZJf^;=C#SWt>xfYwxrA&5{cA!8QaIi0My1dhEfWoz z<}}#MJjbD4pugjc%=0W}8|zWFSBg4Cze$PkX5GN(ZK0Rl)pmnweTg5s_=wWMb44}%HGG&{jM1PVJ z*FkGOXqY!+(Uo`Tfp6%G>>{@{VwEPEQS8YoD*OPyEf%o&!@n;`^8LHycP_`kh^X2W z(fsH1zzzWT$@e6Btuhbtl655)Ae|0u4iq<~?2*rEdstgK&JBeed+yRbzdh#LC6+%ZG04=A}(cb}H68UCjLjf*=T&4G zA7&Er0pB@Cql6RJjZ|0`ZGG%)AC~xY4q(Q#_tFm8pPss(+|Y-ZVxE!zuz$CUNHc;N zPAup5iAN}p#fNIsx}wT9q2uBke-IXXLaKG(A+c7A)Wa&Q&5KoLziUi6W{%@q_n*uuE#RyQvzSh)uEU#AXeF?SA+w) z+kE)j_>02%^|n0gY29V67u~SSYhL8P&#$p*io|<;eVdmVh2y9CKK-XBPkFo70+e* z-1AYX3{WP;#gx?DMY8s@e%`WLx$W9e824qbypB`uBDj@k2;AD^h~cO6mL2t)gr1(= zkVHmBks>@`M z*E3k3H!xWwwTGQ>MoZ(=lOg}4eEZd!Oq{lgpLAA$KiU&c+SoV|YK@&UEVJr~bnley z`2tef#-)XKu*?k37?I51TTM;ZKLRwN?gh0c*$4>tGLEI=p0#V?vN7(L1i-J%aLGYB zY)oPKVnHytCs7AV!PpQ8=qA=;+OxCU?c}HEh$<>{3~bIV(=*t0x>~>0pR@O%^Pz(4 zz8==7vMhLmTBd936P3SFSHv$_gu)qs3>?K%`3rru?)Jme!6{X1@|GM>T& z4ZH;~2Oy2UmDLR(Sb>{qO@u3S`HvLj=@_Q0eZu4YcnRbQ!lDyvR0sSwWB=u-iX#S$i)>xHcjc8n0P0y!Vk)@eoMof*Fn+$91FghH1}x3HRv z{UC(0k7*8-;eRwfS*1KF?t${=)F=EQFY>@FqNshj^poLGC5 zmK2`Wauj-H(}%~7vx!L>Hr9|QBl(D(L_so3pTopmkANV8#|=N@`K%KR(b~BK;zEB( za>0ys|BxEB{?5wOR7q>54o3UL^c8i~QZDQ)L`={-&q zuZ;~jsVTUzqfq-U4+@<5pU+EhcXfr_g}uVmH#B<=2_o7EjO8b#AmAzf*A1Tu?>NY; z4GEJR|Ix)q>A!YRP+htXwP9AF0o?|kn5q@nD|1C`E}(64A8dsLC;S;M#US1i6wuI2 zQ1s^#B0GFpZ>xubTYXtyMGb%!kwWj#g6MVLRCzro2DHGM+CJ?JYA7+mE!+vvf?FuC zfrL+=>O84)iUMzqpXD>MvR31Qv&jW@^@#VMZ}LBLTZ=jl5|sHnNZWbZYUvD)XAtaH zpFywe=ztVB7o962iLYU2s{8iTG#6O!c zebtkkc6<~Lx#<70z`F2V?Kt4t+%M(R=`gxx7h@L#W3W7ZSV`#!&>V-|g8fITw29{M ze4}(~%jxQ5Ys$ILRG;=j37lC&F^E@obE;HDH0JmN<*B#d3lEUJ#7|4j`N}CJIN-)i zrWU!DOr(OQdcazPw7)le6T9f+b7UeJ@uZ6wpWMnI_x`qUDvKSjJ>d*^Fo*GHHmiAV zh|hlGz9N=vbl87PYJ%~ex(7|}ici99+(GIMU`T?|Bg$_vBa?);n>x}|?tT}MW!ltj zP_Yv#cbcw+$m}=dt|w*yUmM;h(O#jp=v070MfN68UcD?H(D@H@r&Z%2pE!D0z5){M zy)Ks2!M{0JJ{-%kx=ZJxs1e&*+RVW%QN99paBcjD$Jm;Zvtz6t<`!^NZmU~a~k4i8P>x9h_ZVfOO&^u`J1WLr# zl3q3NQIh&m$TX~+o*xyK4xNDb^{NmqW81pU?r1XLLf@S0&^$tm0f_Y34XZp%@K3Uy z1mg7SbDDbpS&xbE<->HL254SoyacmVTMC`p1h&&f3AtpO=sMx=^$n05(db$m#7=n_ z;R!=w)OdXAhvSNHEZC&T+4B>-b(imz2Xe3FnXsHuyDNRe!>8iA)P~2J`JkQk0W?oh zu)ib$Q@`P*^$@ypBW`~JcI@8`Jg_xeD8?05TDxbNrX zkl*)%&F|}bi=O`n4)^W;Ki}_HeLmmM7jU1b$&OOv{|;KhQnT^O#nHVk&@T2egXtRO zrWy#hx{wKu7#9UocOtoZ5LPf_u+qW6jRF8si4`oNM}w)fmx6fxAH4U82obX}SZicm z-Vu>0&KS{B10cuE;w9qAio^ZfrIEV`S2^lV6BsEFF0ZKk{o-ql9q_|vOA?<+jhfwj zaMo`?at`0Zz?9&t{RiA`O-@igH1_~v0@1Ck&>EQHnF*-SmW1}OzmQ7;Qo$Wn%(+S0 z&`m|P$XC7oqm@r<)pJ6j+^1`uFbRz;l8&R%w2rpZ155 zIAlAOlZQT>XC%9_f=3ZIFW@=x$qt@CV(o5&GRi2lSicGydf|Ppovv63foupAe#dsHsJcb^!YK7NIxlWx}Q3v9WT7H9N&NZp_0HV6Nd_d&xy&G)5^xZwZ+F<%D@S~_7wBJAQ z{*05=6UHr==gC^jV7_sKqN@XH2;3RL%}lhm*9;BamzUB7OQLi$Yh8`T+Wv(XQ$Ef` z{O7AzMZ_x1(amKbgrzf$FjG`T2!^m9_34XDbT7|8AbsJOf;11?594x1w4YJbN^mH^ z&|wdmtWdFepz10(c-#`SB`UJqP`j?c+zk~3_MlHCnBkP^bnq9u$PXiZy&$zVQcF)0 zKKNGuC|E^01IoJ3J$afK}vhVtZPsf zOk%yLf3t^)JmV?IO+_Xi%fi17upxE0OX@D$_@qb|x$=iLh9-EHaS8qsic0e9i{N#* z$&n1=T%u7NzOGm!A=AcfUuT)sG;3Fy6*uXZSlaPUXCe2p7T_+{u}1jq^UsiNTK=i4 zsBpYimkez)Ti@Ll+ugaTuD)x=B3)Y$>^0PSe&U4+1@kn^=#pQ{_MPXiuk`B7@jk26 z2R484L+|Ahw)DL6y1X*;wj-A^>%+)6>s91TA5w!V$eNgk`1XD#9fGFvG(fnSNItr$ zSyJK#BasLy!^$uN0&pA)4~=LeLgx1T{t~~7va2#cUTo_3$0zs{pyVES1?hSI83;Bw z9=HqE)eAmU?4tNw`DZ!GI$_$QC|)P)5iv?l7G)!!B8LO&b=khDyblD1Nf7l^>x1-R zq7_01b+TpPwg?7*fu_#U5PUd%* zKW((SUEALU3v!sqeTMbMW1HbM_&ruBZ;i|YIFuP0tNO$U0&mo{HWKEf8pb1erB3 z;*!`KFM?ipA(z4Y7j%^8cvoK;wE*MGRIuj>y7+7<&+j1gz=Wa`YBU`+4fKu=l2^Oy zu77GxsWw2*jrQ|U1XR=?Ud7iV8)|=fiGV#7Qe6Dv*LewlJP|5m+viB=VZ*NsWD=SS z?NJv#IwAAAcMlKmaUVE9Wu3O@x^tZPt~<8q0$FlCerq3VvDcS$AALWYM(~RIaT{jx zCwR`2)7e>CT$FYJoz$IEG@tCl!(%a7vs!fmj|RlAH=J7aKXael~aKgdw z9jP~{R!X>2#Jk+BP9Hs-Wf5E}TggE2VH&9%GKZy+j3}&Sc&Si}Avm_E1T)~2ybP## zkW~s43FvPVvD6soy7=ueii3r)s`qtx0~f*;c)MmZ2k4Sn3}yLFi`iY zr%ic#`1XR&w6R&m51e1mX{;jGyikrh6z}ONqX`&{yZ%MeO=Cr1;|p~{gULv3LTj(H zFGUqb8^y|oQHY12+QT+!f>DsU$qigScmQFk&=?2H?QcWkqv!1wrp6W4B1!6~KXjvV z9+V;f)}JzL3_Bh?R(h51FF!M~<5Gix%v=fLlJI)p6`Qr5J*h;>yerNICS zm7IOUu~5UZ%VziLgxQHCGs)ZQX;tOEpY@G|`l}B$WW&O#g-8IoqG>|kN|ESVQp8}h zP$u%h_r0)rU#0Hvpp0+vra!w)9oOcUgL`bszePRVX#}c3T_)CYWGD5Z!=kdj2>GIE zInc6RXP;ht1fOTwJizgaJRu?LDgNN3MV#9Rh4|jRSA30}i45gEUt8-8dv^}0X&bzd zguq;Mxx6Qj2%&W%hbkpWj1u&mPVbXgp) zp%$lHsly;FewgY0d>`5RPA@^3NSNa2{!qpgG8zNmLRLNotF#s}CS*3paN|N39nFk| zubC4Xkq|cH@Hf9}Ru7&XX0V?v8^Cd+f8R|HMdnU}zDwlVSKx1IaMn@5u$hwRW8q#*i*fcR2xfQ)WL zqB0xuOq}YZSIp>_=0u%nFdzx*#GLjt#HENk);3!GpgZYn$MyvFn#!vj%)+AxxZj)eLiTmEGON{& zzSTi*xT``sw0^lfoejH6P-}`kaLHr)2L~U=BKkljCZfMI{tHQa65u<<)Iz2}14(?Pi?60N=Ww+18jU*ZG?-6`L58m+)wq4mU%OamLV7t>0ySvVUy@t@HA#)NN5C8 z94`hxL*l6)chML!4gBz}fx7ms@1;r(Akxv}_2c3_o>gCx5%6DQ5d#5CaY`VK!+Z>@ z-#SpW&)UnDII-A&zIt@`SlW&cC?FK?pIH99n<8mp$EBwZB(QA#y;))jOn-d#Lm+l`~hPoD9J zEK z#}C5xD}Z3`Gi{tnV-O@d} zKl9>X67NNL29o6}S>78S^f}=@OTXnw9|+8NuynFkWt^Z7Bshz?dytD0Vp^-Vt$f_%H;a!q>&vt_}XSE2Yv#PX@eyaMqcp8aVyNZ0XC- zjCB#(gvP*sxX(U8KuJ=I1!s!;KDC5L@i_}?Av`w;FDI$g^Cz<#Z;|`J1f0^@%LSm9 zsF%G=7%^SU3F343!v^i$a;r&0qf2z3@C#l|g$*DrvAJzC%icl-BMwM*b7()U>SE@< z)x*rSb5@Sshp#!0H?EF3kFykOm(&aXXUn*z?}%a{01sMLC1ei&mTJ`*C4MeLCb>f4 zW%Rp=i<`B(bEFT*Bhp`8uQ4bgK4Y&Da=Lg@gVyf|CzZgO*+aBjc&`g0+gw9xM|(EV z4DG2_9_jj1nZ|d>*Cirw`@W-g2|9(yE=P<0+=kxfaa=QFV!q@RnH&tQKRKq`q$g1DXep>Q^6xDE)?Z(5m!ZWMYYREj} z&$T|+1PSUQ_r$E=5`kw8^IZ1u$EL<}PY7f`YkAQPM3}Oex71W4bnuDHJE6T6+fM~w z_f1#ve~T{6%aUAoh8!p+m#-&cO<%_yix-uMsP3%v&j@fik5V4ve_f8X*6$AGpTvb~ zHicuA#uBx!wM1K*%#GBkDNc6I;%H`$H>VF8eRf;A#SWF`WM0)$50i%^9lCfNa*5%% z$ND#qAJu?@X?>!^#L)0h7@w!Z<-91P?u*p#{F^i#4+>2WdD4EOK;VYmUUFJ-x9p_r zK_HHw?|DD<=Wb4ouOj@n9UnygaQJeFcWh>~l-7qZYy{kTl5y2o&jrj~{(<9G6!FK> z%#+i~)p@HpG)AfraQlY@-lBOu2K@!0Pb;`PXN)x5(>Lun2arVJt9dVGbRG-8rj$O> zv*Y-!9M8VPH_a3x)brdYPuc0+KWD;IDBDnMw(7%glrsO$r-;4Id$;tjX|e&pF>=J4 zkVeQ4wm*6*t&@ ztSug*gWlCJry%8zIM&S5#)g8K-q+BsYF%ebX`wVB|m(rqz*4QDt%90 z2w{@mHX_ay$1Spqg!_(*VM8B8fpT)c%Sp!c8p|LU3WEK27FMfFKu_SEvpk1$Zi?(e zyQ;Y~FwSfLgc+*nJ3&eDgsm6RV6N1~6$D3(R(+b(dM)}>+;ilzo z%kS;#EVsT?Z~d#q`0y!W4KD-3n8}eLJ3;w___#)5ivJjgm|UJX>~9W;7}r+4(=Ft5 zX^JY@6@sfMD&w89(sdn9r@U&c5+>y`Pb##}Lj}GipOI4BK+3B5-w8Wbo_+OmsD&g= zYirekgG&s0Ax>yZHh_%`CV>%Dm`n_7SUADjOFrP}yn16U1?8xmb{H-(iXG-rrH>?C&v zU=_5DU9DI}WUu13bqx=v2FCHh3o#9YzLFd2)%U78fPH@7?!#Xvyjvl$)l+oNc^*L> zn|}4PvDqcIIK{eh_9lWk9Yh)HLRCCZ&||JMH%qW0pE`9UxoM+w*1Ak5$`JKnfMk+V zc&^1WiN-HYf%O!Oxy?IlB#G^RDg(oGUWm{6pLX| z@xgKSDwkm?qV|7xJWn3&1ifIs=o7pX#vR4)4?G@=4W2-Pu{}TM=KFW`%lGuF^c|Iw zOW0uP$&$xOC+GAbF6%}eS}ayT)caSOj}K@P1uJU?i({?;kVOu6*m>{Zp{7Fvw>FQg zmbBgoliSEkWaI#u@OcAV=`gIRhc3qqMaNy{E1`%ICQlK)8IrNqwjp4rdHsSn1B6a6 z)Er8~|2*4_+y%z?#b~o;ECKWBehbO>vn#D{^Usn1^41eCdMN;x)J$R0Ly39x6)KMh zT;k^>HiV~`PM5k?kYQ7u1)&PF^1Td^-Xyu`ECd#D5Mqbl@^&K9^#Ip%olG;rz*3+R zh}vSXF=&wqbM-E3$RMlS_^>cg@4FN%(s{3?jRs0%PPS}MLAI`r`Qqfus9A?r4uN9^ zjoY7dZrDgsoU&^hS%HMTw&;T-p8b3OQh`+^i_z8d4SEfQI!;VzuE!vdR7eBuRx>PD z)X)cFzsPbdYmuZkVYvJ302%pYflG+|cgT-eB zbw1HthGgZE@s&pcn9l4CuS2k=DY%f+gs)%ukce5EGh1AqmMa?J)7*f=KuEa{EVU37 zCd)A!vMC@$Z!P+R`?$x>*{|SMev6066j==i?nmzpIM^uL>x}x9Mps+Bh;O3N^@@LF zuGsugi`-npQ{ee7fvJc;)^f|en9~M$?jtN1n^HTG1%+46;CzvjEapz6$kGrcJtnxl zWkN|DI$w6W?=sU*z}N4{DF9T{hW@Nsw^J~)w(*&kRyb{HV729MGRUd^*E<=w*FQ5= zyfoIKj%K)twwW~)R5H4q$Go>*J*dn_Ye*bWlm79k`a(+=-|H@sia=Z|F9(k?Vn;1d zTZO@6=D5!0FXUpibYvJ&kS@G_TEg85PhW`E{*dH{2!0`jC9+6 zXvK5jGTx@1K)R_8aZ#bY{KtW_YEk&v2)|)SMzQ6WR4K;rnKIh9@uV@R{A_fDNkbki z7btxH72|;sh1X@9it)CfAEj>8W=#{RBC%8x$KBr(NkS@pT}#@q_v9&hmmlqN^=zyf^# zR`Lpiii`4KqE%JvBe|BS-7RYA#4GZ3Ia&8Or4$m5AgQ3W_5~(ISxK3Uh6&ALga2Z zlMeOL2O$1)$wo}q;RDa-ZrOg(+PTn@rmM-|OSu9UD5;pNHN@?1_r$gdDd1+IIg|rH zY^jihox*zxf{wwXTBNm48~GUevXO7u>kdQRp6dCD*wPl93B}50jvt<0BF&AN zt|&C8<{1{YoK|u;KmD2@|bg27GADJ0GGmlyyi}PzX;=LzBbk0dbt!@)Ogby$3oA_>i zyci2T)Bu>adiEmDTKu~LgaO#pU@gidCR71*9Q3`g zGD-A05>xL)@`K_WBlL~Np!Bt9KB4omFTOOW!%^j|#=%)FQ?);7DkZ>m5(7jGX(U?P zUoWsw9b0ni^#|3#Ubx%S!=0;y`FG9r-m+i!8BJ=skpI>qdRu`Gx)IsA2e!tzJR}GX9SIB>vdNkvhhjF&)`~(cWDkm zFP+=acOPPn5*Oqqgz5!oNxCk|EMO~jUp;3?StXJG>k+;{-E(Uugh2fR&}mYZ@HjN`E3} zhwL0~jmdLb?<5UdMIX7I9#YgU*{$3)(dlK3iARTIOL2zYP&whq=hke&g_wWs2 z%}%e*mdjUEJ9Sy-$Dhy^@ZkO}hk)2#^HhW-p%U~nRys;gb;#`)g6hH|`q?KGe=4mSV9O)!9K>-I z0ul;q1YY6(s67?_7%c46NX;xMcUCiZ2#{flvhBFM2&GbxaiO;E!G<>L4Ntq@ojcY| zW>A4^4E|6>Jc!%P)jw`F|J`1Lz~5n*s&~H~?UX2w7!1lY?uc z(TzV+zJ!mU=~_dkQ}sR@+r}dP7AJYd54D^SC*Pwi)m}pczQR{GxpkQ;a@C&a1iP zVomV0r1WDn`DK{UunT!5vzmqvCxqaC4EHFtm8PDJJOcUp)64;2D=w!HTD^Pj6G%`r z4+h!6Ti!B)-TgGcc;wvj-T4ZCqmW0WU`0`j$stlod0N*!&E=ONWlFx3e7KtZBUrQw z)pqjSApr!%LBy2H|HSgAtG^cV*7?~rcq5A1W{zQmn#Xw@fA^_P@+NyS3>;nMg zedZIU@07%eDDo#>B_AJCz^Z~}H@#AEFI3sw5mHH&o9vHQn9?jNgRZMCtJg=moJo%?4(V&L+W^ z3*K~+WEf7dx{!`Yi~yBSE=@BR>?)B1J#j{D_jMRZQBx%T zV1dUS-r?fN_#HS=WdPCFv;iaf``3SiqkXX(W0wSfr-ehv(;S{swgIODc~nW}QCGa& zghjijX^^yWkLEtJ4XRMRQ+u~>tIAFH4>ep%f4OVDbf8#^oJ!+?Ct2y*Esq!+-2t%5wU%9s9NPuc^bk z-^)Zfe)+%p#oWQ_Kl2@5+BxcOI<}?O?-`N%>wjQNkHdb|LxO7TEhJS;*M+!(S+%Nz zFg=o*d0Zb-gTmUNc;(bUf}JcukvCR!a{87HZf!8~yU3Jli`XK48hFqp<&bb*>C21Z zliEZcQ#5U}PCpjjuVZgP-sK3=qW869a1w7__`JKN+mj$s1xv#R9JWh~U{1t8kK{|= zZoI2Tvi=F_W&-0>^hPPxtrdA<8W02>_i2D2E9`ojQeDtKo+YgYyzU}AF=)vBHvy4> z_XtgkKGy;#ew<>ZcT^%BWCI6ja?Sh*PHPBHZd7$<-K`_6!98mbgeL@{_$%z_$dK&w z936##wfbhwSg=oaUzR@cDR41%@pLxGjI+EIO4Op@nc=cvTiBK3*%*%TsLO ze)|5GGgX`?ZAA4GK=34Mt5uts{VxfcOa(PgIrv+j9lVCc2X%;u;=vT6iHm`Xm>7|W z?35Z6CCOSH|KE#zEeZIjr6gT>8-6v_f=gHEM)5eOUkxYTCo!7cyx7Is1AiJ|*;r~! z>`eCj7g4&ST*tkS;7gYQ#A=M3$rHR0+{bP*2MdeoKWJSXKJC9?L8>%%<1uI4IY!$VCVTJoNQxdn)Ox$u0>-(g z=ccOZsx${%X)2TGLZ^FUoLZf|lhJbWDkQo76XdtzIMnJaJCSNER1N`K`b)({<)+H$ z1twW})zK0Z9Q#%B!86MKv9g#1 za*}7+FGVU@?Ug8-TAu_q=q-^_UE=OaRxJq`Wh#SmXuc%l`WNwVlYf$5DNHI2`LA}2 zj)U^|^Vv)gg>p|LTnn@L%imh;z9;EUmaof*1L(l8)Bd#dwJN{mr0nsvh5ytka;SCj zA`J)Kd<;oPQS+nW2wBiIc|Ayn`$*sr5H23FuJ9R9ZK$5;G&$f-4WthPpq~Xv*$Vo) zgtz})7PY@K@HblEABeL5=QTVuuI^Dj4z+uUEPZK(*P-X112$*bX`D!;mzLSa$ZYOU z1p^n;?1LwoyqAtlNT6?d_YZOnE3%7sUNnVb54lX!X?4^=FVh$8WVU56h>CVU{nAPN zZ+!fKAdFb&e-6=@lEjOwa#aSpk6w7h97T)Bojwd4emcYQ7dWLUMIQ(dCQ>L&>(b)y zjxmlK9dmZ=-FIUvS`CzD~>Bkdw=cG)D18DJPQ*3KGQvdg$#t0k}N_XEcNd0Q4& z96dnQ5{0w2c05X&C1~l!4QiZTI8=g@VhxM$LIs`ox|KE6SPPC=07MYxBPF^1qy|72 zXPIZY|B2KlW5y6jH}OifizQU(A*-F{Rzp4f#%jBo1OUMzC|d(zU65k&aYc5cxc+XU zl;?c)#V9Ci!{iA41a?{7&WfYH{(#$7zN6vOiox$;i0T z`%l7cGe{y}CQ${VCKTOM2&w490n(s`yxEJ-dmbL5^!vL*0lylHSo9lSM2e zp!GMI5h{(D58-VAF4dK^bjg-VVy&mTbC2-yzSnGfDYpBRFkLOabJ z*!_UC@C`6yJEXn>emNAMloMcFHfU?|Y;>dO=y`)!YO0MT{Q~%wueN*@YT~5=Yh{-} z6yM!8PW0=H2**95JvqMA|6Jk|d)iGf81lbof{tF8e(XQzwx1;Yv$#YOI@if)*O0bz zeeC4+itXBv&`W$jUg$yvv1NJ^IM0~f;gUthuNOMxP;EV)$K+>dt1$8>HqG~0be+Px z0Viq;8gZGH3PI$0fU<;RPHp38HUunBY{N9GMan9fF)zmvGmy?Oin`pT{98ZS+R;!23#Le&&}T@a~}z} zieaJ9lYHT6O6Mf*C>j+KeWkTI*Q+pZ{u6t^FSsG7?G+a>hxc_2sK|N_vyey~bGM56 zgl{Rdx+azDYCNPer()Zq%wz{Kn;@5+kXRYiOETDI#5OZQWl=~7BGWe<*wEc%f{@spwo^Zh!%yIYi zmahsd;extmN;1f;+bF@ds3t0pd5KS$5i8)BmxSjymK_w52%UhKt+%Q+HGBJLk8|Lw zeiqv;vom^Jm3H7tjt?sIoesy zbRs?T<-dv=?Zy77b$x-@B;+--LSujGgo7>P_(=R;du(g7%)+I`dp zUA4gMJQ@7?B*t)PuX33eL4DL=FKe}=J8x_nE2!_Z)fj4r&O;`jILS*(`DO>Nr$lL| zi<$bwk&bPLD}J6nB`i$5Lj7zUdexad5qYU|GRxj9LW&ork7w~9x{34N1LK*6r zTRp!cux<>gx5OoNU;3Yb1W9qUaU#>rX2SqQ8+Dw!BvHH*M~(bfvOOTMrWDeoeqYKU z>!yAZnpTX-QTRG@7rZZuM>09i3qaT5uNC(+Q?~3BP)A>b`XXa3TR{|09l)oMM?X%h zfRvR8>k345i%}V2ue`e5;}+<6uySe&U68X#Y#?~CCbzZypnE2|Cynr1(yS!?lt?Q! z@*AD)HL}b{swl!pugoV9MF}rx@lqM-AptEw<7sU(Wri}0#u7{WrZd+5RFxd#6Zp4^ zxeF)aURzrz+v1V11U_A?cS4;sXwT8(MdTo7_4Z-=ADNHp2nrS8ASTSp1Q848D7JHH zDzs871(m`P!eAyjYDxE{j?P9mT$r*ZpKQcX!c(h~6u^urfU`%L$#;`U>GEfpaNA zVvYGP0ne=&&%A()|3j|>OQTqQ4qMv-g}~{j`HKZ~zG+eS$6B)zPx(xo%dM$x4F#+O zzY3CW3JSfe|4s`kq?ZewmE%df@pFEqFyfq&PVgBTI$L^LL$jlwte?CZ6jbHVB4F#T zCqjD9M^Hp!m=9X>JJA|hIZ47ksE~XRiDk)Fyn5Vq`8bLL8Bf22z!5@is70O_-!ZPR zf6S&x#IDlASy=RZ*7cZhn!r*E0{@%$+_+34ExWqHB=dXzc$}KH8M5jtvkg?NVF8jzc?$FdKo9i`9IIylfRE8j4WI%{KRq zq7}ROR%+6h_Z=OYJ?>qt4Ea%f&qN@#d&L-J0%pqWB(d|c8^OxqDt4(=UIy*?<*$)% zYMQ_`_HIGSMhx&bgB81xSJ20Pj=Y{WEQtde_{XnR!v`AbSDyN+_5^iZ0FS=(e6^Mf z(tpO{S|4n)0ZY+w&&U6(&>H80GPqXq07QK+0?4X6%^{B_b{VsTeNn(k2|Ac7_oZz& z&a-fU;W08;fxWEN`_LU5lGcV8c3xTa2oS5Kc+t!GSx)-fF+C?}?Z}MKiB~(O-^MBH z*nipglAsqECFc+2Hn6B}6t9tOeA|XMMX}r)I5G;)^V_k;saA2KOKoQg+1pwfb!r={ zm_`R(>u8jkbR1xv#cKSSz72$1S|B~=qkRN^GOLH%+r(O)>`gT#{K-plY9Ks@1vMdV z%~%rdG1@%FOUz_`W=$fyw8Rc)@w<5BQr>->Qdg=5M)DmHRN;3-pkz#rKwe7HUz9p* zZ4X?%o?u))wEa@VB9Q+qTj6=)tHGZ0@-ao9RXs{Jj6Sh?C^!Lqxn!v0ME29OW2&ei zXx7YZtJ-yOJDlB}0-eEW=Ral~IG4An_%w-?8lP;TcL`h)ILBkcS+K+F&wvGpc6e5t z!P&;XDVrZBX`-f=KaHcz@Frr(JjWu3F^L3MNMPtatneO7fA+Os+Jes4ig*Rui`#!R zc8T6TDn5&MC+aMYQe;?{#6Ra18bSFLg8~x6nqs@Ns4YbY{;wUs#rX6OjPBr`Fv2m? z;z%qVkK%V>-%{79w{3HLw@BFmDz?PT1w#SDvc|7X1l|-fxJ@sol0{Ke>uYd#127rX zA^AfgQnIKc#$xm+T+l9|Z+~mRG!A+KmLl=TlKw%Ge`tA@5nGLe1^`MlWd%Novaw?7 z^^cN6DaS(}ecZb^kq@Kd;D<-H@`+Y3{_|)~#xJD0UsNqrd&0muA?>zJ1a@1fgVJA9 zW@P5CAKZ}OBut=Z1^hzC-;`h?rvCvxbnAwSnEBb@+=N&WL|99>|BYja6e=B#mhUQm z!E1_0Q5C`y7lWVSpw{h@5Q4eo0A>ZpuS=%sidRjasiM@Z* zM%HG|LS6r*-N1nozW`@6Y+oPRu(1fRF)^rHB1*UWha4~aEbt2_0~kE=IxLi*?2GPi z+F7)-YWYqI6aTZ@CIVDHji|+LtHrQkmd=6dgeCkiAtVveZT^QoeIKjH3_s^+W{5x% z$YakaVd_&OXWr^uny!jMK5Z+c)bQBl3+$Bkm1@3jwUe?AAQuq%JK2fRIRPD(dg2_R zsv8G2w={{>TJa-E_QV>_dr$A<0}j@SCAl(YDiS4hV!X&wiA8BG90hXL@MNCHOz?4$ zmul|#G8Dnb>64d={NJm?M>SZ#X*5AJ+)kfm>sx35an)#-9(c+ zXbW@LvL^))mvqN77CTCXk={pjSXv=i3qc3HOWp%q+QXNOSx8vsoP;e1M&wO4Y zeP4~^fR}5n)&U7|6x2%a-624MsCpbXx3Q}&*QEV#<}^c-nh zQQEr7BSH@M2|cg{X_{>pldxC%_zdVdrVHZk!R}Qs$Vl>HE0b7V*qjsZ%+JyuWMuQ` zEwTFGJgd*W)&ghdzDq-g{A(-)!^Q()?G1JZ(tJwE^g@}x;Orwoyaq<iAx4?=rDk#Mmh5bR3)*Vn#3M@O# zLhIaC>$z}8ILw$(*1N9XQ|JXUfQI$*+Hka*e?t}4`t@fZpiv>0Fzb`TOK{^r)w(14 zbT@>uE(W)}g2$}jp=o07zhxMwB&3nMWc_jUReAZFq|9Yi zxsI8!rFaju1gHq@qt9{Oa}WaF*-?UP$uh;kBJ&vPR@-ws60}6j zWeV)QfFr2XE7A&xQ8$UE!Aqs<<)QD~KEOK0%Fw4f>B1!!;4~F=c+UAe#PhZB;hFnE zex7zCSS9mA<=f`@W^SXI^uEjxR$%w5(X#&mkw9+075tVM`4oe`-K$-9MwcTfGm!AJSwxQOEy{sXwr3&HhGzFYW-L*EYFa z4CVU}gV-H&QSqob(O8S=n*KsXLyqcz3($)jvi+kqZp*P}s;KCi{8pJ;^ZJA&247vK z=xY`2vJB~hXL+hSMKd-(h*T01!P5&of9O}WpLCy4a$eL>AIEg5D{kIC^egJKBHd;L z#k=e-eT0fSl4P$8kH|-96?^6My`qAN?qa5^h@Np4iLjbwxpEF|wTIOFNA++#v+&=v z#}o6ab*GfN&}Chlg4gi=IF^ zZ7n@C=x0N5tw>K+1N+JiUcQI@i*Bqpz~gZLCVmpKo>d1L2psK|5aq+80Gx01y0iy3 z_7Bp(L2pPBu$Vj?XaEa)=T|*Z#oQhxV9W~&@+k}K{7w3dy!i>eu=G-%7Gg{oV)`1U z?rqt2Ap-A`z5)g#hw1*|KmWmIR^SNiahz(Eh4jb4gRvVfp=YEdbRUvkp)va)-|ENy z^-GF7T;yV)xckCG4Lxo8sLDq}F02;3i6{^*I3EU8CA7!jZfy*pPt4VBBsf|V*kld_ zs4Dv7fptIV*z~>r`VRfNGXL{`{XhTL{|8zQf9}%MHnZiliEx|e+Q@sxO;jIQH9<%y@lGe3Vwsr0Nl$d>!oXCq=cOJ6G{5J_+_i@0Csk25w!&@IOzfQMYtDycj}ZA zrzmF;*cx&W4$~p#@FPugXX&2y`&nE4i{lG{$LjUmvfU93)3BRgqS__96hL*Y1}^tKwn*06 zEd?R?WflE`9A`3=B^5rTMTh>!jAGxMPA zBtZSE>JxtIJ%VxWr#R94V&>QIZg7r!Aa=c2+U&R*m9q5nxZ;m+>Ai{(d4z(jE17GK zeYi$i-fugFq4ORqE&Af%!lLSXd|)xB!A2&oq58rrs+ntqAbpfQE&4`(4JNj9XNqS_m@6|OI~c{ z*1X>TTf9^0 zy}sV*5m$gSj4LFM3~NyU4N>dT(|h6){o&!ZCYRKCc+o}S*)hYWj`@Ao}ZFZBVYW74gxID8M7JHe`>4u7W+Ox_$O zNyx20u78pIt&su4#|+`ktLK~uoel0MME%umc-%M&z`%ZJ^4O|cP)QHNTwfO4t>u4xdfX2 zlPq<#d173Nck0thyi-W}+1G}p$eXUU6DS)6U;Jb@NxU*iAE3dhE9^K5K|>`rppk=f zp@Rdn+2=D4T=^EI@U(@#XVi8}5wJl0Y@73VZph8~2L6&a%PEM4>{mQlH1yB zmJ--Q;m zb&>JU$FwHP;wW`6^>5u|xSm$Dr{8TOogcSm+1xy)s9MeXGNc&M4^3>(L=4GS$`KMi zsy^TpS*)nuX^Av}AT#rlL@Gdt)GQjDB|bL0C!%@yg2v)hpMe`$Vomr{zte%0goswh zS7XInih-QG0v2q#^rfs{s77ZUJ|iuUbu<2J(G6k6o0FDZ5WrmAoPKea;DzQNycXt9 zTQZIK(@-?!Q^qkn{fjcrNTtKVF?0=1ejb(<(w$cT0}e|O7A&U4R+f$Ixbny#aLqD* z+JXjP9aT@n0a;DlA`kkQ&?86Mb_U`n-eGZbB|_Az8Ia|l{IFUWO1KQxgzH(zPtGpm zA0Fb3zBC+b)Q97EaH(!%O?rc4ou*v3vz088Ewd8aagnpTY}6dkC8ijHpXOTmbY1s! zy8rn6ZAS^RbeXn%S8C@`_);^nuc5hi0i=_XDYu;q`%NT zUHHQT*kqaXqa+T9%=);QRH2)z@b8IJE?_t~wFgL&M`OHynyPVzi1*vEtyba~L3`;3$(AI>|+HwzYE=A{pT2GIkJHoC$hwHAXBhXrx1 z&Ha#W*Xd-6Husq{-1?kks{RTaj;e|jQ$ltIa@i{ZDjzWXxEz#8o!|A>^+)$5fSM>d zL#cTxVD6+JIg2LSd(vl$Bi8g7@7@DW?^U~x~_ze2Y>fp;jT z7%)8cHuyP{e%B_l87?zdv(Nn<_fW1Hf_RA#>zgx45;XL-AJ~F;pm|@SY$_n1A=(=I zbcRju*$GyJ)9LIE2Z$8UFHE+08UhqTf}75>j5jIbso=Z#NEG2=W!02ta13)E!uiFi z$IG2be(Re-$U`(z_crYnf;r!5Pn-sp^E&2cUg^4S5BdQ0-NET2pxmiXeQYLGN}$vC zSNAse4a5f~Uc_9y$|Et!@sNA}s8~+gKdsQC+OVn@YV;)7@|;Bgct7Rcs$k;-=XZSS zz1}`E0Eg_K7RH8Yd{ce5LkNcQD(QX6*e8R#xArB7&yS19VAa(;!2;vcoQr7PfW5(+ z|H!H82^(Hz_Hz;t#na3_u3c=rj1Tb42i9i3AGc5cLNJY^!(sO6*aX$T)9 z9rPT#z@RQlESgC)(o%WE9(+{=+_@NzRRM`Pvn&HQME&E+jA!l!!ibSi)t4MXxVkKS zW@aKN$7*A)5wxA&?ndDEM{cn+xenHtvsdq)kCKrOH+x$|4)b%{7A!A3HDZOV9Q=R% zAk;+(Fd2D9wg|?ktZ|oGCUe>t^0@fj%Bpgw_v>x`MS!N3$vCrbTF_KY6{LKV;8WAY zGNCKZZ#{E{v}Y<1rA|rmh3lNE*2?W65bOf;>Kq?1QaofXJI7VBT5weI^-f^W^vgs~ zrr%E#L_^D;@Id$W~FcrSReb;Ue zq7FH;J$szK|ER@*7g7wEU!JM5FGkj10)1S_|Cqgrym@Z8+^!pN9-F?NkU#Qy}F|%(eyqDujNhC^5therjFLatHp%z%C+8IKF#DVOW zq2@1pscAPly0v!k?C~Z!hCY$Jq2uvNAj>f^(HtW(n-=8LGmbZ06NkZ))t)1GG+vHt z6xxArwMSg(J21;pa|PG2DjM=Rd1luU{0n+563DH)=qy?BIbTW4ogucj;skw&9{e>I zd8AruqBxLkcx#rzsjK54*vqUiiZ@?|v@oG4W`#G9))Wrc9z*XwW(H$;4>_7M1fLY| zrh_Dm^5gq}Zs>YlgDRKz4YQFZ>{)e-^#InTKAaB{ev48CPH507;ThdziFd)obIN-3 zYv08vb~oN6L!usRnq<+SSs0NJhVn$(HgU8FWPGFu1lB1VjEa}!U)ViMb?*xX<5HSF zAWqw?$~{;0dyZwX(S%GL_T$alO`R$gYPAO7n9uD6S83h$4o?3UJ3P4C~qUP~{(lAc{61R$|FFQf}tb^!)X> zr2>25-au%DEhMp7;|m}64{FG)IDOjcj|@Oj>{Aw_&H7yXTc(-pyDvTDn3bh>sGKA+ zPr;z@MCZPxp?brT_MUZO8rZ{^jGuHM}`+N8$vfjPy>Liy&2|a^pqhYyNS{; zk7V9+$|;#!pzP(3pNsK-|Mr)^{pDYO`u58&{rmjo&ZIlHa9yk8sIccVo^3u3&-iMV-|ayuPo-yfV?8t zxVd%*V5%xrh}@i3vb^4JNe^Iu*+JH+(RGkvG;<3M|1+x}>b~uOWIvUnCq`P#scEH{ z8}TK0H8&xb6LfFx0tto055aK+0Au4o*H>!O`1UXH>rq+_9Fo zel~sS@kedQrP2(1wu4C&s#yG}Z`Go=KXOHAV|DuCp*|F3$!gxfWRUBuju@Z%ZLq9x z$oNKqO;#G=IlDW8jJ40qPkk(%%saATV}^p9mASeGg+A`5q{mS#;v3&@+QQPedT4y_ z58M>}(-e{ViuDXYeX}SJPI=#Rg`?9y^`0Ym1%=*{nH~9zc7=<3RWUZab`nwnl!v4) zQ-p#i84b{iKK+2t>i4x>hP1nR=?-*E1$7gtZqbVu@h!;@EZQjWh6_4qcYLrspD zBUnw$WQ;zFBVIR$+D}hNvX?>mV5i*tsXyYg1Y>*&f>QpRj9(B9)lWo2YSD*55=?O5 z0^ve6AO$)Hs%^_8B*LO4?e3|ISV`ud&TMHHlnk~@Uor@Hn#U+8eAmaKagv1Z7=`bs zXstmF@9G=#&m3DBuPo>0^+oFI@v56~l`X<(bBrV#)UagCLTW(e^i2N2peE`y24J(Cl8MHx{d z-%+jZuGOIPopD7WaCTav{j#~HZVnu^rRO}q8~B+WAk9dSwHowT$39D(?2AeNPGAe! zb8Fc|di>S&wtpN=gF3f&Sb5N2p zpn0*Cqure!vP|`IfQY)B#e5i}$%zNn^brQahPLR&759UnO>=9yj@2}=dK8qL>SbrQ zAGLjXm1<7R^P0fp(t_S20OHiCkEFNa8rK-NVUXze7u%K6$dpu=r!5i^*|$5^wzbOs z({ajtFwp>!Mfnq$ZhYu>2h_M0W|s;WBFdFYg0WNip;D8=+1>Oij#*Sim9azEu_Mh< zhmq7L@WM+PRk)KxmNNv}XoOzE=vyrB?atD-b6ONXuqs^5obb$+M7}q!b$S2(vq(Jl z)CqS!qW7MtN%ZgE_cV0Zx6eb|dYYQLSxz*C&7G2G=QNkKCN=e^sBQ*nYCQsp8*0v*%=gdMtRU(-wr1CoEyWSkjM4*R$x0~x20@}eV5aC& zV7hC-dP761;3I?x@!u=z6F?tWVUwdR>pyLh#R(3-3=VoXGl6sDN+uH}4MU0OJZzMN zb4+C$VbPC}V$g#V^+u7Va}@63emOxa!j(5~~ixVh)sGn)AEp;33Toia2(Y4vn$O1T{%d zJ_1Uq3DHOuN>!4c%4qRw`2q9?#=P20?Lo?YRP6->BoF=;L7sD(yTrv1fsS$VOoRzw z%x$z<299y;6rs82_o{A<_j2~KgV{`gwb^h$(WSQGR=3KQTPfyQ%#LR<>1Xk$ese@5 z)Rc-uN|EPbMC8V*lTMsryc&EYLjvd0KcYtG;ELGKZ29(ne7X@9V*N3&Qi#!&zMJB0 zNZm$zBrVAUu2v5=Tc2Mk0rj5(TW;JsfW9Hm6g zCD+O=%cNNQJZ6-?ab#p*hwGCfZ{PJ$Qe30Hr1+=4RVQ88&%**DPmP{hlH%b%Ja}pq zYpSZVlsD%9VEXQJ>j~zOtxPZimW9bUhLO zgK@PdS`BJqrqt<&L7CJHHUM5x3~-F{hdK~jQx5F9KtVW1_H%?Y;K@y z>jUMW^D#xSAS?$1xH*%~8W5`W?cXnN(4}*pqpzn)dJcuSOIp{|^_70pTe z1Y$}}WY_GJfLmT(q#?CF<|YdRR__Y;UX(ncmj}n&&4V}N1x|nZuD?)t1)AR$r0Mq! z_R8^+*m#a=f!xsV>$|BQw*Vq>wdVAm7Pb)Bh!&h!3L_d?V|PYKm^wW0{wkCaBc<)P z%tn3t-eKOw1aIKNnB(Ky9ZG=7jxVy#`Y;kqU723$`k}`^zp};}p9+n&IB&i<2(hNE z=$)SNVsVvvTn6|hz<;MFjHYaYcGnO6{B78Hh#mAHbM4cy@E2uBX8B1fyrG$DYQ2(i zy^@4Jc>vzm0Od;A1v|&ZE{7^mz{hf^3pSJ`hDc9G^idP$l$;^O(OZc)Tggxf=Sqxn z(?&Hos?QJT_+)33_nR+tyQVM@)Dw9D#XBby8T+zuxYkriPz$M5V!Pr@;x?e<^SU+M zLv>~g8EV5^(-+=zFeN}RrHNu$T~Mp>`_#;cUe;-(jyiv<)f$nZF)~}Df!Ljt=sEB2 zk|9CZ0)!o!Os$+#*2mcjcnpMgxymB_Am|0XbPkhn{T+K~Ff^VmzMVbpt3c`j9u*P8 zL+WkatAoP|1wz-77D;YLjEonUMGlRHzNMccm7{Ck)z!_W_fh-wL(O0dULP>STPWvp zdqg<|ev^*F4gUq;sfxwr#Q8{`+{;%P*Dd9=U~49MpZ6e1`VR+%A+>KVy3gz zKMjYY-~7ufX@paUPzO zPJPDuVfuTSk-&ywZp78587nFYfQ)wP4SFsg&MIL0J)v%x@FQO&DA zu>peUSx}ZJE$dL&TnvV)IqF{M{^5-{vKmBL_-W8}J&onMQ_kE;zUeg(RDhJUbZ5We zeS|J|#GRKTh5Q1!!p~89MEEbbIsDXTY#E4DHc9fR&$SLedfG1IZfIG3H!QZGZnG4C zYi2J_@+m1dV8b&JWL(qWMbvD#mbX#S;JN4-t+3;}Y4nf?_7{i;_fMOO3GR@*Z5RVy zpLv@LsEu4gih~Fba{OeRj{Pi} ziGB%DOrs%(!8@LY&DCGd;X<|ixJ`1T>{NqyrT^hcF>PSXf1jU*O&%cNGCoZuM$Z$N zEE70VzL1L!#a!1`!w}LepkqJD-04lH+LGlIVk+f<#)R6dqyF4sd(A|f1(!rOF4Mfa zmXyM%_^h-^JlYz8B19f-n(%;j1gj`I4&o2ZJ_FJiA%v?nuHttIQ(B&$5ljhq|2>iF zrDi{WI$4K$7tD1Eb`1w&NFB+r=NRBz6K?gsTxH!=c^2a9*R%Y^0+N^d4i z^TcvVb#fGAjZYa9?`Zylf#W>I3mx{@1Q__*4{mP{hxf>pJH@|O^m!4^&EkmNwEV+d zS~W&U;Y5oP*G{zPUD6jjAi}ImY{K(IB)X0!eG}EncTyi(JN5XsO@nKY>a566`~}i zXiRxPTrA*GxHe!|Vb*I5yNiS~iSoXQnv9v-iJ%P0qlXIPzzO!_5@W7-`9YMDIDxHE z@W_v~NUR_4l0Fvm^9>~egM>hxZ@}zn<#Oj>s5R(a0fL+04Jkb2EJu`T3^u+ikcMBi z?68PcOuLQ@!pGLP8cDUK&FD#JP86!WNq8-k_;5Fu2qI=BW`j8qfBi47Fud-vD!%dpJr`mX%nNsoGLuiR9$sp`H6doi+tqhu)g0QqrOrI-B!8-AVPbCyiMp?rbt5! z^l(3N;$E{tg;q54Y~eq3L@MKONuB~yY&H6gzbSZ=8tgUUX4Jo;zv7oCu=@oen9zs; zw{EZ(n6!SI-era^$3?|#T8poYO4W&7I6u@jQ3#52lx%OFR-+08lpD%!u;*A%=J-zL zOiR4Bg-CkCVsO&}xHKAgD!41N+E4t{E026tZ{~v`SSC*O=U(uq|IfIh^r8N~hoM-i zf9mZ|p0l%Cd=|@lS!l@46${VFDw*IL`MiA)P2j0Wa(EkL{`XNaf$x$go_Vw&-YJ5 z83GniIzvJ0aQT45Jw=1kL z+qj4Lveojq^&70-Acx#L$gbYG%&_+r@XEOwDwnd$03yi-EMfQmVPpAVON5OnZv%>5 z+p_B?GS8X_12GQ%$>sTK>8TLAnR@$VRt0veD@s*+t0gRwfgEI!5T7f*LvL z>h`Kh)&)7XLsuhl^QA=X^zrkws3g)4l2^i9i|zakx$BQw*f~3=eyhcSu~rPYT25wk z%OhT5q+xYUBck+Wq?|H59x1P8*%HB)Dm~sWOK1$*WHg}Giu2z0^X$^zEZ>2MJxvru z54c3hKP+^Jr1~@$hE;-a(7sUzu_fQ$5+v@#&x35;TCLn~ts6wH`bsA~ogrAgi{9G- zUh&U$;c{kB(Xk6R1T>)t>`#!mDmCUCVW_o;qO#MR|Im1woiaozc1}d#FRFzRwgE}c zBh9|Ya_;D!SV`vkp*Sym*LxmR7N6U7gO4f#<=Pq)-&deF$@w1P%^c@%U-EYcEbUgN z`mhC|4d@KPLG+A}Q~=12&GG}j`{#FZ?6U^3XQ+^%(N%}eO?;Hgn_?0{2us+!)j~Ki z`fffT-6Je_CXJm*eaER>G<;SpAzpNF-=Y4%F5)9>#GuWtGWf@gHhM#qRG*# z$iA?T=4!Im$_-hn5iQiyOk#(St^~dt$_reCx)T?@sbFOE0|{c_yP3xSQ`#4coG(k> zcL~-F)D9FfmLhVGrx*0kH@q5dO0e%v`5|pjxCVV*rP8eF91CmyI12v}64LA>1KGwf zm}(x{mS{cAA7)yNZn)j4g2$cMmRQ!|(rl#ag!h!I)eQ;;=8STcixVQLv(bBjgbd}W zPBvvIyG|Bi8GLY{c&auMUaur(?$Hko*UFj){KHc~Em!PTHRZNm5O?9pN*3a-h0=-f z28GojMaQy%=-@8ClMs+p@_`PT_F9!2ubMYrw|K@WTR^rciOMfHS&50<0fN?~`qp3R zt6c^_VmrK|U|4Agxi;?vxAm6EtQVS?nk6MgAK#?WT(?XqkB0t6G5|JQrI+bJ_y^{e0Tv;8ES757*z??&v6-GJ!y50D73Z);m#aKofeIh`kgv&PR4ocpD6*tT^vctbw71fN=uI4) ze{lfp5V9d6gJR*V8}7WG41kbi{<>2EammVM(|-U;HvaG5{_?lK{OeEOe)*+;pP#?| z{vY4|<*)zk{{O7~%d#aoaxDnX^D8JhVvfS_28KaTAEb0hlDrXn5S*A4tGasFBCIOu z-){h_3RRqQYu*2*^V|3N^7rq*{QNugs2`45NrM1iEj0>H;8AFVrl0 zcn>sC*rk}cdlr~gsZi}xs-;A#pvG>g2K#7~-~b*wM-%3t2`R7n+j1xZjD&-G0_Uia znw!U<=e`yFe(dXLsxFSFe!Lc8g{N|Pmw3R)(T&U1!-G3M+~vJ9Q(AI7&8pYkp$m6L zN_41sXXq*($AJoaeV`b`W5^-n_N!)VDmK^dknj|2%TqjtGq6ZK(-_THgC^c`uDzq| zD8A~?vDGm_Wi=3a-Lwu^{GCy;Toi z9L5mT^fjl4>EPG|wv&x&a&V=DzDE5dq3uKFSvBi4w7E(Mt{b2eL;RMx60sz@A0%j) zA|kmTT7S4X_BfB5V@u6#7NtaXoEEq(6LQf+!JCJw)ZB0M zZ^8iA6x~8`_eLH#IJ@lpm38x42Ir4CC*<4SX4AKctV;!1<}MI5wtCNbkVg6nBEJ{= zM&~9@cNQAJ2`@7aX4f9RTvnT@-(0>X!esm?0`7B40hNH1FL^_WTkwFh$jz?s*!_%t zz=Fz3;j6%5iYu(+2e(vsIDY!@MoDe9U15E;Q}ll~pF5|_}OzVw(% z(E1#MZqWwI$T^alp0fqNMe1oAn2Nu3&(g!`k}jtwn4>+N%bi=|2~6vN_lQjnw0pe6 z)XDX}tY8%NrzRE!$AOP=`)gY_FE_cKL<47lRQBd?y}gJn6xJd4B5Pm5J|`UXemLRSk7PmffIflACZZPzv{oaWj zzFsLbNBF5oIkk7ICdonkWEWesTe>^=YKR+U2k2EnaCN@W z7OajDQ(2#_qNBMx^>={8Tyr6-r(q~0Lb;C@P!Y97F>()g0KdCj(U5*YGPP`Ab9fEu zDd>p>jobeEoGQMklVX(+=Lve=w zqI_k{QW|T=fdcm@sYYXqw@>=yjbN8A3q_VEK~DJh^;>k0Jh>&SN%e70P{&F7BW5sF z^|=RRXmu$vN&UBOKiv~aJzF{#2`m?8qb>s!cu~Q2FXt`+Rbt(xr|w=EsdU{Dvs`md z{4(qVW)@a3bp1#C`-DpAhH0#OOrGIfijr+nemnaZs>NITKrONQnDt!als_x3-*g#h z7)LP}MMCh3%8?3WP=zMHV_}?q+D1`S((3|KA#o@qB>gCk#TSunQmXA7Bc4*aSj*6H zkGcyy|DmTtctK_#E_M7SOkconJ=6^QlSSXMJ{bb#7}VJKsSgQaZwl*4Is?A~bf+){ zxX?XNYExd0d&YH@=fJ$~AwU&%_2zR^3MIR0(0M@uyv768EL(CU9R%?~Gy&u1(VI#h z+L7>~iLZxKI#g`0xZ1s*3z}o|?UdtWd@S49R_XT@M)bSuoH!&pd#E?rsi;>8Fj&FH{0p-d zw=j>7N$)8p=OJLh6*tF>TdxA^yd?ehqXZFq4hC84KK;`$?ayq1>_LW)(^r4S+%5hP zcojE}G8<6(K@%@fCT;>Ua*C|LQD=8L8mjdE@xPV?@IS@ZatUl7bZCI`C`=^sR0K8q zB>U&|k~LsZ`isq8f0@kQ3HMlS@e!uUF&B`&Uac{olU4^k2EkXiDsN9RO&m5Q{&i^;l$`(>&ley}?Ig zm6I5_tRFbeWVa#v2h!p~!6;UWS`a!8tLjHw<7?}&L9u^-X137C81^46vkakM+X`_^TAO$akE3N(D8lqZOvsf$xu(H-VX}PlzB#lLJ-EPQ@{WQ zB_`4j0tGUg9r!{zpV4q(;_x!SwTC_!|dJ2kMrG;f&Xk%YHKb6)$ zb6c$hEpc1@;B0VV=SL3~=Lpze+8s$ZX8@SE^iaW=QzwrPdv78Esw)(BS9f-a4G^!o zYn5$M*(=hjdZY^L-+*PW@v)B`j9$6iO{o1y*^Vsq(q|tSO7>t$GIRu^FnvT~YP91@VEK zRJ}8l5-%i9B$*O;Gcd3(Q@l-Yrd#Muee5qtXwGry3r@$z3%2?C0SXZj-<==gYwSAI z-mwXbhgfB}gyWEqwwemIDzWW8MJBQbiGw^e?+h-()rbBks+hE^bhv(Z6cA4G7t=UL zhnzlq9z)Mk`~eyaBFzluD*D)DA1kaQ^9G#S+62{aTSAl*AE!^VuZVrM(<;?a+zk|j z{q$sNp}4}X%d|jL=mXQj%9ZucE0E>#7yC3ykDLO{@LPWxzwyX@GN z7H_TuAU`U>kdzz$8vN5x^j8#!<}9lkN2(g&@&yR>#?xG9(661CyB&>yxXAuUz}qrc zvSu*7lpplmfcAmt#7hCwybNvPh04Sh2q_y@&HC47^lY;K_YfCC!ZNe=`7?-~q8BR< z_P{1Dbd6U9(qxxS!m6ea8QBhnLB4Oj+L664$efcR^GbUvr7PGXNhQSx&Bk7UPe9}C-OxF={ zX_t%2)^Hf|1C)rr(YNiBy&i`uGSvw`T+nizCUssJgrUY$9|RbMuNOKGQScL-mi8_^ zu0g7{j~F}Zp3q1u8H_GTdI;H4Tsp-tl%`-uuzb^TvFcZbs}oNDso~0j1m7*zvVAs( z1CKZEioq0caa`s^!EwT-!ZsUE6Kng&ESneL$m1-V5F&9m-v2oWsb&SH9inaN&Kdj1 zoHZWzQlNTdk9OExXzAKvb~t;l}Xuy8(yc1u}B_K3PE&$3!+a$v2-BtY=6j_IqCkYOMPrhhpu z30VWznjA($YG;%Tu<;%^&#fpdnJr}TrJ_RZG~ve**lm-*76y?bSpeq2c$%UVBlT6d z7Fnp_9hYo;R$CVY(#Q3_D|**YtUDloS`2#fXCC+3wrThivMqxcGCT3%Yz<``#!5A# zsd)Uu``g`h8><4;H1gr{2bk{?eubaV zT=VZCH_l7k*-(JiF6Cv`dcWx2;MD*=>kduAyj~8_6HqWLL(c z%SMi`!veymwZq}EFbrOPjrUK#{sVVM{%9Z2SbXjBzcSEyj;B7oKis2&u6+_Whf@5j zTOxxAf9;MeN(c`%fY_Ae;{aau&;ed^i=z@>_2F(ZB>NZMP(CS|ZEAC9aUS;u6pHWu=l>W>M2KWUwN-bCBElkIFZ7~}4o4~>es=?MeGjOY}#K%ZILqahG zL6me}gPJg#m<)9^pCxl4I~7(Uu9U?}j@P$etmJ5XJ;{5Nl(2^eArlfX011MaO*YkM z&o>nt%Or>vPt3}Cbp{;>GI{HW$bu5NkODi78T8S^yR(0)K{-SeN1|PyFMSe*yx!*O zT_UElGD{dALlb%E7XdrIM)gdLE(QA7;Pk5lo5k&0%07K9+*n+YhbO2_dNMxVQ^W>d{{$}0 z)XgN1B42??L(gph2UTS*>tR?(cZ0!4_-%G|`<>lS_BjcTZKh+6`~@^EKHgYCS2Md< z$C(3aFbrqSS8R|0fXAz3$TdSkJlIQ_`o`;@S=q$*S>T%wks^!}^BV(N5Owg%%5~pH z;=XQ1e_&qIgwnD(6Du)BHuJ+pCLnEbYcuGBa*I+tv^%+tVNLKdM`R$(k-AE}%<-)< zS*^9*0V>dou!N3vIY~MeWNovdw2tU@-2B+}cIkBv{&7QFeZV{cAD6KcS3nv2`7mI} zyeXWhU`Sr|u3$Y~4Ej1+?1xCHn_z|^qpnMedSE{cUnOC<{& z;BhLMMlQ=?OnOI$ny9ilGw%hWga~WZl<*c}vb0swn!i zTF5D8KLTeOEe=Q@?RmV9r@2Tf_1?h6{qm9T+GAG06#{R*-oXhD^juOuTT&Kad~dXSs@*KV zb4HL$!NNc6V1_2U`Hme#A+qq8-YXy$-k*BLS_%^8cIOCjCQ-XuaVQrs_USAFZ!-9Kgj!@Q9Pygcv5)v1K<(!_~D2cmN?9{S- zum(jY%$=dog~Xu(*%|XiA1d#?L2{d9A-74P!W6cE3cLGq_@hOmU)1rW%!a_RyxWSA zU-{0c4mG=2x#;FJ-SL@)sc$4MnK?dNp$Zf|aPI+(=pviT4pSzc@^21j*~iDzdQwGT z->PAgJD{W)PrV^EP;#{P1v}y5UR@?Tu`>K9`JA_uXUO{GGi{-nOf>-?%|y9`yxx(7?OQOr-7YAXE{#tZk0~18aJGp9**}OsV#1v2rM&~5g(@{3PD=&< zaYw{4l*XL?D(;s#VsQ)PMDq>V4g2dxzHBeT%2ZmOR>BMK@AO8Qe)ef4%;8OMczu;Z z>&^pL^PHy8c-fWhAmiMZb98K7ALb=Ke|3b4AmHFm26h7mllW~6i>R+Utipp`ay1g8 zLPv4lo48AGT0+4S!6UFe&a3Wn0SsP$n$dH?4@r2apA~BL$F>xHmI$%B4KEBZm_0|n5*~LY z;tiRw%jLlwWg&}Ec+5iWo|&bObNv)03f;^qtkLQOwf||M%;FlJNDg41?BB{1B@ul! zn&*H4qSU@l1Oph1a7YdH+GqBgdFqez=k(>dufl+6)5`VH0mE2vxkxy)aZ#R(|NFPU z{OvFQ`tAEKzx3bp`P=XR@%>-^`rj`8b^Oo$Kl$r#KmYUF_xbYo@4x)~J9OpefBW^{ ze|i1({YxjXAdq=TvKYYW4XVf2UckV~LF)n)q0nJ{ z5|>Q{_|#IV#``{QXmqfFlL&|%vNa6>NlX&Vj8tE}<8t0zK?=aHh(&r+s_QH9mWo&X zk;Y%y^7he9U#;{4J`m8_G34Xn<5JnaRnpBL_6r>05*PC@9OhMub14a6Qph)0`@K1? zj8~)@;jWVD6@|7-1~utigFg1eL%v8N@YMi+$`ukJ{b{0_n2>B=+>I(Wktt4&r*I4x z@RenQ9ypn$F^1a#GiJg@uK-a+xS&z5*{ zKrzBxEd`$u5XjL&xZ|%m!XHtyaMDM-CCbtSDiomo+8_DM=evkUlY!9pD;nsjsmd&* z$N2`944P2AZ{4(G(Qh*@u0l=z;QXXJ82;ScwF7eXX8;pxZlh$#hxbgc1S8Mmx z!xp{gdpzQrD2pU)F?_wPYAAIQRswXm`gJCyjCTK`M+^8Lcvy6FhmXQiz}31eVt<%a z^{cE5Z7iQ3A?_bc0+-q8JZ`SfKm?FzGhh+dxR=eGsx}neY@=;0Hj9JNGOjs;1{MmN zgVR}t#ILb(wk6*122m4oeEg8gyRhttZvbaNn7>k0*ngVpJ};7Rs2*pV@06IH&HtUz z&l~EaxRJ8AS=g~vumsG5s!#7deNy_vb@{vCwcBTCPEohHktoB#7`ys#{;>ymxvi@L zF?Krbn0>?KTZ_!X`uA#va~FW5NEyXw0e~FuHU*VjFJ+0 z*i+iu|CNAbHFTG#bD@Y(8}#AqX3+s6_ff-;K1_zuYZf^AlohW$8Ch>yVhaeGgx><0gf1k399Q4m z~Di6OcAooECLOii<8q`LVw$I(j01i-6jAv}usaV@sZ53HJu4-W2K!X>`0xar_ zbd#o(?v$5d1#IQiYQ}w4X^mt|z|`vpf$OlFs=;6pE%xcF^!WhStwcTZG{g6DzfpmR zLR85dS8Ohuq#GM|fDYD+AjrjbTE2d}aMsMfwj)@atHOZX?{Db?r*%34uL<>|(vKBE1?* z3eX>Z)2p?=rcRq|i%o1Lyg|&&YL!blGOp)Z@$gExKu1-~^Wo~SU zkHoA3Vow1Ic+>O8uLITh^AAG*ZPH~M_>gAsdPyxpDBBw_B7IBX(3z<7#teF_haA7N z9!vlYl)`PTEA5yayIU2I4}5t6GCB9qn?rSIX9#Nk;|7hgf&B``B9VS4`E&=sMwct; zA;T2^ZE>WS;Ul>e_&6m@44pqQ^`{w?)2*88MxnwYB@|C_;9u!|JEoUD*Zb~AcH<+v zpV-4D(Vf_@0GL_Bg_$wqX(%@D;{mzXCe<6YHgxF+X!IMMbyb@}^Xg~`!#kw`i5RE% zUBp{r6>AV|>kTmj9H2|d1Yg-EuOCkenv^FKwII5?KMk4U{;vzRqDKfJ>#=u8*6lc; zqNQ+*6AT-n0f|u9AkyY)cELi& zRd&aMh1jPm`o|y=bzW}QrxbcU$NH2Q;I3S#Ey!*#Iqe-8T&RfU-)qr1ABV&p?k7>& zeu~%V{ldsb_4<<#v@roQNWU%C?pXPlXPrN-1=qNCXVSrN|N9FQwKeHe4Uk147p+ua zajk51c@&KZ5f_+8e=R(4!u1tUa3vHIVhtFdobNXf4;a^b9GkuvnND#>tP@GA+8)%xIlj6tHo(j!}W8O1GxGv!}x9A?sD8Ip18($evT z;Cu+HOl94{ZR8u53u+{xpg`KSQGN{8uvCpb&mlBgrmTCTssu-V{QYz&dOeth5^~q= z0T1R|(E}vY8|CDDUAJ7X7ls(-()4Bz^=BqQ z){8#oM@=mAV!jyIc}j+wi!4NWRcyCOuj2XQ@!vm<;;()}$U~IcV8j`7JWb zxEgYd zPyIQDXO63Dkb@rA(z^nLR#n1kHQ?*1eSl&VEg59ys)y}Yeb#Tk@vi~d;kion{3vj( z;1>ygsnZomdn1Gqe*qG>X3%8s-vrlT_01%k6d*;uKnIt;^blI`NkACbMbL_n$9}hXW!d3<;24=-PFVAC|lo2flxJejy3Vzk07!^w(k?1VE=|jsbgB>?v@t`Ny zhoL9oUifWcpa27`2xCkSkms`4eo9Zp;%B#C>1JFRg82DSAn zs-8~M*6i3%Kw$S+14pD7bwd<>2*5&2~trlyB!#ccKo4?=U8R3 zb4A*gAZRKtW{;}^LRD*ca+4+PZ3+p#@Qq+lvfq}= zd7xACYIKb^U|4da^5W~1m`}j&F-TkVspVN5N&IHlEKAYiSTkD9*=K!@VQofNHsqE2 z6KIffyFFxtvu7V#>v)7x>LAzL_9oXDE3`O02ry-DC03eUX>seBAzF6IMf2EI?-=4Sls7+%-(?4>O&_^Uj?ui3YnU}F$fo&q2RXn1{jKqu#gl% zxVhh%f7|P^2)!qe5iC>C&zQj$ioj*MP0CXUFQ<&C%P9C#&~5YNk940M|L_<&n+&YY z-2wS(N^)HGgk*_<A&$RctrABRV&%%|k#t|I|bd0_tVNPFgmy0xMTI{+Lc&zPG&%Shbj z{fDT!pri4l7RiHh8ChA~G`RCDSm*g+RFU#Z5Cg zUkrfXjN!8W)VulY{G?0TTRv9nWzbFI!9>)yh0Bilu{>S()*!N1V=|Z@Av7E|OJpx# zWljzTw)QWwG2Z2&98xiu2h^9Zj49|f=nfr9i1yAKqUTSxjsd42B;qST{7 z!*+ouu7QuL9AEXL3@Bxiupz)iA|cdJ()z=jukE8;?pQYS*>ij}VYHz%9K?iIYN=0< z5r;$!&QMjs1UX@&AQIM$qF6u8OgmLOxl0cK;ucnG&MYmlRcs6!HT(%AE<+C-$rEOi zLSg%qIZ{BUHbh+W+PF3LiKvYODvnxW))9k7LRMKAIf{DK=%AP_I)nXCP*%A_Zz|QA z2WT9CeS%7+jSl)4E6L?w?oJ{lEu^Ru^2rxRwboe6SKcY!g2u-tZ|#hnaL~vEY>QX3 zie4Z8ZeAn*dWizCsHj<-*{0ai?SZzZ2z{($BDQhwLekM2V1WyUMg#)zCYG}n{uoks zSK+h|o=^K@{l{g#dcPPq;8l-{;zmN;+B77A{|jlckcuLd6-X42i_;V4^RDLH8_OFX zZ*0!Oq)pP<832Dsb4?0TiNm|sB0pV@0ny4V*WtlTT1I%dD0zvmdVC0feA|SWUDDq! zoS~S?cl>I=D$W7zHr!GhYFoS|_EG&l8>)z|xd`!A!CLN9_XOrjP%zM+dgF5l;uXZj zCh4&rW>9!i!{&DdulKuNIReRGjs|s5vD~(f1^q&Kr` z0ph@Y#-BK;Q-%bu_gv|Fj5_Z-09C}Jh256YlL5m&Q|!r>o(yqlk2Z{9x{YM$I3fak zG{^&0qo|Qrefwmb!5#)(ibzK zzBbW(P5uz}sE^rRk&J|uyS)Lv1WpVw-@$JK20f*VUFgVoJ%`Fbj%cWyXV#m?HSCG? zG_FG(LLKW+KbG)X{B5<{_5oG1)1?O%el8B@ezH3q@NS5-Lp%!X#D^@&D@6xt$rg7S zzqW?Ks}717C9!T+Oys65W<$Ip9&TD(Z^&Z=zYUbF38R}SS{N=$ijY`LhLRfmnkIIY zjUIJMx|JAiOo)`kCx~V>WE?3&*oUHL#oxJY=>}2$xw!Bn?Nr2v`v=q%HxlL~V5|y1P#5t9&wPvF_@<8;Ff!he@)*AA zX)?i(_Qdz@z?D#sorBDyGm(H!)4nk4jdyxvvw;81fI)9qujw$Nk&FM|#Y~oP3R>yH z!IlE_a>)exo5Mtu?~~?1@T7#@A?IcGwcoZ(Wni`!uX?B`_NuTiJ#ADY`&sMPa@;d2 z!RwhfIJQ*AQo(pRDwNbrgp12dT1rJ|n7I7b#H-~_-ff)~fu?{3e-sFd7r6lshg|@X zhVeAp#I+B}9RRvlvXD7Gtp}Q8Mq14N%A8>iTr?6Wb`r=xbZ99)d9WoT|7i9mLYo8@ z@amJ`uRJ~rN=EXMY`AQ&AC=Rg7Jwm=s=SJ#;(PVojxiToB?#9=&m#9sK zf@`&bkiWyxOgmw6h)Tf$@N)*6p~hb zClhH!3wn)n&v<1Tk2Us3l$4W!*jc|cCtrG_HVG6=jR zz9<|yTM|IWk4vIh6NiCS3`CubCK&oz7AS4mL+xQF$~C~)7Oa^O`&q6?%NBjt_O_pb z!M2pk#9#}Fi570G%XFd`O3MZlmPexvARWw9?o>w)BP0!743;B%F3%EqsTMQqZ0hYKh`Wa*2rI}s z%1bMqI4a-EVFfZp=8HaTPC3oy>Ro1JDw`w$B~#S;l->YlKQbYU_Y>wMKR7X_SwaXOS$NyLtpl)22-zqmo6H>?1RrE z!VHRR{ArY#6QP^08JJA+SYwpdK}%v$7~OVI18pl`IbNOcu}_&oSW~p6txa)mp2F2; zWFAK&GR}CwQMRc+X1wE(?qC8RG z_yp<^J{iUYjXCZ=Q}Vi4!=ORpEwM+?l>{xk>?^bZ49FcmWo-Zqs2^Q|~YfPb^LG5Yi9 zta^?aj5tJ=O!tCH>X?cvli*lse@6XKUL;rZRli(EIwLV;q<=@a^GGwpVT4GVK$&lk z1^nTGuyl1Pdf7pH&MI8Z-(Y>MR{0XkSo~2b#FC1~Km34uB5ebi&2hQV`f(RUP(tv{ z99!6{jDLQ+TaK&e*q1}g#Fw^j6aO}n=7qGnul7Se3~~C#5>SHHG+_8E5z9!tIQ{%p zn?hvfKS$c_61k7Ib{YPG2t3{?^aNypogdru2i9xi`xiT*YX`Bb@qhpJm%shxU%!3- z<(K|@K7afDKfeFVU;o?1zmEU8|Hpp)?dN}f`#xX({{5Gqe}_)|{BOVh`!BEGzJHyS zbbwYZ&V-t8jKQD3gJGCT1r3(|G*O1leV_Yro|@g^_C{<%!jhInLfwG{wTXspWY~w2ZIJz znLS{S-7S_wc(tiB&2NlX-aeVxz|H|l5m-J4xY``?07h-`8r1z_R9&3cbmWR&O|>Q@ z4r0E;yo6r0ahbhVrdfIdBLAXgoAiE^&;hygE7zb$#qb2weeD^-cVB5;=gKl($AdVC zUa*y9BCc#gS7HdWtMyW{gL0BVNMK0wO@olVx`}_)21+6bf<%jAc14-3{H7t=7kvD|p&5!iGfjR!!u};vgtE5A9)7xJEa3Lcgw$2l|aG8S}Q9ubV|zcSyklYDG^$fU86- z80H2%PA~SZzInjPx(7~>W+uJOreSCq+ z#3G(f67%lDYgW7B*sH>{E3W7+%>HB6+(!0xR$-kr|}H-Z%3+ zzmgM4c$~{s{UEl@4{qP>zzJM}eGD=N?v#p^SY=MjHmxSBH#i4ROyFRdM>}uImb4tLZO3(L?vsk0I5+Db>m7AqTNqx20v{m zQbRdHv7?548)+fZMjAvPT*rA_#Lqg$Ql++;ZkWjc;pVV?>xL0yFpn7^$nFerl-6-_ zEgA|W1$t)-6IpSEK9oTb26e^7Nj%2+Vn#7&_C`nW$>s|XFdu&FZ&&y@tWKUk^{6LI zFHj~C32c_JjZR6V?`)(3^@NEnkRo%%;5n_$-*@#LP}f*UL2793zQAGu{q{1uj_X4? z6L4+3*}-5qP1|9(pcrSsTwaOGp7Xfdd?jjhba8$C+8hzl`>mJGg!KH3yMjrb!qK`Ca360W+a1mRy7p*vW9psR> zmkyI|U!fP86B06~iKTzseqw=N{ThyHx2N|3BF}BI^w>_`=zKSylE?O{`rC+REcK%o z%sVf!$*01Vy)zj*WpJv=rmOYNZQGp;-3==Twe(;yNd9Q`Ptujd#fXB{Fj72&z7wmH z+yj99plzd`BUeE z&xPi*HcNzzy^~gidD3s%>36?9EQkX(hNFASR(cL*RQkRSVG%`iA znyVirwcC{-ita=Ky@I9`7)XZtS-4goxq|JO<`&PrmiP=bluoWMzS<3(*|7Qq{rj=T zcb*K_g!$LgK?s293X9BWj{7WPKohdA&Zl5_cBnCU<)S~(GJ+cOWlOIXj7`DMqQA>l zP0hrtNvZcV>ZI7FqLzn)3t_QYCsXi?OekWz!AM9?Doq-fH!jMdNXbbZ|wvu2Drv@g9i+AT&h{w;z2hQKiVX`n1Fpm@{`G=oUdB0%zk zHfP3ceB)5#v198bQ1)7zg#Z3og3=DUUTPce&3DR~@D9S9o; z&@>kAIqp~=oQ%7u=%2j-3y4(z&y)aj{aToYI zBw%u+bHIs{hY|A)U^>1TDuijo{1`Dx%9ibfKISAQYoU~Shsxfxclw%&Zq0+P;{2f( zaRWYu;1+2&a_-Ll@mY4PJB~o+a;h4rxk*hdJkQq{t<`B_&TmzpTGIF%4Xw@i3w z=3HVQv3?u{6sq&0ROxh|zA9kQ`_i!J22~%iFDBifF*<=ac+j`idjbLfD)6})^LjFG zIz2KT=yi*U8-c#;o+VhbktTlDRr+}EYr3=mYm;0d5DM*=JJq-zBh?#Hu`00>NePR;3_%=9`ZpN7tlYIUL^m;nh!GM?7vQwvqJX3(>2AhA217Ov-n zzQa^MQE$r0UU^+>CYwIw@QT0=K=|aLz^V+v^|1*!MwX+wyR`w`Tfhej9Jv3|upn=;{q5B!Fn_fx~>~TGtx7n}fbe$**1+ zB2VUtZs$pk~+i7Y= ztvRV&kgAj-_t!Y2>!y&%U-(k+8C63*&u@n_e3h8;^RvY6*2E&hT! zl>W)AK(XqJ{YQK2>$`|f`+gOL8=wyTQyFm@S0xx-Zjl0i@3(IV`7YE!HdBrhm#hI& zlAP42R|5R%n`;m<^QYguI>kkMnb=>gV9J$8=S7p&r$_XA%Zf2#0Is|zZ(PYj*;}^S zJxW{m{~Y=N$XFGxrv$DC>B8)@p6268;nW#~#(2~|9IOUH&Hj~iCRAJf94zd%ts0?Z zSU*}L3km(E0%U$&+m9JTq#I)#L|hTJvW4^3$o+wuJbv{nIefLeMj!t``}>J$arO%J z8|Uw65HRib$x^8d(XGmtrd6q=C=r9*y#&}OdCms;M*#<*1V*m({Rcahu40cf65sc( zaco8kR;etbxG0ypfz4yl4HIX6fTq&NMZtrR3RZC@FYk!TKL71_<1ecyVAp8tNnlHE!XS+$v^3 zzuZw^kYQ|(oU;tVHloW<6#)SjQENqV9{Na(rJ&$IvaWZaDf2@>G$vf3;p~sL|g!Y)m*Dd5Rcl z;@P49%mWy@&n@CwhX#s1ncFS(%hZW zmdX*MsaE;D`sN+y0iswhB4zFN0)}mgBsyV>@z(W&G*-CU-liU9^}qk$|JVKB|Ihzgt@ko5K0 zNS?WX34pIooZLE=U+qU?4!{TDxe)ohrlLYTay-qPR9ha@XMF)DCv)6>vKsW|9xke8 zzNq>-s<#Ies`tD(v{WD6Mt7hHTT|2H7Z%v5bz{V18T}+~iR#N9T9Az;JsJyIsGb|k zdS`k$a4vQ0MkG&`z4J3(oU>sUh%d21(YFh46K*l2}SAA~()m^Z4 z>_NCgUu^p-1S3tCsk#bwSsQp|DFWF1sJDt*h}}Q>N(OHtIKBSuFeKsB?6;0L*)A~P zlXpYBib_YCJMs!wrQ;)s98kiiXdvEL;qqOQTT*-J;#8Rf2*RCHvxVk<4EqL<&cH;& z$HHdF!3pcu#%KhmMd-foCNnLHO${=-D{2UBGJII?z6Q6sui?^%nnasvYtz|@MC0ZA z{ZqEj7p4JSK?|ft&RzMz0td=<@oF{=x-~L#fF2yq?8QBKDDS|%YWut!uqlk^T_G~S z`nOnR)g&2 z&MT`3E4)^23dr@ zK%ufON1Jdx?3vwJ^v#gL1!fq4OY9!kAEiS?qxxdvrHPZ!4pm0#{cO1o$5d0Qw>!YH83e;Q%(hFNa-{A1f$a8k>Un z2V7-u(#iqot+!l;MQ09sRSNg8=&JXB@a2V~Rd#9zok9k_dyjQY#j z>kSTGxMj=p1w%D)?-ATEB^z#-)s=E>9TS*m<*8R|ZT+4B7iE^S`pt$s(pU13JBM4@ za1}0#6w2zvt3I!W+;^meR4}%og#Ny=Ya)zO+C4F4=yqW!gAzc*{}3LAZV$g+;CH4Z z_rsx7-7+a#xc$UX4@Xf?O9VX4$j#diCjHd*?*hPnO4VzT3`46O_%TA&+agTieUWL4 zuTd@78qmS$Pd$5{NT_c4)tL=6*U7mp0VBgzD(TDAXx*kHQp_n`cV1Sf!w|c_mW%M7 zyK)grH?kPzMpleQ_9D_rKa5A)EilWWqD!AK& ztyqhInw^pft}`jf8896BKu78+_YzR2#a6SpdyEr1s69z)LE)ET7k&{KX{4HFUnd1x z92mt;q#OKD(ZDi;RLABQ#^)cnnU2fY=3GS2b72XfOhV%;EW>kD@L7WuKD0YI_GAM? zxML&Ni!#L*CsNOrl)aU&rkCi>F6RunH0kDbC5DKfcvDkr10~Q9B}@Q79PHW%r_+% zfM3v$0E;Sw%B2@XXC;SR4S)bdm8O$Fv}QoG`SJWr`m60MRC_3(&pCJYI)>Q6!-)rr&dI7t*PfYV=k zs}#@}*~R44 z(bUICnDzUZW;>N`#RG$FVTV~^HqMx@1DkgKzM48(!|F`6=>XnfQ=BHwM zOjq4WZu9`4P`6PukTzkbYT*u6JyHPG0`Y7H!A_i&AWA`w)f_5VMg6I#4x^x+mHFGAyled5zy0NJfBDyM-+%d~|DMm^e*cf}|MJ)WcJZ&{fA0V7 zUw`}gpWnXEm%o4i<>%j_Pe1?LumAqb>$mTZp@vW(om>q%;vi}hsU~~eK8F1HSGP~8 zUVr;wmy+mStZD<}n~M#KZbAmf5XBQ4mY~~KTI?(8M)s6a*{BN$B#WfD7f{tNKBYb& z)4ihFKKu!CabY8gu<(L0Lvgq>ftT9G*waTA#-HG1F4G_0lOC1cP}-I49HZ1c+EIM~ z(Vj&NM|dCQmU2d^aKa`M4*-$l(wR^ny2(?Lx4gq3^3^6DmfsA(7xAjUA9?WFMyBEn zd7SQ9fCx*jmm&1k_o&C*AoGBnQiRcQ(2bly3_jY6Ttuu;XRHoC%>Yj3nJZhIW-Gxt zmVsyxEALMOG|+?k+`1BDyZw?eC~=OyTlB#NfKbjoRyocGe)K2VT{qW>G@63o9KGxm5E-|Fy3)>4sJ+Jgbd_ z1%?kJ7t9J^PFPr{LR4tWdp4FOZ|!NwhB+vTzd$F3e4uglE)EK~d!45^O9iviJwZD8 z%xLn&4ko?_7)uv#fX2T{(61>`lOEP2p zzx_ZP5mmdRkTY}$DfF~xTtejIgN6^2!(BUvpux~0?9(V%gG9wR7uEZD8R*>u3w1Nl zJ!6)R>5u<^lMJ_yAWc!e3$YY=RH_IxB_1JT;R&GKO?#*pZw56^U=(r4t_#2Q5HBwD zi(x2HL-!bzb?zLN)^JBOGd)J^|DsP~-lmh8W{(-p2n&#ggi0z~v&C`|&uKuc2mID! zGuKk?$ItC2+XD?p>XS6cmaDThN|LRY(44;4n2vg&;R8JucpTj@AReLXyg2M>{92~kkzQ$Lo{OX5Q!VhOF z@LX3jW$07VS0jHB!^w^7WX_X_?V1#J{b>MCFMgq1?i9A-f~20%FNa@bCQpYSiZwE7 z)mC7)N?Zcvu_7Z-G5y*f55@FkR162pffC!*nCbl#P~9i8;R)CD&f}N0yn__PFaQ6z zmX|3oe`1P9J-Tx#ENv24X6kuga~m8HE4&c1o>`9LRV{8Olpzx~m{1b>1Ww{Hv`Ga& zEeq0n85K?p=FORJ=R>#)W##%b za)?F)Cj!i3pT;ts?r;6tYIolZr9i3e=8#ZgpG+mviN;suM(Hr=PgZq8BDA9kZ8mCBw5j?@Xw zb*yq=jO(gQea|2ny7Q1K9w;oO7f5Y__}v2fwa+9j7s7R}W`szDfEC6SZtL!jaNJ0l zql<3fDDj>h$AM7MJ5JDXJnO3R(utR8GmmNjrUFm;TsAb2nQAXbV_PAxlo#J!$NU>y zxlFMbc+=NYzM7kd)p#0sahgK`X=f0Srb8*$QDhIeMyDs5Mkpg*uH$#ogCraNl(i zJQBkHnF9&OOsvbrZuO2;$dvoi7eHLB9>*N2q%y;ko%99JeMMKi!3;PT@V;RC({B^K zZb7aH_$dJOI-Bm}($lZJ?s@^6_52}3j1SQ`SUK#uirB_c1j@UUMj)Wpg1BLiT|}Hj z6ez7oxurFeMrX)zbwNL9Ul9N;U+f;idgbn4Oi@eMcD@|#5^UD~GyxhvlozfPdAaV%cs|=nSnOC5X&Ykl{)zHrq=K+}hCB%lSXcvA zOoLxYjG2C$^7L~R$DD>x)j|?*L0ocF89~~2XbwMBMlhtZO+gP=gZ^t@6}D=B8tT=V zmj6Fj)}M(c9G<$4g8a@=0rd~CnL|TM3f&nPTVIvb46nR))9Og3gd-^>=SRJ~1isc) z%$A|Z0mGswdXx2Ym0Nc}^yCQL{)0q(zM6hnAW2A-bsnp+CBT(FU~=+%OwI=>2$$`m zMJ07}I!u#)@&6TfPwf!gqtJ47AJSCyp&WbULwsbiBzV#Dhf@=?dTG5_xOp?|hT{GN z%IChd?o_?#8WV^f7k(k`C^Jq(2Z^@ilLFNz(Wk})jZUa^rtEP2-Rr5#2Wxyy}@W8 za4Qal(M9QlA`s`{Fz-(jdDExj#|aHB>McM=Tj=-cKgC35Ju}+UxEmTynS1F4I|QDd zZY2m3{^4y{&UH6>$U;Eaz;R9Gag71=C<-S;Us&CH0*-EfS_p&lCFD>-%YBNAng+8t zAPXzbmdpP{_f#i+7OOs<(mj2da}+vp8i%q7qVLIYWvUmQtu<~fIQ7yXtilYMuNO0n zv|lfMYq=^KRBtoY> zF+$Y~jA^imkJ&`fwyt-@#6sKTVPE{z=3} zTDF*>F3=Pyie6@VV+AH?+DJ^4Vx{qq3kO2Bo8dHsvfxV`J~qX-^o=F2krNzvrzJHn zAe3CSSl#2+7Gp6wP^4MP{&aedk!4tv zaNPlw97YMW8gkT7U$8D8btmqKYK4mecw;l$CzSe0-vf!#t?4@lUnQ zm{NT%SHb0P;I*wYvBmT0>}jOFo5CH7_-Mw*C0u5e>Q7RDHtT~ixNd_;#XIn3_-0D5 zxaHauzvzY3t_`F!yV;Eqzl@rNfm!`r!74~%+i-|g?f&lj$XcJ76dVsI9|yf}8YH(QWiP z{D5UCQtUkYdnCG*QDq*uvd_99JqW!`3weX*0auA0>!1-bzF+TmDo#$05Ns@A9V&Rr z(7p1Mj`!5JW*}V3E+Y5Ov|#Jq7+QqEWltlAm#2G((9oP-m%r!6UhYxf@06%+#2!SW zS7Fk-!~3Yx7C?;h_w`#pyVwFzyM?WwT~ULb!Kp044rkvkpb{7*b9@1jRtKaBgI#@U zhVmeOJ|wnT14LP+L;;I+4rF)rKR7K;l)9N?9AF0UdWA!G>`lNSaMi`EIrYHz$UiLT z?jFp^a2~-NeJOhQ!-LKx>nAUsXM8njx&05rkk*?sDBgU5ww^INuKqYi5Ojk-UYKEv zj+K^9!I9&idldhUKB_}i3&YXBG1X0G+a-M%c%AMbkt|*fD#<>=_UqSy-iG6J`YeYS z!^ag%4nq|FqG|YnB40P;9nf+`1AcMU#|@t=)@{Iq5sr3lxgxDKEL?mjA9)oF?9}M> zaN|*uiNo&A@e|)tyY8}vp5y0%0w|Os4S4tF@^GHGMLxR0Ew3J67w)I*P(Jw8wj z@sOv@c=W6kaxQR_1F)?1r(xkw8KC)nNw2)IEJL3+gWFr`32Foqz#6kYEW`{rToD^L z-t<3#!Hd6FRJJJm7D($|gJt*HmPvK$KnC}%Zso;7h+7?N^QHDPWyjI7j0EE67VUoj z&*C56<~6%R)$L?R5BIUsN7e(zW^*t=V%CS1BtJ9jD?Q0wVP$mwZ>;l}P@zlIV@b?C zltEJ|4;tRdh9D3CKibdpl|$uPZlF?I_ei&`$g{JuPGtqUspjuJDW?#B072|EJ!%%1 z&DM>E$oXdh9GsuDLMIEtL#K8|gS=kvikV&GWNcuU{J4Z3bN+084_!xP0u>71SH)lE z(lWvE9SJb9N;%0Hf5_o|aASs>Bp41o7J`PfsOk`t{v3t|kbGa$d67@fLLDJde(Zg5 zBQ0%h8dy~^vcEK+9TdivC{CbLG%wTZNJNUoKVmr&KG5LROheh-_8}ku8sFY9JKQN( zw>~;E*@%EfNVJbO?X=p6UbYyW+E%1+Vt}s4gE!KI6E{`-k-~DK2s6s3 z+j0+(Qrw#idfFl;uC3kc8nce@=S#5G!qMYauB}3ps$ZYabfIuJUYKP|iLb@esvn%0 z>ie}=w;-#?)*jQNt~6tqWn5lRnI4M>lCd{V+#4iN_@;>WPyg%J-~J5-4pD!Nc25Ik zGB=b4-&wr1AL}{BZPvMz_l}uK0e?*;Sj?e!h3jh?kC0#USpq~$nmO#XC*;R0v(>^O-XRBNHM@mycM;@ z_BH<@d^)GjD9VvMQL^Dpl>8qryQqniX2)Ac1Uj}p3V1l?Wma&K5m-;y;gmr&E@iuV zD;wq9xx(n-)9RWT?!9k4kOb<|Lg%<`Q%1Pw&Ww^6{$YqqR_R(Gwkagaf*y%M6C? zHiy3hF1#`e*tlm{u$J;V^zkTtQfcY`ALcO?f=g;o?UkTReLVFFSv=HbBc+fcg*1(8 z->+$W{5~k|55XXf^K~o?Ra&b$7`8&GzFP)9@`N(g<#A!iN6MY~=}gXioD%q04L%n! zQ$htyjYo1M0+!Xsrv+CM((fk&{XUELZc@IEvB}4QKcOqSr2qp@u)`poC#ouk8R{qH z5Ygr)kr@p5JTmjU*>porq`P+c;v~{(p(2l7+2o~N(U+|-LDhu7jUIY^hLJ9B?~A`n z#FTGl>0AobRjPF$C~|$EsGi}f1!#?%Cogbq$NYEfbbE|mWI-Z$oLS8g#njTJCm|oL zIBdmG2V65I7_&10Nj_AH^RQ=qwK%z0HTuEozil;kUyj4Mqk0$o^56~QKk5Ns{DTP{ z1o%1Mub{Y5$$QQqACq44by2%f5y39bY{_cO&IOt&P{%q2P%&h1D*ZA@5pnS*bA57g z-Q59d7$2ByQ7d;}OTKO_C(6k{s!qN{T9&J43TTBfmI~Xzo&jY2FoNU8uk#1xeEhq9 zc>>kX-}>NtUsuRjoDHPq?5cx%?JZf;JqzT57rspxM%f}*ikCb-rix3TuY?|RnjxgPnd zN(OjR$xeTQ5XUI97X|~`1Gugnfmz3Ii9k6FHSyZo{ia}0D;{;ALi32Ea;WYnts%!h zV3!|GaZWL&y!Ei^j}w%myI7je6@9@I?)uish?vY==J)6{)ufecN_*-}?N>9;X$9<%;bf29bQazA{c!BNeC?kTyNd70C| zV1ayX5MfMhlFJPrBbP0QE!Z|atxke81w_)OCyAYajz)j#c{W9Qb)7>qQhn){DmSv_ z-7LW>-i&SEo6VfKc43rTmyMExg`P0?R4L7o&`zxW>&cdM8Qh>35z@n|aB6}WKH$aT zS!M_x_NU&nr@`*pceEc zi$VQnMWcMZV`iUOI4!HFk7!Rrq$U6!&qKs^90@KITf)mEOZ;^;t^ydcp`?2MueSHdtgDfK%2 z+qP}nwr$(#IGN1LJlvQ2BhIP%cCEcum)%)i@;h#;?6`!}_qU z`nv}qZ+5wVljf)axveoVc!rIm&Q^WEz+P^swKgL%1WljBS&R5l%sq|6R8UJ9-#hn5 zgaS|LOKhE3!0S4cVeuj}R0Fd}n)+*Xt7RS^h5af!W3_%;#@%`Yyv>k#L&~Fu6 zEe^1vDOyWJ*1u%|MmpDCvuO7e0m3p;0LK&pG=v;gdzotLenbxIoj>R`=GNAhsjYfC zW4VQ)(#7t5Ic7icbojYld+#J^GL6+Qrn%Z|8~_0lJ(8)8B0^!8RMq`$)bn>+Adp|^ zKE=x}*j=T%rHTYuOj{@g+Od3m?~%69%9#JcHO9V))d;akF#?~URN4E0vWf*LtVvXA zZGKiztq=9+c%wZJCev4fL0U6gVtRn2U|u0cf5j+kKXXt}+3!r5Epk0xv91hO6LtwH zU>7tXQNFK^Hl%90z#}U083AYo+jUvg$*S`Poi$LlZKTxX&z|3KUzi+%F(>bw0t5Cj z?g_QM;2XEIB9%JnSNV$_sKHiHeoV)887vZ`FmY{$27p<5wLa;~;oawjxu8`e`&KOk z5znz0=Z)=B(YuM3RL&4ef6u;fU3&s=rccdAR9d`bmk6s*l==_RI{ z$e&QNZ`02E*9W~pwN~v=|J86|cW%0~3bJWjQ$m2hl?3B}^dNEp-mqBI7vBH;_l#b% z-VWJdeeFL)e{}7f4xJ$FVOx-ROaEWOJ=FLA5bm&F|0CRcA=;9ub^&=?l|yFbt$oiX z3mQXTX8sB*w~LN&(S}Md-Gd$_jyI8wbx+C_4FX2cjxK@-pl9j^)&9!ncQh&fRIOq^ z4J6lsJSkcS>p(F$DToXosPv`T{zWfVRG2RjZS1_2Y`tOf2OgmqQehu0z6S<|hIOg8 zhXx~;QQvUU)#;8|+@+)oE@69a#r-OEhwJ~JN5YuY9~EKN>3Kzv%)v`b zFM3&zcPj?(2~mbbO|Ci{apQ^1vbM#ofm6?L$3cF6BNQ~41_FmREPBcbGw)1Ag0k`sVvrZYs0VB#?ZZrdstd5_;12P zy9MHLjJo1G-|{5xV|bZ+?T}!81?2B1#o^|upy3T^p#k&r5EJH}RVNOy2~p`y)7)|Y z>^UI+Qg>3(4pyaQ|MDiVfYfe{2F?e1n3}e)hMq7eD_vahyq%Ii-H@AMC&4>I0|}@! za0>OXC0XTDeR2O62v^jy5+STc$sTC@17AO1TpMeR5r-e#CiHQk(YBCsBj!z=ns_5J zz_{ht!HUa9+~NZ^V{phxrfvd)p{l>~iNHlL5JmbiV@ewo&fmy`cZBS?I$f+`&_vvx zV61$`C4&cK3a!lCt?8X+?F8qH5tw5nCw9P1R^xy5iLv62OT_I${{g zz3Q`BV8MVq0LD&atti4YZ|9Xf2dZ=^ZBE61|?>v zd?z`nb}JTmnyCh1N5f6=mI(C{>ZH3SX!5dvHfXVKr!qE(0?Th1=vZ80CBHKbKQx>$ zJ}SWRS(EaH1tZ~6v1VSacPP)V;iW7GkW7B!cp9P`-KmG|aGz6F0f}?!&c)qCeiv27 zLlBc<#5>h~!)SE?cu=7`MdbtiU6Ry=rEM3Ui0v<<8>{}#-=T-Q__;rBXiu^~(P&(A z5v=X1#u`s9ki)eVt_rT^flH>6PLT7gG-CDpIt`=?L+oxnt|yQ6JkIw@-u2H%@x#zQ zuw?VA2^>`Oyi^taPUsHYK2-Sy@I!NZGhy(?GD;GOzXx??=}{c}nCco1JKCp=ryV;m z2uP%0-|hW#`~1-DN1t2`+u;|bwMf-Nc{nj0=k#*H2VFz{4^E}4-|e`z;jEGIn%6)N%dzo z-X~g()YE`bGYX&{LT+scEQRTN?x5usb=8*Obvz@k0rcQIhGZBA1{9VzY!@5N9udx}sy}`JHc_)`{ zB(kG=rY1M6w4upCMFrg($Rc@x#gZw*_Z68N+$7rjP4VB1R}s8{k#O-;vE7WY40%hF z`vgVHV})b*sPQ);K3(QiYCb5*m_}vPSlcFiD39|g-VfjtiqWVbgh@1O1=w;nj;y`0 zlq|-%eanMqE69^2DD8`zYb||tkcPfoPt8>Sme@HW;96?b8$InIy{BN@wkF#8sfyxe z2nUyf6dgUBPDXX2eGmaFH4IdKDP9I|T4&nf(minN*>7g#Y(8;~HmO>Z`#+OvG78@Q zF%QOJ8%x&Wd@7;&#Aa)O$TdOuWlVm<-%w%P2)W9XH-(nq?Ji>IpCgs&D}&VKRDn7J zr^%J~gdYA)Gm*q-=@JjX@=prc{U(vzfxQ=`5cD;v^OJA2u_S=J5CqT~6nGzRZL%#< z#(d3+U&y-P&jf=&@XQAr6n_SK*O^)#(-0y`%+h$={Pye+CX7cHFrLzF69^Zv9z88@ z=Z`eo3yqdwwBRvtnnhovN9Kh7=0RTD0ui5W7L_h{s@Q-6uPoc@ms6`-XlAYcRiVR+ zgeTAg(Wwi9np0&L0}m1`mX#awp9aG&$vc%oyyV zDT{0E(v+~NHi)r-`CLZw>OoxeuMkpPhzM%NEXwK8k+SZStB?UZp(VlDKEDsbMNlr3 zrKffzD%1{z8s!dSjMgJ_r0X{f@`0MI!NkbQ_~vX&TO^#CVv=~CG>ad2L)5tOPQ!4 z5Am3SkrQ$yGcSuNFy!U4BwL)Ri5g|?XPJu%eE^AEelaQo zM7U+|;B>m;C}db;8h`y3@ejzXK4(tX3n5ZG{$gL-z&!NOK7LeKhFlpUb6noLYCi)+ zBGp_bm&NA|l2`XW1cVDPNz)m42~h=izfB`;wK#HM-D?ww!G$%!o#k?J*wTr;G?@NKj@y=q5r~e7l`2y?=gL`u> z?9u4YAw^uzyj9lU zc(vsKaY{*>t&M7hP2~Gj{x4A;P0A6wu}9UCo?LinCq#X@g!h;ef&5Td>=^ zMmVGfyq9Zv2TI@6o0t5(R0yRpVhxd*chtt>gKDWaPVT8Cq~G^xK^Q4LO#ey`S=3a* zN;-U4rfM3_d_eGQFYrL7XOXE`gTJUkIr~u97U8$Ag131T+TA>a#$1bOlc)j_@ zf7JpP%aWs=e<|o89iu^k;T*77Rmc?Vw#hYBtV(wi0MqMexQv^7G@M3B6T23%UX@5W zFk}F-0mvh%H;r3TU@bEJirXBb`b9nber7B~({*Kue=UUn1y*sC7a`YSqKzv%U!2U` zKDfGwp)Qa^Zp8f0-(eo7nbE$Qh*(d8xaZ|7F8= zga9&R5Pm}SxW(ebnHWN3V&e$k}2$mNASqp-(EM8s(L_z_qI_A%*eQ8+Yjwwhf> zZmxLmv|%D@hbeC%y}fKvX;#{m7kcc~Ljv=sp$ejYqC?M&azOx6o=SIyfMMS%tKa_U z(U8s>D2R06S_-R4z;r=g{cwbOOszFq#{j)CE0=to0C~!aGkklFw-arAQ&cAdZwY%) z2A<{96Zt@3tpF?@-PdTLl+9JLvd_?17p_djU+e^R5+N>$nWn6cYJP}010y~}3Gi#k zYQU=2UsjZ5+O6;P@pDLvS~lHp>Z9pH#Yh!X$NAM($eJ!2&mmDNh|`|TpQ!RrJvvh7 z%-G}iCSNf?UM%qp(@h^#HU_WS&xjl_b|Wmx<2?d4*95p(!VR-QPSpFTOgE zD>3+@iMEq-cy7}Vd;g)Z=*KQot(FjK=7{@-N1mx$7`@Lp5!KwTInvSwS1u0KGchZp8w z-lT7RFTgjdt{K{&a1*IS36M^SdlAS{=w{P!AjGtqMFJfe2EGC9Sun~H*W7`H4sMT3 z+yAO*KLdr6_9xo^oxeKFVW$hIJHYGu z*OSE4oZs9j_2MKv#IP^Mj9dg$j%jfPjZL9(OSoGJ1^-;oKn3f~eo$dT`iARQiFcPH zZTZj+ym57&jX2&EOlW-Sy-4*n58&Er!#pt=Y}9-H4v#5)#&g{2^=F5{z`u?*`G~kL zL$dcdubc-D4TH+1ra6IZaegB9V!j(5mN<(zu${C&7@+;{QwHMrB#~w*w>Ey7-(W|- z@mvydEA4xHs1-NTl@T5q4Ga=^9To*AU_2*r^ckKgo;i8ckPZC>fe_N}sMsQdV)gE# zJPn@UoDlPf=deHo5TFaVQ*bQ;0sZciw4Qen0#hb*pF@gibtY~ZNK0*P1Rm>xP+Hy# zIK)|^zh6#pgjyL?@)^viEC5BtchyK2pqVJCd8oz2reCtrYeC7|JT3fp{(!~G&t0>M zv~HCc8>^mmL*YG{bH-e>ciwCN^b*a#m2DhpQd7018(6viuH@m$9RcJ|yR@WfYTU|~ zEu?gNKXMq)X&X>Q9bHTvg)uu?VicRWiOYve6Lf#R;u9%2rdPth8Aw*l*k*EKc7AHc z6VVt8B=lz{P7&TKXc>qB?V`JEtEgd++Aj9ctQUj99mnIBa&vS}bP^zTa!z#8)(AIe zt>*Ey?-#}+9b6NG1D7V;5LS-F#8Ay{^M3cU!cr*=c1M%cxeH5U1~9V)f`%D z@IdgbZ*k9kvI79eKC{Bw-PX7`MtS5Ne=D0NL$k&)#Q}IwB89S70WU@D<#$XN>z_8LIOy zO(JuUHE|=;?{)wRaprv@3A}Rk%?PG=_ubweK2&!6d|RN%BdVkj|?FiFWVy_9N}Ae%?tw1G|nM2Gj^5N5+*60gC13*03u7AisL~J=%%f zutiGyXGNxjTgceKYE0qF`N0-Vx@SL^H?I3J9uIuDb3jdNnc(2EGiX3YQ^ z^)_?0k@l;^>(U|~_ul6CGM>^Bzw-iEv7aT7DMpfXaVWEDH(^Xp_xa5=bxFx1aiex- zDBpYRV#*Z8)JANj;HUV2h>!0jvI~KeQbX7#Kq;q}*o=)y?_y{*U*8KhN`3YRMeI&` z0)nSf19xtf7*6iOPaz&FywZ@v<)?r2WQ~s}wxB{HFf>ak!xc83mQbi-(@?r6X8u&2 z>!s4bP=8g#*yn7f8GRCh{#20Fv2A!Q|KLxMZ$~}Ip7=|qq!eq$_I26U9D zV*kMirqwY@7O{_NCrG@)fVoK4IKI-Ppnc@Q5pKT3B)EtMi-Y zfg#Ao`+$4$Fym@h(3mThPgctasU z__bRU*N+{979HnU{3nqRTJ*+dBGKQ;?IyHJ(XPHK!ZK?G@q{6cZPa=mLj~Z)ca#)+ z5L|lp22Z_Q^v3?K437xSFUA>8_x?@5c?=_be53UG%5mc4KU#{m#9@DNI#%yvu-bt) zO=sI6&TCkLpOK(8$y_X`6~aTY2LLVWSN;Hwu@3**+AW|b#A=g9Ml-jFn|`QcW_-W? zvS61zNYe8Z!J@?2Tlkh$XG}}P{S{WF*}N~$U#Wpnqh$5cF$u4aZUQP&qk0>`&mRNB zCah@abH;F7iBBOFfL%>F%d07e`-aoI15lKzp zKC6BBlI&`f$cwL~8I^BH^o~a_p!WEzY#rfqB}(8Ql?N!XLw=?Je0rU%3ulR3c-XYr zh|-uJm^)x|5?`nxFM?Y#Q9IQ&Z*f#jR7+2dhj9PQbL~Unthzqi`oC>-@m8_33*);b zcZYr9G~~pn_Fc|qSp1=$lS&!cUexAD)Ls*iV!d^r5}jNHAQ?D{c+XvQ|1q1!&erAJXSJ zK5mb1QrPtfq}~)<9aT|h-+7WI?qfS7B-B5-mGZ7If(nOt&_PVD3RQvRHne$6@S?iV zhg(=rpm334G78^ziM3XgH+&JN3Cw#GANqIH?sjVI_}WF>>o3`)ud8d}#4d83Q{af_ zH!+_6l65=UkJE=>E*N=;p8q-l6F)Q!z<&9Ic@y@%^q0gc?$LAygo4z?oFN)Ah2u!U zH|GT~L~!n#+4$8_Xnr^a+F-NCHIFrVvM-FCf|cFx^d=t%^Wb=S!@E=qRo@p5xJt1?WxAckO(;CFp5{il+XaH-r3h-pkqM18vvp zA{0MVv7=E{r9CWlk+t-uaC-x1Fi5>02{@bYWvn6Mp0I6XB;BSFfmMTqR5?)woZ8Ku zXt$@30PuQsOT!N8tE8+QX+j$7W7?s|+3&z->R6gp?x*QuRc(bX;orH@!gsfM9&vSm z^Ezg`QA5!Vo-mS*fUkiO-xz9UFHB#6r@zf&u4_z_b95IfyIXLuc})7M1(Y+?kL;@C zmL67>etZZDXA46?+-|9>_66Drj(p<&#QTNFR7OJlb{?_cKb~y9bE1&fel(p5>C~W$ z4kFZuMHMl&kT4T~29~%G-epV6X7c6A?+74$^b*DuOjQOiMLTKc2L+-BZ&3 zp3KO4CSk&A8X!oGCV4|D6d|lZL9-$BKRdCo-e1n{+JNN=QbFZ^G71hb3_+&EggX-y+P)1k8M#Us(h^MFm&$Q?AIsN^uiA^ro z7Am@fl7*}99u&C?>u)9Z56cFZBATn^gLLr0STtY)>Awosi6PX$^&OF!;Z z&FI5E-Sh7>YCcPx+OG8_oleHwJd__{`H>2RM&8$yRwxfGp~WGA>OQfbu7aUjEs!Vx zg_etPMBbP*YHYEEclv8)VIFrB1m&vZxH1wv8hf`9|30}VRqss$cwOlp{K?{+?tAF% zKy2~h@b(Ktr1N<17di9K4q;_$U*89~8}7eI28TOTCP)!F3Y9WnMFrx`Crz-NsKBYC zxeKAP9288_2PXG2-i`(n$jDI|phJn^D;?|bh314gOBP8eWrrq(evKeFN0cWA_u;H2 zJw5|#gQvZOV+ryxyk42Az6gg7OZ(XvRMJIeHS8Ht=*GJ(u1)<)72#Vw_-Tz3jJ`$v zXUeSaxKcXOgd-+{Un0TfBqObHy4bl{7rf@pFfT(u?lhAD8Bu1>kDw!CQ8cu79|4i{ zuInCcroSZyZ~ZdCJsK=T*v4!C;P7brk|vGjtn}Ery32B_D#uWTyI`mt)%Pe;`%hdZ zh5hl6;!5Z2dr$YeCOO^6%}QF!eStkqv~?QMTZRl{4bh_ z@(cQuQanH}ovY9W-~ghU;g7r@hMY$fCFa6cIooYM7I7O(cm~MFYds|oqmn8f&DtM7 z$XZ$qd-!fL2(&Ul_fE=2#V(=!JVd77Zt1W}E(3UK<~y{uVK2)|&itBFO}~*Un=mvI z_4{fl^t9rA`vwo>@wwDG32zCd;GdC(4a)aKpiZ?5WiNm6AoV(gH&~|%WTayJb1W;~ z<6`5bAp2ao-@2X8C>1cIJ*}j(3Ok=?Z*LD5o(p>@SOMw*$W7zeki-1%m(%puf+gii zEMDHvVw)3^FMI6DRk$|RzbbSns_bg_hwGnZo{)C))Zo;zC+wgD-No2_*`SWYJk!LRC|coiPqo&UHW6V1J4cidp7ygw@Dr)B;(HO zE|Xz7XCz%5KTcui_@#dD_rBwnHYJ)n)I4t%4RTf3hNgNJLS|35-|M{vu%{3UV0KHT_48r*dWZiS828TKiv?gO5Vg619$lTHq{*0{D|QOatkFdF zz_xmQY~jC47-5MD&5EWw2&7ygJu7A zpv1QAmcLMZ5AN4Oc+?3302{%{8r|;=Q}L&Qn&n8fGaUxKgKBe~@*(fp=A+I*Si9dI zxQhAEBJfzC87+}!pi-AkqH49nyP91f>C?X;B)oG%tWGo#0>$beyS7c6nGS!m2)&M* zGQuSF#l4DIT0_wVO6z!&!|pDr-?*Uy`I0Ai>a>>@A${QNiCUiidJFjP0Uzl0a+5Gw zm7WFi2Y^VV$XH9+p-H}7GZ%nY9tdDtkr#P(Hba8)?W)oQY zX91pV#2yf$GMIOM&6(8eT&ww+79iro{n9C zwmH{q)tH4FGstbL!zvfT8SjfJAKv2?j@xt=6&$7e>Ma9-;Zu&=+On8G)O-zW%6_^t zT>q$>k`%VRude}rbYQ3A&zY?E)6{@a6fn-eDB1=!i3$T^4XSG+GU8c0yRR3-Al5G4 z7vnS_jQ{<(4v;0xVIA)O5yGWg#FhW(!OrRt44c+}qUSLCPWT_FMf1Q^C( zJV95Zi$J4r^0i4qtfQe);BGT(<<{e2E|HL^Zu5ieZ+#U8T)h<-Khq+D-I0RRY8tKF z0XnU4?DhwUJkkG@!q#IuE*kJ^r%2_VlqXLhgK01EkYh!*k^&e7h0^fUo<}?<-!EW5 z=k&BxVmqVyYc*`N*cgl~qO&}|u&*MqGU6u{VjHjY9I2r9FoYUn+fj^_W%4@HV9%H0 z^Cme$=jgoUSt;%poK*k)Ia8PU+jn@TJCgJCCk710cI=K{Fsd+^(pO%>Qnvr2@;ODH zp#cm&OWG%3dr1to__prc7LAA9h{8xx-^UT5<%(j`NfCPQ2Qj~{VyL2)l`IUM+RbP2C6c_<>C&}2(4;T) ztzKs`fYQflql-=2uQzLn@yp%5LygW~F}s7_Tg7~m>djJp)m7Etf@P1t-o{DJ$GS0icG#bD5Vj^9lXL-Z zr7dgA(SW3c7Yw>XHxS{&yMC(%mGI#lq+Ar?7WLOB5;x1uX1YSQA2I|2g2AokWu6|P!*_Bs z!STe-K2y(91RhPl_+qU#3Ujm4u8_D`6|3$bUtg!zd}ZVe}hg` zl|K)OzxZ2@`$|u+^WJVS!j=oX0$%r zoCywovLpRYF#v-0DWx@Ww7xp^zaf+Zp}Rlm7!FK~|dvu=fRTa2=&Q5j8ddrL*1 zwotjdXw+bh%%OrhF|TOu%5n zMzH39f2IEM+GgOgIF(Fiy#CkMw!6JDqJ<&yKt+MM1=rdtCiud4yY{&vBa3O`{7LEU zDY{szJDaYF-h0%tPwm+X9vFktn7_`l2=bf^A;kh$3YUmnR zX^5+KvtShSJ|A8_ZVeCvEl%y%D14wI!#bbc?-&}ieK2{do*lsaESC2RZD6D3okQXUuo)SJ%PHoP6ea4( zI%2%P$V-i$FY0rJNt)zvYl{ z3+wS)Fs~h-E1bA)+jqV7jhO@^qiRo_$Ak?X2{s$;>EV&ZE&lG`YaM+gJC{OfOwu*C zi=IeZJcGI|JgT70lU>6O+vc5^h2lyDN%jCs&L3C71tD4}h)ltDovGCK-007b&Z0#W z7xy#l*Yz7(z*~BWZcM9b)2rYa3w$5+<;cKyiAqA)Vl<4mi%5Zk^LI9P;=wCE)T=xX zH!72L0%szc*$T6YTV=k8tkB^aYCvg8h!3di6R;5)AU6h)`8FbxFTQ$ztsvFw?@-qd z(wd;k&4aNU3Pk0IAc2fTghdSK(s|_AWH*Qb+|mx~zd>tZrezjJ1Az^!Z$AWq6U>kX zh5#eB3Cmr=kP-8$Xm+0A@BNB)%0_(fYdJq>KzHpPFh$B%19k=ZMI@|`-W~7yQq>?= zAwA`0t^;rj=bzynh*wDFxdM-nqf`;Kl=y>C${hK1VKW-f5075cA+jmQQH$q=NH8E0 zW!U0$1r>Hflep3s!n>^ugYhTt(I&!Um*(f1NjpsGok5e#YoflHE!+UgdZU^3kDe7$ z_cI|Wzz&i~v4;`y5V-(>_zuhIv^8S_E<>;r=4W$QfYW-DB09@2E?LYUNTck!*`=&m z;L*fyH5PYCmeRv_wCLV34{QCc<91N{xr>8ts*)#tq!PhI>n`scd<b#Rq*?)}HEmuZ~y?8s-}Lo1Uct9A8E z`xpGt+=IsX|FCrcS;$3t4ppGgJR-vh+~P&Y?4hSiDuh62E;NWfe~)PLiqwz*BOzss z3fhE3mMRwmHVSb}-eBBSLy2xW?vqu5)2mBR_pp!#LpFxDpy zD(i&Amymlo#{4_!0(KqP-@s8W1j_(D_X;)~#tmTaw0Le^o9_fC%@oYP(@+WXF)1 zEx|`Q0R(6`>Pi#P3>Li{W$H2F8LYVT2Xmo0D}9ge?=D!u17Jn2+G<6PMUHjs{}#+`B3?h;S`hm8Qa0icRmg!pz34XX_dTko^jN<-NL z36G7}Uf++&-S3BApZd>()DKT?@7Fip?$2@U&-H=c=+D+qVDI<8N4{R~Tsq%h$;@o8 zkeJVRtKQF7>aU^ZM<22S#Tzn%c~hf!=Z_GAz>771YlEi}YBPM9pAXkFkdMER9yIK0 zL=ecW9o+q=kF>+kXVL;hS!=vI7GQ5+omh10NV(8>#~asWyiyyvJW2`XoxxA@6&SMm zOPR`kdY>gO!A*c=OHcfs@oo0=UFd5RS=f*~zB|fM$&ZpT%jZF>Vj>_CAg4G&sUpZ4 zTIVaq)rbCiG9*QwV&%@O9(aRQk%s;ogz14&YyucxvkfhD81x!Zk^BWg#?+!hns%O@ z9>@w3ipsS67kBD(L5aZm=-GkfJyMKf^*nA`~w$GZwMVuG4xM>6SJl~O%fdrNmp>g!U`klKIPH}j~yC$TaPn^26X!Y>JTfY~QVYsC0{JR8SrDr=dqPlCP1#1uiOi{hZ0IPDINPMK z;l;M!8Fg9n2vndXf2_BX9(UPddB9WZ#l$Z-Z8-EguoxEAvj2G2z)B+i|O7c1-yK=0PRiiSlsR!3W9z$ zLNY!&%)rj+4_0wL*nwOE#k=dbq(0Ji8ss+6y@~?}$!Jh?lb*7NC{XhDp@?U^1~k## zZ^x4hlRqnBrJ%PUv!#%%W%O~&PC&ruXqy>Xa>|)UAxq=jdbnW=6jO{X1>L<7ydkz) zJge!XwvYk_%Syr9*Y?{5mCJS}#Xbz(%!5d-JPd*+>#Utlhd5?(8pV@!Jpo08{IVc5 zxm~sIXxy~Ukmp-fpuuev^>v@altS>Jh9>iTIri`PW_(ricF)Udz@}xCM{1o+h?uGddd*ky-orw{n6Iza*B}YO z#94$)q*6{*!w<7Wj$2#@GL<~FqcHID>gBhJ(Sq`Hgo~<6pkE;Aic~Tn9_V$nv|B>) zY9b5IOFJIiQLXX9H*0$}y6;e)A7RD1_$mTD{^?gE3m+pdXcK+NusK%QnUi-z*@PW?9qW+- zE%%#oPcu#c&$3*8ZN4||MYp5(<4fFsajb&+(NXfs6@ zMCKu(Llr6dHSTQVXPV!WyzZzJ37FicKk1Ze?eh-D4^T4Ww$6pVaWMilX3k-}>Z zUfRQ|SHU*<3|h#Ua%L7ab`XnWn7;Qh{$MOd!|f zH9sVPJXc@6J@(VEO@W3C>cgF+8QiwVCyP)vBPv6v>a@#b?APt59+zwgw$m*zOh4nP z02n$l#^9jh!+daE2bU7lyemT>#q|6UCe(*B;>e2UwU|Jg2k(2I(t8|$gkk- zxYF5ePp;f}MDRcD%D~Nj()ls7M|cRxYr&}sWDA01MD3<BC{r+QlkKJguMe8gheohl{b#RiWD04SqQ&@W zvQ-tG)Ww7f-XunQyIwwGFg6Fh9wDjDa{8RXLZ6r})d;engc0&nbab9}NN$i)NXv>_ z)lkM)0i7y{D7%-BvQWX)u=I|z*2!ry_p@r)rOiGY;Oax5)rj9$#v3l)p3w(a8`8A- z8!Hy;rJ{Dog?`8lbXWmME|X87&p|bV6x^a3xRypv=dV#5Sf1Vn$u?rzPvdtWcLR1X zvw63Lxh}IM?gbK+-y2q@#cS9%Gt;c)B> z<`>6GLEv+tDZrdD0Z;)}oJM`b%UysY*avaL2Kl+4et3^$(U*pL(yl>mguEBcKFZ;J zogrvH&$86$e=u-Rz_}IpLU!0!&~sBI*SA&oWl>g*px-d9aMyoE&YrOa+D%QM5il3m zUABGOwwJjc#+CCYZAG(V4Veu=u-~JGS6be?k-G(yqV*fk*LV~2 z8zs|AaHFlhG1Rxu!V8Z!nl{D9nLlU^3jE0f-qM-8zT5g>EWJlwS2VZxN&dD+w>!{@ z%cBJ?25lUy=-OO{{;Ov1l1wnO^(tG-gOWC`fKDzr-VQpG9+OaL$T3B?7L5n(sZtTw z24wKLy%;nm!YZqSC-g@Zu7hkD%e~0K2DM(6hP!#0C@`$`Z0PY7{PxA!%eaG#<(rpO zoI}*t*$kv9v4JTMm>%4JJ&EH8m8TRlUzHh4r$S$A4?b!zYcx= ztdq2oYI(oJhvgI4uCnU{_J_Y_6$_8RWMEla287B>S4~#L<1$hZ1w|0WyCe5?;(*zn zm*0_MJOs7r_op3Ie*@?WNNp0fZHwpl7}|53Dl#u$ca)G%{=QsCUZc@guh*@_&p{uJ zMb)5L7b=_aFpwZtX^Xa5H0SIAKHbwLX6UJ)R5hdHV)q1#wrBo_D=ed5s zEIHy@**>I08WyIr$F@h;iCT*j2jK1I`6If$iE8dm2NmH3)i1M`@0p>^r;kOtbWg6k zXk1WBTWJGW+l&s}u1TeI5+8LjP8!u=u#5J$K5PDi&+pzQIe(IYF@N;Y4wXR81XoGp zNQ-;O(~}-H32FcJLu*Yf)$w~PqM5dGUj)N7xY<%!;pQ|&WS2domE~eHxxya{RmiJj zCdA(U2UVG}V++9?ABZkwa8CISemYu{87FhK0l;}q^}GET2K+co8{tvnBXmf?)A9L7 zIDHk2?CX4JW2MT1S@%!wWBU|j9ZBFh2J~;GsV!6a-y#Wa;OJT9VZRo8rs6F&+w&jV ztT1#bUG_|Og^&w^iWGrjQ|{;R!h4J0o_HvtSRqoYQ#uA^_d`bggedJ83ZJ@3zB)JB zTToF5-sM{IQHN_%F_)5*u~&Wnah_y%V}C2T3F14+7ZEzyU=NRqaI8 z{Ww%)^ozQlfoUGUtOqIL{z02o&^Xp;_nDN0Y|WgbCG zCP;$mick@%jm>GHIrqm!!gdCj2Z=8@xV>;h022*ChQQP>m>`*MhCpd71Beb0JW(9t zERvr`h#e+tggb%y&y9SN9j^Ol*$-BBr1>p)$F$KbJiS6BNki&()NFZ23%7KE#(A;X zEb}5su^g=BeX@HPOP4v)rBMJ+IGhMq-XCL zQ#B?knCU<|V=EU{zQD@~?X zv1@F=LEf52Rzrr7Hd<1kjb93KKa?4AD+9<)gn79~`JdKFZ|4-SvO$YGx?7@TJ{=kt1w+Vx26wx{0jrV7r>?`i$8A7TQTq%dOBcz`=5+PT?p zSb%aZvNcOX&?UE6E)K<_J^y?o5w3A4dwU|GQP*%}x?cW1HOk-5@K({LXkm%Q&qgiTO$X5=%==bGy83{;Dx$gr0%3{h?l@hXXX%0oSP`)f*thoo_ENKU76 zg?i^;z}su*N^BChV$B5YNR^_|d}S$_j1sa7DWXgU)9Qwy)_DjdY$66i;PaXicRFtK zGt1@m{2~lWirCeH)W7js1!1N}?vZIT9K-p`2oPr-Kc-?b3p=$nc zl&s%Dzo0gfHK?`A<#b9^PR;1CT^_;F4@f48yU+{KJ+SzNKY`iMLYKAGw%{l;m^FDQ z%3koQK0PYgi;f0^WNGNRlkJFII^^l|9W()JcPqxzg8;XE&7U7~e5{b_!hkKNzp4GU$LXIt^->B)N28dgM=mRr~50hQs zs+jAr*NqJ0e+WCrwo2G=4QJbSO}6ctjL9{*H`{K~%C>FWwr#srO~y)lzU|}KU-qAP zaNYNHp7KK#^gjZs_C8|B#(R`JIAj{?dh!MWv1{O=4-*Ue0bI$oifR*N%5+fOxw#saxT~`}N{VZ~a`jXj>ZN-3U^gq_&PhGKXl9JQQcqmUm@n8Px-~$e!7w`Q)m!BCKPZS> zd5hkRFBFX<*hB{?*!eUfWdj9~@$$iE5y}kv#)VjWUyW1*i>9c;bZ@}EtY)UO%M)%O zkQ5A!`Reb^plJ`a8LRfh`jbqIF2?NP{@RLCJZd!MD_%qjTkrg3%Kw;}NZg$^f{HGq z+d}YaUSor1yr5|cy9d}_Bz!$ERK1!I3jI)l?AVSO{Y)Ct zZ5q3e-yY8$Lmt!?p8GOmq@0F{4XJA$I7=Qb-#tY_x&p%dupoHOh$~WW{yHRePR9Sk z(__~rDJRjc{Nyz2CWKFM;ia?7sPaHDFp|A8pM z5YqGy1v1I(!lCSeDP8aO6tMTjp;e+F-f&(Y=&JQn(n={k*4%$x`vvLMh#{}gIi-4`UQ}<53X+GWSei}{2M@^2P z1)P5qgpVICJ_R5{@pfe5@#YxqyPiwZ27&dkq*qPNXuH7)-naK>Wn7x#T4h|h+FnyA zaHygiYCGM_gxhadMp()J8{rIz-!d@$lR1~`IbuWvjoWR{k0luGcQ^rHLiWTno>WXp zE`CRlG1!(QE9lBjsxnO8*RP91NEam|&%OaVgLYLpqe0G-dX*lc%+rIldv84_6!br3 zBx(ei%cc&7X8*`-NsAQh(_D#VxCFfQRkw;k)3F z3w{hELoFq=99(^TSSKL;Xj ziwo&ywrdHL3NT>|XSI7%Lstb7cAhBR4_$})(~Qm3Dq~Ld7D#!Fq-2%uEs{grc2@S4t>c=rB*(K?F`RaCd3m- z_FFoCDikhaSf`fg_W)z0$X3uGy@!nv#?|&%@RCT-7~K*{vxtC!08V)#NM`*35V0u| z4o)2F&M*cjT*sOZxko&l{Yv>W_4%n8o%C1@s*36M^X7iL|D#lT``udME<%-Bk@|VlF@9Tlr2GcctQi3R^k6ekeBWrBp6MCv0$!By&>*U&GkZ zXQI2cP|)L%GE#UX>9XFEpi1$S6I(b25nxqA=n+n})wYr!g7C0egq_G7#lnN^d;GT6(Lz{^M%c6|KPB zYXXlIV7sX9A5F&P`LSVO4NM9-k?0N5sSPOOL7Xb{$Cv)~53GDN@auBpJaQEN>6%;) zSL}`zrYBr()e)tlF036npvGDmF^g$u5n?3dtAuFlg|-fBk)n1W!PgM|cxZnOR;G_z z8f0zVH^z1{#9zuwF8gR}W>)KI;y|Nh z7>T^a3KV+vggo)LOOgMEhPH80M6Mp1sk`$iL);{=e-0~ z`5jbO4%1{(Ca97zEgwdafg%L)SpR+D;OD9D_u~=_o6{@R1x2Gr*rsws2r;7;=R^gX zFp?P$#%``liB9+?-NJS&cO`uYdn^%7MiBE19Agqn9aHl4j7RR~V$7c_JeLXMbT(*d zDv0v@cN%Z=MgT5!o@ZJ*vqXE(pfUbjdijLk&Q)ghYsK5^oh|>dz5L()@8Q>B$Yi6GPiCp$saP|LLd`h-bua)ct)F7JTYE@3vT*j16VY~KM zm;%**qxOe1@oVYb@-J*O3WkwT{gr_HDA!wdJY>Nr_KwGeQg zb;{p~l`*Yh9T)gQ(S38DZK8ZVe{AwIn1_q4gkKi2=vUqhZY8-bX~hOw;W+Wdz{2at zes&UXugwp1D+~svzspulo2oE(dKihN;WOfSo#Zj_mx(X1yLd)zpw%13ri>^Jt((1; zx?--WRDcM!MoJIsDku{r-vEV?T&e|_pQ}xiLLSKC3Eqls8A{N6 zMIt6(VcEX9?40O%2fOkS(H0HzIG6i%LNKFk#zFn{kg&D z3&Ke+>N_#9jV_|oim3|CJhlE>B3U4sH~O@1^Thq6e^`rK?{6{OVWmo`11AHE*|?6d z-)xp^QjdUCJmR0~LV8)GrJnd{O1uS$u5?3AYCYS6jF zc!NlcR|&d({>eeUsTZ*y3DCx`#1p0v-0u@S&(DY$%yXq^n1@@0?O%e9O|*FP;>4gb z?6yIre#%FB2&N`RY<(d9adc?bInvm^@K|jsXv>huYgpAV0SHv(>*CAYDZx2JKEW+6 zpx|s^t(0c4pmQ3Q31?O~MoyTfPRjQn6LWZ-0Z%fmT`ph_E}6qD8sQ#w0=KfKmGCj} zPJlxuc(Us;Ztcm^1U!J?h0Po!JAmiX@sa+9m{koV{Hnw<- z#Jho1VyRXUv60#nO9H8sY67EqZcncAGXmwN+yYXQYEd*ET2I>*D3>P|5=qY`pme~` zI193MP^XYzvm;aOXZukgh(e0#*c$VrwtL~+23{!pPs<>ZuJAKe{=f;lS6mP`cZ9N) z_=H?_i-7x@bSM@dzk8+$D7ziPLp3>;DjF^|%#H?$I3>Kv;fcX~W2zYSN*tphF_`WB zZDB!!WVy=63s?;v)WDfq*ww`A=_x^iE2G+5*j19AbkgEq-5ODNg!LmkL?d<4D$vlG zM4<5(X?VAw9pQHPQ^cO_M2I1MsY*F> zJ>E~;{p(HuU#-lKEBcZF^#`P7~`X79@J8ITBrwt zxkUHX&5cQXcnS)}3Y#%!I(V=1Wo9a1*cVRYKkMtI=JY)ReU~5krq6wPzgwmTUnmcl z3yg!aMTA#b_Cw=e+*GT9g=_>{M#hXOW3t0_M@;7#Sseq61jk^2QJ>6OH#mIe|H{SoVba;dr+)E^vBsIn?S+z&^E|;%K zm`eEwr(dTFjc1N>uDAI2{RIT_R&Q{yGrAH=>f93l|+nueJtx3ZPMF+k^Bbe z$kOPw7bIeTH9O|U!su$-arrsH0r4Q#ne|sNWbepoH}ha3*~Nb-L=u(C&L?r#;Vi8d z#@A>Ll2kf)RNE9+;Piw&iDLp!uSbdpJi{e-9L=qZqU%sYc- z<>L;&@h<$x5Bs9}eTm{Q+D!4&O0Ia?(Gd6yDN7q2hl#ek)IKY|!CzVxti{2myO(h? zTa5rYQyawtO^+g4#C8BFn0XYYKX-N31e}!vlvL zDLlB&}K=Y3ketdWg5T^81 zDnbo0zn_wefxcVvHII`1*@FMhlM2YF8~5@FCrHiZKgY=3K-E~EPRioe)JuC@ z(9}*tcbc@5c9G`MVVOLDc?{WfYmf5#0(bMZ_K+T^8y`j-`+)-t9u+8?0lSNZ3>Z&H zMletrM@X35s$Ae@ty-YA;*EwI%5)XXrPFJ>(*5S9Rj+e07NhHxT*?)nY4cD5y(eq4L zR=YP}+1%*`TNortuhEl7VteK642sUu1>;tZ%iSf+H=7wi8#*qq_9yJH7%g?L8;J8} z)!t>q!$g}R;n>(rmyAQbwADY`Y%R2a6rke>D7Jrx+Mp*?ANy!+?zHKvb{URbfx4p) zF1m|IFm9dT4%JPQ!l|3?R5%^uWB#qP-`O|-uA@ExEuNu7rcpVv@! zpV)EyM|)8!L|3VS2HML-9?2bz+krbbY;zfi=kN&Nsu^E(LnWa%H|hzX0sDGis?J2$ zJ^z8jngC5s;^bT2i_tk@HTm<9yPru89{2Rkrw)JN@0ma~NQ0B>=^zqX^8v~zoQJ&B zQFd^0=iUlff?NAQK0(ei2!(p3Hqp_XxR--T3xW1zIE-}d8@02BE zMh^MPmgFT)WbyCb)e}TPRWa*c!}8Nf_LIPGr@0d81vRVTNO?vn)|JHSurV1g&(bon^cvZKR$E@VtvTvx`O6)Ul_{-?ONro5X3trkETs&-j~84k0vsOz?TO$!`e9B{#Ij`P4z zgMaGP{@j865j)Ai)9mlyi*|rRnUPQt9%3L^>OSZLp1B)k57vTB8$7&upC@Rrm+S%$ zpi~f<>}YR%GgCLpfC==nxJ7L@-2Kt@PMtJFOaYeINIR`P;e7u^Nv%d|ZYf+3x^Hut zd1Pz&%b+jYk&q+&_96!6uq@~lQ|&+0-W=L4UKQpk1F_ifwXE)mvV`+0*(gSP9r|!*u&O}PrR<2V%aALH`N7rb0ZZa1*RiYgb- zzJxb-#;dh1*4_U6*h#K8fh7sQ;2TFqcrozh+1KvKwS+&mfw?`G{2)lT9EXkXL&Bd$ zY82lO%dkh=Mk;AGZ&cWFHfpUf7xi^<&E4yIF0C8uK&CrI1IDa9&Y&_J{SNdx;8ep|zNpwGgiK%Au zzxj%af#1!$X)EwnB_sb{7=CouT%&eB5m;$-OM{ex4|ZR{{G{FOTj^uU!tyw}7Y<V+~c;x1n5g{ljxLx@r`WA{o=$eAx4hKf8SHg%=s+XHxXW#TkJrOx^%?tsX z=P*S!ZKeW|o>N|u3kS_IhXi5HaF=WN@ggobdOS88*&LJ{f%v7Q-rX&Ly}ivAzFCzD z_HT(jLU9I(<0DD{%ua^{I!)K2ZkM(QeN!K})8Rx$Bai>$1;0y&=#o>Fu%SRLH-4AG!zA2#xnW`E&e$8}GkXY5SRe9jI*q#~897 zb5GC>`=rOj)Up3@|LO^%1kmc)7XXlwM&4FqJEB)+KXi((MOj3M(DfF-17T%gLES<%Q%4mm?Uvadv<@Tgg9A6qkPWLuwaDWz*%+ z=mrs)o#Sim6E__j$5rC_t%@(_62}C~_GVxP3%~4;oL#Whf-?VVnOLBkDFceH_8a24 zgdJsHPQVh`|3!rhQ}!l`17zf{R`R;Tb|N?j;y22EpGe5c@Fvxf2P*RTo{=DRWwROh zIVKLe$hegss)hs}x$}%5-Cj8M(^Kohq9V_aAr7|L4yE$KMc8NpSCdlk^*MlNsag=2c0s~2gw2T5T_>*61C@cV!vw#Rqu zeG6noQc^rP8Mq~C@?N3bm_$@KMtzy1~=XPIB29vl}ts-*c1 zEoD$qTlIq9+u;fhM``7p#E;Jd^P1^8!)9Q5g*4FH$|W#}UOo^ocxgyu_`HqaJX{~#do<*aTTs>A@j8me z3p>kE6l<)<$_YR%IiFo7eiXf;zj?SEP!yT>973;H^hh5iEBdO;c!ioqS{OMwn39T| z!s&N>z~Bf^I1@A+e;|Vuz`o+?2;5WmebbzC!bvyY+A*n5eYl1UP9x5ooz=C+LR49< zuij|w8X;dfNo7osNku33%xLA^pqKsn)~Ys`P$#h7xrgkgIUJ4-s zWqYapZc-h&)@0Tc)EqY$NeFZ|2OHvOq~}kXuv3VM-y(bIod?N>U`Pd?Y~p+b^AhEa zIInu&Q0$ewhx_KM76HJ$F>$FNBw-n2c)^|A_Ce!tn9TzJv$B)KN9khEM+3TPQDOX zWxsjZ;4Gb>KK0~Bgl>n55st4v=>omN?;aXDtw+o?pR8kEg@)%>o-g&O*SBM0a&e>9 zIcK|R!R589q~ucp56&O_6fEpiin^p4q?-K>DZst_+!4cxZOMf8h-iBSp1m<4xT^G> z9aAWX0}+@(Jl0U=C=5bQybrYx8jqI_OEXaNj2kM9ZyaMc1^Wu^wsJ_>9r^a0L@IZo zoWs19DSHET7~Ae69Nmouo!*acq|ia%v1XRsPL$)LMQbDbJ6v^|j_Nb;!%tiupke9+ z-3Cl?=#LJVSSG&*&z-+- zMRkS)smCX5kN;SmnFG;Q%IT6R*b{LjmV%gtaDhsSEiq ziEJ`acI3CJqFzEXuCl=<){FEXT*WcV>fZuKPwHnEjDspvPF*60Os!+@76IEG)(#15 zAafHD8n-IOGrRHcI>9AM#T#7rydGl@CcH_ow&TXDU*vCC9I8K{*b!v|FMAGMM64b1 zk-b-W*1AKzQo&jo_l*PK6(_D# zWHBEorFgYS74CEXdot4LJAbS{8<@lwlZo4v-1n9)y|IIlSNUWEZcvCjp+j6{CL@=3 zn`~Bk^#aS%{@r|DDAQq35cQtLDsXG+DnC|cxFRXQkDYdyOav3?yk0i+Ccfrfe@}%0 z>g5b5BZxLma!PA)C9jAsJz~2>CSB{|4+R>^L|YZU?Z7HA3zqi#Kg$64s6h7@&2QSe z{lO0dzgI8`ZDz5o#Pe9HsTlhOng5JLja3khzBq?UOhstAfC3M^eyO4Qmj!>Ik{+kf zx=f8~Q@^>B)f{+rWSabE+jE^W6m z!UQPVwz-xw<<<8I(BNV)6 zAEZ`MO))W6OWCm>JZ$bPL5fc6vUyP3sGi~P&nl>g3yzFht;O6ldH^aEwl%BLn~c)# zQ0^RIlkwn@@V7go2C~c2gCf*FFJXazdJ$wPL-0sp5Rs-|&L}^s_8-Jp*xGFaa2X3n zYUMBF%+-~!V=ZWS^+#)q&uGz>2J8K5lOeZb683O{)sd3Y`#iv4KZ-}ZiD|e~{5OO? z4o-pAeg{W=X*@GRo-jn1duG5#qsz)>N2=x?(x0d9$fXX2me+kC>w_c%hb( z{NrtQY@8K{$Jew*)l0nfr|@^mLCJf{WV9k=x6?lPfJ$YFOsBtW>GUs%U{Y)O8F(ZX z!+TMHKH+v=Z)nRiYf*u^6z)Pd$`RgK)F1Ta%0&TCRPNDv4IGdqiQ(FuzIKgOKK9oQ zx%s6+w-64n(=RL7LgpN)@uC?XZYxH~od`9LW#EFV#Kf{r`e9gOw5E`?4fOdFCtJ|k z3+@|{t-U`q`G zyh=3$qQcZj{3i)B8)%q4EgFx%Ubd*|I;EfUGI72LThr1KYU_|Y*PfZ@TEaAylf(a2 zVrp;@#G?Y#6x6z5-;o|Kf}LMsBuHgaM1Y>XL18cV0yf{=Bn*j5Bu zCheR58X;neC9gD2=w4i|=_bF0(JW8ILP8EF%oU{Z>;`fQE^k(10tpua6s zL)*W2^$;9zVuBJ+_Rq?%x2g(t#oTwZwZFkk?})+$!x|VfYiz9FMHAA_BFjvdj|kii zPpDW+BDp`0Huv3;(70-Z5w4z%Phn@#hiB*VXe>`~b4)|(HRpFc+U`f$|65BGT{;r# zu=JR}ar|4?hDto`jlZK+LP4(e=-OCiJFI-sP=IGqQH6Io86WT5>P$~2_#W{#yIgkk z{T1+S_!96f@_i=6>r>nD@>8!R;I7T^%djZ{VS}m7Q-$6@@UG@j0Ztg{L}|ko$9xH! zS8L5)bx|RmxVonrA!e*x7;w8#APS2i zxSk$_qEd8P3356@|B;CN+bb>faWJq7e15Kd;TtYl#v*{Wf?oT9E2J*uMZkd67O=dGTJO z(dA~74)Rlb2ct^D#2Y=q89c`SV5RTNjQlcq-*&OX)7K|3jq}d`EXw zyZwUpfwYfR@K{n)@nfzH?zPy^stpn7y@siUFv(0az@l(}c$ziFyxOmJ5FhH*L+Lt8 zCB04BDGJf8{o>S@{X{HSy>JF?&$ZdBfzi_Vbd3(vljwZmXFZM~u@@bp#apNGAgPC; z{R)8bbByRtusYmP z^dp&{F5G&HKgDHh7$4P-Gk|!H}XJ#@#nOqQgbH z3;uwa^8>H`tMiHPpNBr&gC*gpW^Y1+6gA@I5gV8#>1$hIex+3Ur(X&B4Hsdwja~DL5OjC|8 z&xSxP;RM}Gp@J!azB<8Ary<9?tM4fE38}%^ zmJ{cZ^c$yUYoE z2xgtZ<{uNCv_#%D#$?xxrK+x3ySeus$OD>KV>_%rU=g z!Md#n^W5SxiAbps4caXGXw;gq$S`>`9ow)j`0Ni|iQs)VBd}jU>DXU$EX}LE;B-L# z4DpFfM^D_avRTR}pE}CpaU%|rTs4g4L+Xo`PD+W^i0FQ+=&&cveH=Ttrt0jODDCB{ zhimh}RjIsqJ~UT)eMLePM02v@F+M;=#bsHE2syOhC-6Hthf0s_?oJ_-l)n`^_|COb zB*N#7FSM8k8ee;@k1PlpHg6wus?>rO&b;FcUH!2qiC2sl|4Nr3 zRVHW=2U!r)LkaPZOY7XXdQYsky-FC&^vCkPJi*E zk*eD!gR(Dt3qL{C@vmUzAE3eOvC?%h#1D;kOxRqH+z^3PZ;EKPTDXZwqoLV84xxca*4Ih!Ta|J z;&7e+RiZHe^M2FcN&fDSxk58Ae8QiDM!m$1498r9-DMhM6TSJh^}gP{IjrwA$)4WM zv9F7XSW67#W1($)AY9$z^P|Z}B}6u4$7(-K22YPik^_@q5nEPDW^h}ZM<*xLE$Uco zMEr|h{uw2TKcfdG?Nq*eKXE8#S)%%(y$9?|DRBwam zaew6w&Hc_vZI8x6jI>h$otcSYRt?{ zW*ciA;#WN4cCK3CLsT^sBVnu2vQEVHR7UFg>(sjk#Eg3Y0qZRt#Fzmf`ch;yaKyo; zX4|OvQHd?>@Q$k68=rhJMdvAWA zi&b}8yXyR-?}R6q7GZF5SjuScQ|x1C>yw%O%&-OSKV>m9D4o((<)h}3W=Pyu(y?$! zN}mQBZX)^?QRYS*3FgGs-+g-CX=IqG89LI0y%8M-9--bH`5r0c_ML!R7xT0HEZ-+3 zTyNNcA+B7aQo8p6;j{9W2UL$=X!j)Vk9@(g<^h?K8p6+X7j82Xw%vyYwThHo|jhhGN9ArD@pT@1Qvngel2#%0xz-0z6h4Lz2f)$7yvQ6mW%Q z0WC!+Z%RXnZ6teMVMca80)etw1G`&Q@iSdEcV;U0>yV_P@iD~{kvW73C0g<74>=H? zuCHFgs!;0koYR<{Gxa3@1QCDaPT645wa|sTNAbIw*nfq#I^#!^d_+7Z1?hcBn>*lh z#^&TnOo$O2;vbseI^{dz7azgfXTZXV;02iYj8G=hnBN;*Pu5{NsYr|4h;{6oLzHv$ z!HIG3FElEsJ~Y;s6{37g@eAbHdkg=KBEn7q$y=(mpOTWl*>jHi*#|Nz4li@G%uh^y z6$&njR|+lrsMU2}Q7p-jIW8}%Vmtr6Tho); z`KA;(3Z2-gTZ-Jxp@LmZbraAsa#BNcD;H(4A=h?oz+LxY7QzirVNe`t^R+nUgcMx?UfL*ZWZH?M(4gDLS z+f%U%spkGfplW)X3B^R5xou^Bbz3hd!dq=kf(x_0EzWy{Co;}Z)M-}$mvi}mq=n4u z943d~?!MV*`a~s4WTz{Y^1yXbbsZ*1)!7f@`*rzqca|11%st4U^8r4ZNw`7AH@aPt z;nRA`cubogE z`(6s!Oc%Q=XrK%6ifEwj zLQk7aaVVF+l9<(HKPxql#IICvnTI{Y8QCRkr2DOlmJnepNbDd#h`-bIh!*-;*w`>f z+Z0GN1&GtPTK68S;!+W2QZcRVZ3+09R&!@%%PaXCITBJDudST;P!$bqV>_Ga`9=^Q zql&bH9_P!;lH|VK3NTjP<@o2!YlhgSNFA@c!yR_NC#k6^_%&GLApJmKdyR_U3xlj!kVRP^*L}KJmk?u?d+4<%jA*cVqbi8*`J@T`1v;M6LZrvr5uDtJ42wnln7CuHuv1@>hYtyPe_({b(H+Z6r?}7 z7~*&q{aNJ=leCLp&1)AHsg4D3v22^$`$K=L&1}|+CfH^%|El}M;Y2AssasBzF2a0f zjxZumN;R}?)c&fML;_6(wHE|V#?8Fq5ev4w1`Em1i|if7*<8}URS@+vx3O+nWHM$fcxYMXwvtDwBcbsvg|f?pnpQTrmF?P~ zrgZ%}T`sbFX3rNnCpEtQ2OiI!)I2ghKywSa_{WT>2P^G3IVMH@(zEi^vI;7#qxPcKn@txd^dcpa&h^Gn;b?)ulR>ZitEYM4 zx;)UPbo4N6^OY&ry>M~+!g96~?TafY5wQj?Iv{e;?Q1gBal;Iw!~ZGTjvAWxG&~b= zOaiDKn1CFJO9q=EPOghR4#`jwfs>4p6XrRZ;p5E8GzAQg;cb{|+ADg$Zgbyju#PJk zT-Di})uWcA?TuD6`f&19N`F=6Defek53`$<`%0rkT*9Bm{7ePyzb6smg2)MU%1xXN z85tN0b~-DYakL&NvzA_$HCMF|?fOEwX!<_}2}GJgUkCK0+`oM%QdL8mmjT3QGq*^# zd{Q6Bjh8)wr#*R12AER*Z~j<~!MGvw(^e2M?wS zh|cdo>H*z_lL|%i4DY77Dci)Ymy}YO2{W@I^ZH1fTkRpZDmxV&E?!w3ibqA~ECQp8 zup2V?ue{-F)9acdcJ{Pb(sK5#w8}s{tu~9_fA$_R!d%B>dXIx62u`MyEh@JFDZ3eJ zGi<8E?@VIeCVw7nf1s2X)pD~E3ql?we-eg7E^99}CHk7bq(%j&>AtI><<$K<6l}(H z{;Wu^>s4Qd4yk%sqa(4;-H8tubcnaI8aI>70;Fnqu|L9O3nCV*{f)P4RfC~GRRcIA z%0hcP-^1H~qadCaKCJc~S#aZxp30<7ivI|@25dTW8kHx{*ur0Cy>k#0@Ex#azw6{j z2c}wOJ}GXti0aHC0e{t38I=wt@Rmu0WclEnWv^~<0eM6dn)Nf{;?xs4cLCJ4?~6wI zf(w(p1Ej8a(|eZ_He}Ov<}HDd+IaOj*yh!jDL(B*?`zRPOg_4skR3Fi*QjVl8C%9 z3rN4K#tN?P^6h^*tz3+F)jJqJDb}Os5~NF1IhEqm75@6ji9kv>{rh&L>yP$QXl*)+ zneLI*Rl6(%=GP-7*<-+M zzFK+GaK%&pLOoJr)sB;NQ5g3xk2XUw!*InBX8q!%#!ddxuVV`Sv?m(c#$7`^VplMx zsobt}wldQ4;a%9%#t-i#$?`%b@pXl)huMvt;CR$b(?zjIH*sAuy+=?k2bJ+G#f;dJ zRz&_biv|yfA)*7V`_1zNBu+xgU-+dMBs9+JEhn;Hm7524+Lmy4m>|0cteae^`PHTb zBavTV1XiLAK|5Hm!#^4tx$`CJinnO17Ffj;K`HYSLtYS+F^jDr4>Jc86s96;h>R3$cQb&hNF-P()n1hwkS=kB zZ%JJ})2)bZ{>_KPv;B$(3hWENRO=+pg0M!~O7{Zbem(yyFw$PDhn)$Zzs~Rz6QGI-2z7}`2U$O9E=gRvgilNSG%L+5Z4j zK&-#r;P{#wA{P{dz~d(*JUWnxwg2I17gSJK$gb%1P*z5?jdL9E+)eO|oPH$pmW11* zV=n8jPBSD>9FN)G$yX-Q7>AV-pR}NUC&OeE;_AgFF-4s?MLi()O4Ag+GWzww(WyNR z9I?bK14)k=B>{Tzl|q-D_zq(GFc%L;2)2NDR`_iYm<<;SJY1JPBQhN`BG;dp2v#F? z7nf=i!QE9qOvCj)xKu{E%P}g#@jgpbk=`hRfmr1y2Gl)vbLGsz&2E0?JFO$M0{3pe zx^QYL+(-Qn4~et@H^n%wL{9$$%zl}i?)%vGGib#y67jldjgsJ*)!u{{i+3(iv zTNI3I*A!y|o=?x5Z|5g-cfK!l!u3v0w;~4r3C5Hf z1dpFZZC7?5-r;uTjM8yXf7M4izd*5p%9&Huf?~g3T`j3s*8gbLu%+==+@RgfviNnjMp@9E%*XS)9;A6iHo&5%e$67f;vhw9?bHEcRdsa4~Jb zcC;d)eW%Y&mYzx^)2AOs}HVO#ggY5oPHv3^&;?o{x14DOftE{mBSt2 zBFmmtKQ){OpDVIwhuMxlt;p_^33#dgG>CV&2Gr#>1XJzal%neASNC}^jUJjlAR*V< zY)XWI>j$TE#f6^{VOZcmNKJT+f9?XQAql28G(L%xe$_ueFg7oijqV!VsLGk8==A9o zx$^UXg6Fe?$W_wvo(LrVRNR>$d7FLK2llB>F?0_dVrhN6%B5cXJd4$BlWLIBU9t+H zZ%tI&%3hFEozk^Y#bQ6b69^6XtFDaE9vSw;hd!j-oOl;44ZnkP^@yjV<+q2{QUY2&SLz`9*l@uT{ha z^7UMwd78)^6<{7Fqv_pEG4!+KQM@-3Xm_%cX#99;dQT#>FqjO|o9<|0Ds>a!^5 zv?c0Y(M(77_@M8lU&QU9&RsWXZn3D;Rn%dqR|d-9@zfh+8$y3fh&TaH z_1kHRV~cmjG*M)thhq&obdiAb)jMZW%t7L$fx(laY;|aQhLs9Pm@2IlBu@yts^!UJ zYFNV`yqN|u=aC}b)^Z~Ps;l8q=ST#yfiK~@efqROfGIEsQz6cngt$D`V6}y7b=_)m zK+G3doaJ1>uEvCmEE&)?8MU2qFbK~KEfFy8V>T1+3TkLRDTMS%gn??;QUlHShd0EX z*KCBeG4a*His(QB!#hK5J$I#TE|+JXKkYIT_yZoqrl%I|>!abCHHd99Y3iDZxG|Zj z3ixIl<&rHLK#=RObc00I%T|j%vH_MDC7sfyK65O^g4M>6{eTeugbK~F0S$-Yy)VH( zn!cudUE}UC)nPX!;1=}@lYrG#{@urpD8y>b2Db+Gq@iKZ_A)g-Mm_59MoP4KEZB<= zY=@(M2>gIigs3DF!>=P&jQQJ?U;iY#H5VQSNRLa(UIl8a$5T%RJN`8wPh&KLd-|a^ z3ZOSZa@X`}|K2IcL6&H6mk65TE(zBX&du?{U2QlgTXcHXqfzH}!J0MD8nPqjHkV+8ZcdO>e|aks)Ix8X%F_tadOqLB?8x#R^nV# z7nGFY42S!tzhkek9Y-fAg%6(6H}y*RZC+33IzFaiz9mW7hePI<(TfJX3HqxUJ$tMs z5WK3#w%hoehDFe+>5HJLe9F0Wr?|OEfypqAIiluMZ@XMj=jDZ|;`fD!&csWK{Bj!)oV zkAdG2!{ma1>%)p&tm7G30dNN$@J z#+Dz02)L12wl?j&O6|&bURBD_s^A+~kub8dut+aGB)%4+F~xkBfDTjUcviquE0e=S zt06yAe0|VtT~?dW1S4LR2sJ;@*v89H^x#n%+gqeszaoL)N>)Lpb1aCM z6F?>V(+tJ(HTU_5VcRw=5Tm<{=NHzreQ?pUq%F#ilI|9{s0ok_Cf z$Pxy5{0a!DE~@PPAPb2kAe(~jo~j@U%$3H@>_?7BZ}0zKGgDPly%BLe%T$w?cK5)f zmAy?LN6(>*eZcradM5mMr#w}%c>PW}CHD~XEfgiPQ7VM;Y6LI1S@M``DG^I(S1N4U$Hez98IL~F!%f!Y z6JiBPmU8Ap=_6${DHe_(1OM>+X@s=nh5`XCdiH|VDFBEuNKt{y$ z+b&cj&Few5J=HahBe$XklX_k6;*Wm~*UI=biHN?B1=rH_v0&hi_IR|KdG6&=%_2aW zkxQvkjc&#uPE9XkHus~?#VcBw#27|?eYnVyArwHYpwQoulT!k-SM5W zF(?zc&~MIj5H4w_myN(xv=%`RLw(9jz=U!Ue!#aV z(w!ANdKm8Y$deqn0iZ&JqCNR(%R~5{J^NF&%}xE;+XaU@a4Iul>Z$Zi+=Fp~hgWmY zSaRhyfrd&6fe*Ova&h&aYA-RGvkqUV-kN0y;kk5as~~zeKK1t*ZIZPI_YZpwGgCBy z^(*2d#|%wlgz##PPkSnAhQ|X|S0x6}9jBs3Z?G<{8t}7p!b*2n19@>ld8*XCuPv1; zW~h>_oaH~JQ2qNAAqf~#GsBthz3O^mSKLvVIg^DSq~Up36faCf>eENoh(F4T&BDpM zwXA=5D1+c9l1FeIb8fO=QoWOXR?zM1j~c@()hE3B$R&nq@4g0iC4}KF!W;I+kMDI{ z5USx{2wJ@gB+gi52Q@^!gZh?1^Jnfpy)`-+(vb=q*pT{|ys(pp7-|S~g<#^}Kja@i zGa<7#nUG7Vtoq3y3=E{RU2S_ThV`=$bkf5$(HSFcqeZZ%H*u&#LG~`_IU_i!k_a8R zenzR6dl=UK-z)v^cJ_brC_?%_bZv^0flld4F7sS6()t(`O>Iu+BLeejtt^bC(Y}SW zq8qo17wavF0uGDGrsCe()8nDnBRpuM^2r{QV&8gY2={5v6{-RFUwOwsmM;UQ@e9oRLxsRCiF37Td`QP2K}S9 z>qZyd)h1iH8lAa-GAz;UupZ(Azb8rWck&W`Ha#UWpErM7<5Vrd%!Tk+MNJT|$jPPx zxVluF>|i#imxi42fMhdeXr8Fb0IqQ>?6jY1y)k2`zW~2Cx@T~W_e9lOOt0!865~mO z^6D8}))wHutyhETvYZ}^k2&9H!%V^bG2YfG*8zvV=`&NPs1f4!n?f+99M_&0`|3iF zOKY90wDGwunQX&?*YT_R)O9(AT|3g+T~SlVzr)_KU~N$Q#{y_}Lx?5)ZA{stxDD9o z%nS3rLSfxf42?sZtddJwkYM^HnQ0g=?$%&ueVd7PCvzL0uUxjPi+4kQp(j22c1Q75 zJ;(tRq&@KwbyQilWxxC?Yw{qPzAuO-DN-RQHLeKMX+pDi*qcwRLhl7`ma>7;1;n_L z^P)A5`tCC6{C?Cg89H)xWn4XgbZ!2G#Ag2ApZ@gIpZ@L3*Kgm(_x1VP@Bi`j&wu%s zPk)*J^Y~Bx`r9x6`0{oA_}kZSzx)ne`Q@*_{`l|JnZ`HV`6cMfFY)+uFlv=>tD)xwijc==wg)VdyE#FLIcbh9yIVQq zTvMTOj1xrgjqU7x&z1l&9PS=(*JFyh&12AR8u#Ao6Gv|z8QZ)p-BjqQ1Afu>dLdg? zuB5u{HR z{d1%H@F837)UKhKTM~$L#tQw|!@DRV8crEnE4@lNEb;WK_J%efnYadVs9fL09Pg;Q2|T>0ZgLSES5 zfF2_T4_yon_Wt<8cV;u+Co}7>#y3#ATau}I&!s`EVVLP=HK-CGcXNPQBjLgxh8@p*Q>WBF<~l&_9HbUb!8Ky?Ndi!D-T z;D|#{Aaiu$SI?*)RRfN%H)>`(G(dwMssY9;&_x)Xea+q4Q1-Bl?End(B zu_(ll{r039xqT1#J?t2Y#I5h%j4q60;;)h`uL)zgjy>=xP6SfW#aZb8W+MH=yI+|1&ATyQCJN=1&l>x-PnAfXhi3QbKF#S8G`-FWQ+i$xrh?jkt{33D%^ z4o>+i(C4!Sx>wvS%EcTs6c9nb(6=WE(cZze>Ro?3@idiko3)}hNpWnc@;H+oeTXgO z#;s+&PtV@&-RTLEb>)!JOIU-q6A_a>sPtAk7+~YmWX?f)v=28d-!j6Hjp$>%#ios# zB2+PAKRs8$AA_g5gFxK)G!+;?h%m*=1CBE@8U4A#QBbQn3&m6o_;}5Cxo-^v^m0r! z#8bzoi8gt`j$$U&sO~Z|v!13K-$Dfl&diIUi|aNt&Ql%_Z6mwutsGZx zQICbIP}12AxyH8)oVD3j`2zMqpXo}Rd5b0&N-DEgBuR2ktru*8r0g?lOQ_!wXf1GS z29~plS7L812|!#UUW{FagK@d^y7;i??ykBLHy`-)SNJSe7;gM^KOVy?LAcV32l3d+d~5h1Hp_!}^DBc} z{t;I&4b!kEwOq1CEpuND!Al^7?#vBs$=Wps0N3$pbSB*m<1l|!JxYw#BpXjZG5g_> z#rHP2?E}7+H2;_xnhZs3*q^3^Hb5xGD>o`1TiAZi1|Dk^z-{lx ze~*8W+yrmJbdDAw_g9dOWO(}!_$%p^ESAqK8fDO$UE*sHf-N1&4~)fz^7+8?qV{dN zU-bd=(-u4kpwuO=_@HMN;WqlMMT_L`oPD%e)>i{BV8-lP2@EDD63&iSdO&YFK2JR) z*T$!wAb$R9T)=1{pPe&O{hpj^U|g>bV5Z|!AF*J(HS(P{Q3$I{Kn-`TkNX12p7uFB zE@Gpkwk!FHkk9I#E&pRSeu!@;kJrukZcxqGy1RhS5!Vd?t2X@$#o-@=F@Dhj%un0h z03yYn=*8e?)!z~LL!V2WU=*0EX2xo_dgb8d zy~j^^LW+(}jkmL>4|PM}Vf4D4jb$V2YY&ioWs~@W*=>$Y=Hd2U0D&AAN7oZ+epa=* zhRsq9#|M5q;ebh9UqmA75CVprttIh6*Jst4$)1GvycanM6xeiIfabLYNwO(rcdeOe zXF_Y~O82e8hSYJ54|Z#Ju*^`x z1m7je{OK#$(3WWua-!=}$-75Q&$R~|KOr~zIs{!G_8mO|RMq%2NgP+um|}E;)sPgF z*}gFa#5tc<2`KMriR!!+;8Pf_R0I1#K@5CxhGYbV!SfD@i#JJ$9v;&=9D#_EBo^5# ztT0c^w$YuscjK`nDy9(9WR%oP$pJG7=Ml0#VD;XHr0x}cHS8B(fH8D^X&)Sl1O1f` z>sf_d*ztN|VN=*}@Ptk3nTt84-LE2ON<=d3Tu;t>?3e*-%;w&94e+O)1Awlx?uWYw zr8y$+9w=E)&5=lbdQokHzTK^d%y#LKLXVGzK;k^i9fUWkCA=~JHSRV8j(Ll}hc03f zO4~TrnQC>Al@451at?+K9SF2$;!u4w$IN5^5&5DIMor5ND$c?JaOo>$mRCJ2^02_G zV}|%;JNBy4wwyZW{}8*9i`zYxos8SAk`~I#k;H6jt zqokSd(|fnK{rylrXeMq=$b{UK>zAP%5Yh!Gh}kTNYn-XV?rvZ;uVX^(w~BOoWeR^< zLdFF!u4j81oZwoL1o(I5$RE=!<&MPf$xUOdfG=# zN~~6M4D_8y$hycd`*Agj6GkWdQ8v*C=`&FLrIslUL6xdYkWhCP92kRjSYZT%?3L#n-sZz`wy=) ztbNanQ*f4G-%`P@0`=hawFAh}h+w(C=r7eiE;LY>`%y5PpUymKxN}n7XMNaeY%ZwM zt+Jx_yvp7|`g?qu*=MtJ*E_+?Nkan_$z!J1rRO)JO^4flyjV|UcBpqdJ`JgA$To;B zc|9|ZC(1a_dGBp2*KO61SmEQ!E#%AijHcSQNXcP3js{trPI=sDP`H41hVH5HwQL5* zJtzMCBt2TCoRDwUggjCr*HBD}I(X*C1}b=0&5kv}Xnd;ZJZs@#hjZdZjkIHJ)aW>O zb*zm_`HYyZghXs#orNk5MIu!5@55X^#Y<6w0 zu6Je{!ca@Tvg1=R%c#gSR0!d|6DkLbAV!0qyCDJXt`E)d&nC6?7Bx&9A_;8{YMELs6N*A8goT+R`pH@PtqM@?hQnGqel%q z_}KIwJn!1-&7UX-}eG(#Gr|XC(fc^`?%Sa$x?Ye~ zpK7PfaTbRIwq%Y=1XlPG?IE<#r&?UTSxiBQ!dDX9!$XyE)b(NFw!1j2;VUAWc4C5p zg#9dJ#U@->1yd))F zF#$+E3i5+W7+ccYOZ47;ZXYtWQXOZY-ga~s+)BMbtb!6_4fpu>?9O304Z{;6@-d27 z621DnKoWCCuVLR|Owg54U3O)CsKtz^r$)f}j3tgOJiNNKVgfMPOHbyte*qQbXfN+x z9ZOJ*SpuL&iCJ$R?rrRQy8>E@Njx^E4B#-?cpi#{Q8ChHFg#ie@^E*ol+%`}Z=*c4 zn@1d4QoCN0O$?|qeAAP6jhX6r%xxmn;2ODc4~1JzH4}G5WFkt0lZhDoOb_&A;(=y? zqp=Vu62pK=X0*mXFu3H&F9A(&cPlRN!aP>Wv88coj-_SoXCcIrF}zcVhahz}Mskdn3dYq!;;dt|;i4n3|LoSXfs3YM?7b!fs$?%@yDux>m*+IE72xRe(*Uuq zK%hN7gWd|>M$Wi&)4FuGZe^dE=v4k4(29r@6%WV@IIHnr8J#uzD+2RHO}x_rmVbvv zj|}F4$L;k;ALp7ljjVZ`Ke6s$ZMll)hgf%2zG4dNZl#iZy9$c!2_N}5_p^<$944&` zw8vi5aq?rsPlAM>c(2cUvQme?3wL-CLOFyyy(g3qJ>?`#!KrJF#HY~WX!S*4adj=` zTTeOMtKpS&t3Y8B$`$oY3MKBnzgOhUzy|x422xe4mhY zZrSUF6*iw&OY=5cMrL48(Y|PiWN7=D_4CoL9&TaEg)PQh$&70J9Zy@?qr^Xu3HI{U zx|RO695@_*TKbC~AE4obLBpM?V=R<^pT!CU3F$T-3+-g=Re5;jzYP1hBfK(qeHHSo z7h7ARBtta>-*79eDt?+$wc{iqS<3pVug4vu1Ky?asox3g!OZdj@w1!uJ#niS-1NmB zV0cIE38~dReHs0~=5dqc2>+e-q|06saucQ>fejuu=#-9y(X!sCR=?QSsgAe8QR7TC zJ@$j&f`0SsmoIIqsP&0UYQ61MT=hbEG5|{GP-Ns##8?|arg}%@kb8uuc&dHJ9va{p z+m;W06Jx?pZ}G;LB|gl?g4`7~-nr7Z6SB$o(42n2Q_@mI9(3apl+%(09%XX3K}Wq> z{aXbCWq#U{w$PP)^P)r6=jYyH0(z4eXFabjhBk)fad?KenPUX?HaZb5)Z$2{NKL>z zRpfO%FXtN1Gsrt78{c&XKI-51cY{e2BUE_Cm<*Dow|@T~T&Lw*l0o>V+Qp^s}zkDnD_J75*p0N|<2FO^3I zC9!3Z1MNGY{utUtl*ws7597?Nf1N186mS{R1B;J{dbQgIVI{fqqQ?rzU6}+>%Afd> zC#eG)fPvBN=jq{P3au?y^x3=5{F$k0sy01_y$b2!Y;fCfcH~Ui;p@GQtq`FSrDVbI zc8a>h9_7TnGnh2k0#!15tYo(NE#k#TfoQ>ULS(#DIUjNN=0`T#)hnWz_mG4(uEsd= z?aJWCpx3szn3a(%a;{Uv_lngX)nCJ~(>eBfZm)YCFirwihGmHEk$( z)4dxNs;SQ+-1T>@FYI!oP6VYK~TxOb#S5R#Y$WMaRz&F}Sn~RW|eV8?DcG?(qr@^zLkrWJJB> zXpqRku#$$Ebw$ZMD`7}^?Nb6sf)1VQ;;~- z6T9(=YVmf!ESTk-`@J#2JV#1dyMx4CT0dXfP0D~wF7TtO!yVK_sG_}~r^uitVi4^a zY`)z@m+k3=c1g;qba$;PnMH%9bvgwuEdon+sOsBkZ{X%`+qvmMOCQmAqS>zAgxpBK zDOgG1pxU*PNYcusq{m278vC%Cu6)}*Oc;JFlJk*}n3!0D+AJT(4W*0?cT6b-vTUX3 z-L*F~lNa5Q(Gd;VT`97w5d|0>b%Qo`JXVS@zJ5bWs>AID=T_*{pEfsxJb;%?&7k`p z5Oix0eg4Q15E8RbXmDc7Y4jytIrr^T2wURVRNo>=(*p=tGrl-i7-N4*z)i3~pU17l z9IAm)w<(dANSFrXgsA0_o!&F;IAtY`x$rUQc#-(rV#9Izw*saup!6#++Un&z(Ngyu z83hSa+yi;pYzh<~;yV$@zemu5+RL=&YYe0`$tAWWMl<9cJs+zBxqHE=Xhie=z zMzJ5KOEIm3d7=>3Jwl!2d?`h6hTlU^4aPm65){K|?xLyrGU&$3YQ;O02*U4P&jrqn z>>wbD$s1BdA){DSc4nfhaZ<0`-{BL~aStwfzAoPdJM@gpQ%DK?kQ($( z`ml`P{wUSJ>^6Z)0G7?sRsx7`%54pkKIX!BUVnk+&P+n-S)aFfRJZ~>SY!HFuIpHN zyP)#+_%z4;Y8$s36o-vo6&~a%sATR5C=ag&4|gwLz3upFhBL%4CE-BkEY@v_XRJu9 zRLhwQsJ_>3+@o4=$h*?`}+Lt_y73%=fC{Rr@zeqdHe@|{q2{3eEGV5{O#+vUw(&< z{PNdd|NYzBZ(l$4uNq)-YBLo3Zcx;)$z$axk|;GiMhh*G0=UkL5GzooX>UxBmDm=w z2)qXQy|-MWzI10yIk1h4PyH^P|2ktUdVm2Ndp_T6KLd~QrbF=l#*W~QmiL=)kF)tI*K1E3o*?F|A{e?Tk8uIDEFJC{pU~iV0xoP1F*d z;>bQy+pxKm4b(QkLAjPd)UTa7v+Q(tN|Udjfn(X)WStPV;(groVXYl1tKkAN67FrZ zI5Z91`SGbgxRb{dGseZ~;}xiC_?Emr$^Ow)@?-tOveM`|4 zfrUb57iTIM@Yp@=eHGEtNz`D#Ldo=?NJ=cfPC zqQV>teAj2X#ue{{g4A2;2GCY09d|_6^WWi^BI{NApr`l3O_0Tg$c7*S<}BkjHA+ck@K6Y3c4`x$9j(PZQ4-Lg(LCw--Fbq6ZffL22M>Q4i(F_`2fW|RC(?N9* zY~0=SmPKC6QrHU+lt0Ji@|%}8xeHPdqiJWLMn?1;N$v_#o>U9lB|3Kne+o~drGR8FxB-;8N8M9At`^JAqS#|GI| zW#E5zjt&L9nPR0fFl;iG$LP@PR4m-V=navp)dJS-!*@}nc}Tj5Enx8we2?mL%SWpB z+X?GJ&xXw{`~x1`2NE)o8I@PT;`&n#dc*O{B$1ly+nuXw$Lyb=w}V@z)>{HMvXOmE zZi@Y!WqJYJt?opQ`PhN%4q|TI1#^_9xhY!Rfg*Z%l1$@9*9a|e+#I{FQA5Hs&Y~>z z)HLRq-?Ak5^9MX0b(#YelDa)H&+%y*aEAz{>T$xQ&H7Zp@OFXSXMCDjr*BV2cf}4~ zz74bL*A|$;D&5WTR#jWn#>;2STcvXZ=(xeR3>>t%>FwD!APXEH`eM#aA(tluJM0gJ z<|v>{(=)*o!2BA5zQk&>b~!=kH+J2)>9$5EB4>w3fXyYm(;rS0%Mbl5w21gpKbFAD zIk3N&xCZw4+TAzM?K;w9v2KYElym$Iy@;a*={2n2{RRPlpiHc_fn&#<&DQcI2l{f_r+Is!6 zV+8aD?Q_`#I`0phC}W7g&^JEK2>kc`;OjmOW=q#C!*|+5zTIimU zOoR!>*lX#aVW4*d0mdI@Jyyas33M!!q%Y*o)M@iV7O8t@sLme$IzTJlKmE*P-v-7mP?_>A6qp_MqPEc_l_5!7FYU?riYL_jk9DbPVg zm%4jN0g~4+Sv33Bpp)J@<@!>0fj0IO@!;*MQ1C%ESCrupnHG(D;yRAi$-}v2q>m{V zcR^fEGy3y0RTgrrmR@2q2s2*l6L9jfMV~K-ev2FFc=E#5VJZ5a_F`ymh4Qs)*%lmJJ66SBR4p7G|%RVd%m6 za%I=##^c97A@^M&_cY;*7XO-AtOj?b#A(e*MW>C1?CWIXa^Z66Nh`BHW)70K-P;VO z4`ruotgNDNj>^lCd9`OqnRV#{<>ClRL;?ErYy{_(OWMcZ^k%?VXC-~P5zJ=h=EbCN zp+iFAh2`OpK(`wAu<6-i-im90_t0ZTK3mb*tu87A4z+;b9>|nuaVzlqp2-uoaf701 zAE_|AL9*4y2S#xakM@}bv)6;GoIvp3I=gbo>2V;~g2)smZ>83b%++QC;jF6r(TS^o zrrIzjIDmjJV=mhf#*6iVcZVpHT(~lkOy^q|J_hJw+7g~GRgCSGj8q@TZuD6YAM*4m|h0P>T!k#cgq^82;gZWTB=i)Tx16o@e~h4k6u7YzM7s7+nGk`-jiV7s@DwO~kqQMasDhFd})U` zqgh9WTp~+;F(hpkjarCJG#5=Q*>L#BnYyr8stL&Uv2f=suPgzQz=DtO7$uB82(Y>| z`QDztW!-~O-VIp{R?h5V=7sc%lAqkqc1j@vp+!c@36g*j$e77@@Myt2S;oG@6X$!- zOSsVRsvK+8<0{@sdrW1YojE&{_L$=6;E?!asyGMa?F2H3mC4OGOgov$-*EAu8-kz3peJrB4>YhQ%pXnZi$+q37PhQe_vz z%pYkH{LS3g8jr0SlledOPD7DY;ijSqvOo2RYKYgw9 zFx^2`%o&h1_s&R}&0&nHbvDN;U@=1oc0wfSI13fmW5=0Jrr2=?bsVmVPZ#RZ^k^tV zBFk*_S0@i9JvOxp#yQU`_G&%^ak52>KVd>qjz7%#`Pw_spm9S3}J59%f zf(9@_vc8&tjDXoJu|e9ISQOW-R5*nyICYe^bi~?R_}@7@c-35CN1?x=I5QW4pg!;C6!MR%-rHMaHc_%1MYU!$A zDU1tUa9`t0lBB{W!>->^yG&k=+6#hBRpn!8R93xzW_^%u?3RqX#-I_$3sBr`J+h&E zpIl^Mj9ftX?Qr`{WWPH=f#pHrJ38jR-g{_~m1uB*jZXt$hfE#Jq3&renoPCX$mz-Cm z`jUvgfHJ%<2F~XS)Z+8gqEhc0_ND1BtkDN>HfuD9X}pTKOiYs6al+UvrNrt@kL~z& z_}EQmDvyRE!g$)>(>ldp80rx*u2O?DsnO zo52?hxg+wsdhN4BGU3Eked*?=4;&`=g%@N?L7%qUSff0vI1_5mC)S8c=<`=c_B~>c zp6D+(I6lV7-IQ+|x(o6q2iN6rv0?BY*L&FL&!sJ}CMn*Q$UvIi=WiE$IDGRrcowU2r5P}e#>tKk{$9`|oI zlS19RUN5S|Prcqf%S@EMe(7H|F7WtS)KYk-CvV|Ke7vQqmt2F#43jut>`Dx}641ESZ8%u?IE?V%Nyc%)>I{7^> zU(D%|&l)`FBa;ZGOf)?7ULs3L`5yWItcFAso`6^#^Zd{Vxzx~C;kzEgpMoP%i#e!-dslL9bCW2->5A#&)vPReA_!CwK zc?9J7>H}FWV4Tky&euWIX%j&Cp>U4XDJCu>AMLTjb*u*WmeZn&+h8Z&&mJSEp;EOa zT14SB8-=Xy%~O7Y>`5GJr5%`1M5{hnsH`UvZps)z6mOs2rF)#~?&Du3RY?bm_nXwN zsC^M3$W3V_NUH@s?wA{i<<=(CO&{m`crDoGgP(dJ4oqI+c{E_4-q-dCvot#W4ZF3W zr;ue$=+pQ`3r<7k(BUkt(hh~7coz_xiQDZj`enqdcI27TQV>VmhAL~@`gWRagyhQdRpwd zoqK%XKHk&6_eh15lz>u7HM>hD2fZl2(vI8NvN_w{Kaq|Oxfq3=%Z_iYQ65o-7|>a96nOOxAWj`rTYKgv+S{n>Ox_|pJw ztp$v)T8bh1F?`be^H#6es^TR3f!@5^hyOJb0jBq0cT_z-b)y55AJ)z0XJ0beB0HtP z6D*r9*Kufp<3I=joZCzlU)H)_RwEE&T5`GH$11TChhbhy@C8>ch`fu`>2~8X+E6tm z$|PZG=^X^~P zg9*(F1O(dniFnY<0b-)K^#N-YGb(7r0#z*S_noS-Uk6sYpX~FJiH6}(32*(n zjW(hye_+m|Cc0?Oz}yxRAO@46jZ)C-D+b6cjiq@puxdyZLgf1`6f;f53=_3+2|_%e z6hlD@0@`LM#dy`H67xmbkVP3B%yEEJy1P(GzUh<6*dM`R94+L6NE{Ok+S3d(@K=Bu z+mO0GExU`zdzy*lZGK9-qLb_Z;jnPvr9XI12?*t=XwqAfy?~z?uXYJc#v7cTtetjU z7$+fTPK?H;C)gwwnDHu>-gy!k-W|7bwu8~b2rC08tAepNa2PT1t8X#S@UhX>VH|R3Tf@Ij%+TnelAJo3A5iFSSAKT&7+x)XCOb`650Q zxWl>XViR{gJ@Kc#6A}Rm`Mq~|OP<8+)KA3+?D;x&jBnRCP^bqp-ZMvLY%5FnlI|-< zSyW1F;T`zoiSkfuxsBYQ*Us|WVe=KNpI*;1jt8)w=H5X8zVeRA-vHBbO=%@V?kQ0W_k-d9@YKp74frJq0ppfU&Cxz<9+ z5vM2`%H3OwI7J3h(&5ZNCb86HXUSU(MH!%-jg`>O5l{v7y~pPdcwS1Eo4e>q#-26< zyS)nLiWb5B_<|4ll&GKAvh# zYlTE^ZTj8IT$%ntDY@7<1ffwFTU+D~yXs~}wQ&LU%Y!6&?E0eLabtkf6*8xqH3*+~ zz5`>f7_~@(R)r#kxE3Y9uD$9ZjJB$5HFe&6hol3%*%pyA|IB*sUO`6@WH*IWb9?{9 z@1m|J3?c**)&#67`pf*E$A9qG-+uYWm#^!`-@bnP<#*`FFMs{@-@m>6_Vq;~!cG5Sg-yQr z((ArKk5vNbf+{mD$pp=TF9Ho;;j;B7$;M2NE$5-i!X@;vJ6Qu5=g$x4^{qCLyRFS2 zcgr>G0m$le6d=j|F8cKyrQ4lToJ^U%^Cn@}BcnxM$(I}67yjW%5FCW#=BNHi#Ena` z)~GpVePCn12$WEmV3e_36a&kczJAm^&mbN%Ed)-tQBCBW1n6!9uv>nOn60oZXA#F9g_1 zcnTd#(4xBv71c)%@Z*s>fKKdxqe2oN-e11h3L zn2Q;o`sxsR$o)hsbqE|b*0I#?|B#1`S5S;&$!jt7#IZ*5cJ4r>g?|d27R*LZARroQ zIPUt&^@*7?jDqe`OTsCjWGLmzo`wbykm0r3bC^v~?Z`5->w7iPIUp@fzY3|OEdEJ> ztR~}~E;>v{<@S<>z^5`X8kI-QEW_CoN{Mz3rbnMpN}S>v_f+NtckKEoEnTH`oaTsN z^=T!BV2I!33Z*W&$v2kn6as;gsrFnx*%#aW?o!k<-+!9wX*|Y^u2I?RyU9DglR+t6 z+9sB3ei#VgvX!Wh29K%oL}d6@doovOg&4+N>RBi~2=vqGslXPhXszn+sci(;8&6#@ zR8(>!G2hyZhJt|(9FSvSt3D|IaXGkiOh>O-kqNa%rd{}M<3V4Q0k^_#Gio+XtVT-r zL36hISXl!HnlleNn?%GG8I@>_*_n~YlM*sY->{ln4K5I^-Nl%*tW2}o9wE3M)i1K~9y_%j&acp)virvK5GAT4L3=Q8cg{aF;Lg22KU=Xcz zQJj=Cg^;nce^HOe{xZzs6~SL$ip6YzG(}G3stXr4TjYxNh@+kEDs)C+U%^$INx>we|4%LELmh|7vt!mUytQ>1*WK5zpCET$22GD5s0XLr>h^K-UEFR3hiLrM! zOy>)vo~!mOL5D}>zLz6m#vQL^FZM1{mYGC`23~EML{g;hO4xUC&RHiH@Y5Pm&b-@xQ$8~=DI6c?Aw79tL_^1A?4Vr58j#?4LRZjU zTCBimcxPXatdPXey`_%@M8yjX;J%b#YN*g|OAl{-?g6KBt}{!BT_y6X=wrBEZVhG= z&!9+^S76ZxI%n`nc&nPA!&>tJy*{Hd23fR zEpDZ@nuZcuvCL-}ps7IU^G>#8_VljyOJKXZL)>*;r7_<4tGo+j5oaxpTEt2`SG0d$ z4XYOpGE2lznsBKBNN5yLOSn4p`K?vJNNt=#4>Y%0{lc@2S}z=hsk=D<39>vhn|_kR zCiicrUqb}PlB543+BbEW@IxMl(wh0Hn^`XD$ql+rN%6)XFq1D#u=h_ZlVf7S;q zcnaI>s_WL@(M=u578RWxY}q7xMG@NhLU?})w-YcMgy!OYxgn0(P2W~_Z&5y-qq`oQ zj}aRBRCzk1>DEU?1%}u@Rjk~bP>T!CzLebO!<70X7m$gzyy)De3P9Rkp|_UjYc9T| z)DRESbf!rg%u){zKIO1T%?z*DSu%nNs$44_>B}+4i|l(VoSXa7q29AU$2|QOO6tZe zC9QnVYw`Gem3q6pNFr=Kr?EFeN@Q9ReFjGRu3L$DX`UafcmCmp~g#-&+a=2f#N$`O{@mm@`_BZ>aUh24UD*KQemUm`&2AHf^}-jRtL zwl$_bap$aZOA?0nRL#jnzjVp(wMFQ(Hefx|k~QN@9(~DkiWh4~6+irT{wZXJEri8x z_4C_vUvFF3#*bvKVB-t3x0#f!!Y}z?q1hpr^bF5(p19=SCewbZK6}u0drH2cX?Gfl z07HL+M3)Flih&1b3cr0Q26|*JaM$F!>2g3gVq2Cnuy&ve`YKKYp^U0_iIZXkW`2Fr zrU$w?LxdOA_=%Cw4O5wp6N1&5jSpA`^?s#3qX1`xR*1TZ0I;gjMcKdw4Q_!?BfDt# z1+wEoMc{=qg}JK0BTMEO2o>;qMG(dU+I2W^^k~L$Yo9}(Ym9jk^Oysy8PSQYOaaSE zFae~?z{Cs;>jmOtORhFW_;PAQ4|3#IUy$=FlR68LyrknNYu$tCg}0eWwe4&Q&u;c) z(Q_Kct&R#7iO#BGRW<;)BSE?eSqy{jWNv3!EDmq)eC8kzmL3HGinl4&{!Rh~^kfdV zdkGdLzH>315?o5n5rDp02luvV!q7@LwMDXyZ1Bm1#N2>uMqfqg^4>E!-!XfGCcn1( z$2DUIB{#u*Zu2CSwnH~dRVc{b;Fd*JL@Wz?N$gEfK*B(t-9Ce7n9%o}r|!4%se0MK z(H6!l|B#r7sDoozZWpNrLZq-1 zagfTcA=TT2Y}1$;vckU2|BU*GYXevP6!52eN*C(OBcWy>Jdi7bo)>}5$y2o3V3k6f zWc#^S1azOn(J_WKGC_<-Hm2~{r}q8W^+qbzrT0K}NQ{&Sf7oQe}QNk~S@b|nJ6rYq$bW6{&z9r&>X zmCqh%4j62obx3T!$*l=lQAFbhjt&ldj$A`#oo#a#SrGnSYws8RpYTU*vJ1?HW>3^nj35}KfHPq_-o`c5T zPY6j_hM7avmKwnO=2PCiXZe!hy4dojR`-HrBc!#ULP9f69|sc4GN1+lG3eBLUHF46 z+$ZO)Hb<-|DNzlz(=zCvTIV4q`_uL4QSB%rh1Y%Q5a)#UsPis1&l9ai?m*Sz)bJ?H zqjMp8`HBU{;gLTq0!WMw+xvBhUG!{+6EXxs$ZYgjt_BHQ*i45G-d!-J6)2Tj7EvE! zS8f~pRL;=yw;3@!M&r3O>kdr0mc%V|hkq>umy_vJ&pm-UJRK^a4B!p<(g>cMI*u*I&OiQ zv+HTZU1#tBdUQpEw&q3r$Nm*w9^vJ!uSIw`FdOU3H|CroM78NMDqS&T8a#FfUVB=8 zLN&wz@pY*u>x>xT*6oOFl|2+yeX&~<7I zkiDVDzd>&+_w&0ES}`9-`?-hHsU4%%QEccUpljH*1wdVxmuP11KWA2xSt};EGFE9g zKPSL>R}X{aP(o7M!)^CgXC}ydJwu2`SYCc&*3Rhvt8Fy373xk8zE@{)M|~CESdIR> z6x6xfMp5<|E?kMI8&ZV};d<4&4&~bz_?ps&^PEnP`+-_+XfMjJ-oO*{Bd=nwDt+Xo z{wI&dj2@9kHNR=3}G3EaA-RJvrQ&*J| zIdkjnDAL;fD&IB*4EyE)T}g?8Hnh%QkFK;agqG2hBQ0}h8yyy9&i8pl|EYDk0G(q| z%)UXaK7kN0jr7Z6^r6ODoPT-(ddV$d+}NydmFIPPByvArSIl$@bI zj2e1?-+t>uK@!7fph^Nt{znZOmIqXAPx0&0S{P=aB?bxSacy0sd+rP(ALD@-5vS>Kis!lOsB z)5sTi{mp&>a39*jD(Ee872TJX|EA5K!rIeO^fF5Q^8^-nKGgnQ7tV+fA<{Pcf+BRz zzl#auxrHZD8NOiNg*pbKV%;i*rCZ*F=hg1qXUbPZG@V(`&iI1j4Q)Hc>mEs(`V$AE zS>;2^AtqOJO9d1Wk?W6Be-U`}`Er5!Grui=Dzb1Ks#~noq`Du`%?=}77)2-!h{(@=`p@K)*&$A76cUC$_Xqywp+Fc2e%*28v`RWnB3t3jW8j@*71MWyXIqCzjAtG~Q#O>Ua~hpELNUg)@5y4S?2kw;q`<(s`s zwIcJ^=W@GXz>Si6B04yuhK$-NT5y6BnVe+;v&j(ej6O(`&&5ZO=kZNsrBXq&@`bwFE^d)JPCJ)0s7^Yjt!K zKMdS22HzS*&})}Ze+i9aNl|%0jHCRu2-Qubc#(FxvD!sV>Ebrfxhy2lf*MnK8o9u> zBHfi*i*|!wqUqy?Ot<~n#tJ;>6t`6#xJ|W^vojbG;XGUAAAjRMTR%tF({G3^Y!`$W zz}WW7ib`8_?sZwoG>;*Mvb12Q5(1k}u}<~+0qiy%cfI3Nz9A&umh|10_u+|uWa@`S zQ0)*@an`OvwI{9pAsA3ppx=cHYP;4=uUsas6K_->zZeOYV}_S=!$bp==$}$oI`cHs z9d|4(Uee@rXucw=36H4R7b$_f$iH8hO9xpELO{@r&ut{88gH2nDTZxN^LYY1E?`Tn zQ8Jz<+&vIE4Iem5o{1NKJXWDyT|4j(2oPP}a-?$ZSpd*$HeDSJ!aA95xy)YxWNco? zaz(VjfNX*aMA1p|OdcDs(?hwR*Ar1zCC=Yvt#s~nZ>PM%dY`xpl~5TVQFR7#jX6Kq z)$aIg)qcVPN^9Rsw}*D)FqKGbsIM^Gb1v&`Y!fA!DCP80%Jxc<%RFQW&INp>91zp9 z=KQQsl}2=f2+z!m6q*{4#jPwenRruu7 z%83~R^19D+Z3zs;mVGuefw9&qPi>HxdN+pcS~K>Xo&l<&i@NAOJp{o%0vpvOnjtM$ z54xX&mVKk_j4=SS%H&!0G$-6a)C^*RP4?yvVOkvo6BD(phzY)gF?Pjh`=$V1v^IW9 zn^A1DC@e3z3GF*V#IX2*?SW+Mvm=Ge62Y7uQbtzfV87Ak?oDK8rcDsn9AU43oNp4^ z8nVr(aNT|r0~JBoY%7wRGQ-hofUI3D6V7ZSXV4Zl?MHxhk35jBSVoP@2BXl!j5uc{ zFK|@oz2)`!c!)^>zx~sbRVYxLSGu0b!TX?mYYH@4Cq7rl3L=45N_0;Zf*pE3SY>mH z?bJH0@$9vDL$sr)P;gQqbW5m$%LoJEo8=j{a4^tYxr~^zoz*rRy??d~+_A01hMiu3 z=2g=AO^q1LQ5hrgasi#spVA(eK)+hk)}q)}>GElj+an|OQDJwmvhEg^FNZ#(McFI~ zfVIlE=({&3?~gO7+C43e4bIl`;m3D0-Uw0ScNHq_OEO2BTu1`XT!J{amPYB@6h&}r zA}Lk0$=LURB0%=Gk2}{FKcj3kN&GuR-HigD`remA#fHgkT7t>(^P{^mw=qBe{IHV% zV?9_(h_OnEwdVQO;D>3f+UQ+GNnc-rPbF-HkzWFxr`D1$7J>M+tpX2jl>g&)&=^~l zr6l~UAj@X{ap)JS`FTikTGPF^kJ{f=dPk9)_~1~+Yj#OCYxWaT3E#GO!*`rOgVt#t z=?^xP$-YU%T};L#Nk&jm0O^i26eXa`qu{@;EoKZ^VIrNMC_|Z~D=jwZ=s+A&F=1Gz zQsY81!r1C>7~)Wm)$cdV{{wd;*FR!NWYm2byQ)1Pu<`^3Lkoa_T6-(^4TnI!((VQ5 z0LoR?2Re*?2BJY|Z12C>=mm!B>Ud6}=trjYxsWD>-^=0T>^AW1fxmM_`>aC~rUQ=t znXHcU9E!vd4dqjeeZ-vx8&$ye${D?rbW?n>dpLsE)w+)IDplR(TPa57XtEAix>+b> zf$l`k6_M^{16~@k7bwMsd5fRZ$Nw4fp(va{!ON9F)dsL~+19nzIMjKEoY@z;oHe_5 z3!}24*P$c^I6I5* zG}?l~U+C@V!>zqgLeIJ}CagKB^nK}{GKMeCy&}pG=&uCie5YrnZk4UH3ZPvp21I2& zp3L)vess5ou-2FMi$Gu7wa~$sxpfdPf%iKm3%NHQ98_owW;!%)FK-gb2mPH21_89j zvWpA%bq`r&BT-{PkH2Oa*MU+a5^n$#_?guA@)z%RgDcVAJ(%#f*i=pzX3nPdX8v;S zR)`5%2?x*A`*27Al6>}j0H0VoS(pcZ?GWdhnI#TR1Mag5CHKM`&Y)WaDn_H+=K0|> z`Lg-qY5+pLOVISvR&{hBM;pjUR9K4CM)FzMBmf_GdLWj-awhKGq=BT6aWM+C#SC*z zk=xdE?5&Z+7apvm06-z*4JW&0OcY-n@bpnAV9LW{=I^KA4gJ_|<06r;?Yo4K!T` z+>>UXhl--Kg=35<)P zhKp?yy&A4cni$F5*;STKxc4xCG((z0HAU5(XgDIA44SA3`UdZ^e%(d8@*}L*GW(7< zU?vjdW@yDUSGbf>VPE6o(1ffcFoNMQpI_U%cYpw?JF%>!JB1g))%LlFHr-4UX#K2C zi&;)ew}|>G8gzRAt*S=AN_J7*CiiuY3>dc1hGVxXjQxn`IkZb7aOmi!24zYk8xHcA zxadONvG-R)H8k^O@>#fjzo~#5>0j{V9kC~aAmZiGe=1YcFZ2Ud@3%CU`8?7k^x1jV z5he9|Xz9dU?9&g&HbMK^r(&=f;`uxUq@!T;v^L_$wFQ7^xlMoO0B=>D(_ZN$|2=$j z1qjL*HZSwI7ckpU6V-HhA+x{c*f6U2X+7v*8g8A)V~>IlF?Dct=;H+^YZ^ zca369*ra%EO0Ib66{&B~YeB8>x~l3KlX#=+j~ae z3GBo4Qf*>5jK2pHkfZ5G@61ua5;-jmc^Szc?cI2nkipyy1Y6x~+IR2J&J zCTWc{Z$u8NXX=mWXiO@)>&0rzLl#8olhwitPg6j~5IfH=Ds{$#Ekhtcp?anJ!(&_c zf{>UUfslG^lyL;AcVTR4(GS z=Mm@~LJ_9_{2Z%mlGxfHS25l+uV{kPLCIfcgOQ-m^jwATMBsa5d-02u5v1S|!z}(~05j-v{qgZcdyZiSG>{ z<^T@kGF?4TXcwyLJq#o;VH{@Vj4K2INKBRuS6?lBZutXotbWtE}ZR zXhB?#=Mu#mD`1BqU0~BMTbQv@h)$oHxiA^yd(rtMRz^ zE6kB6L?FN#Le1!)#FzFmRDZG~hD!X5-iK(|m`200@m0s+t7;5~A$4YGg=3xgS$bsm zSo9@N;~=A>m1Gav_^82isAoHDtwbxObGdwwjM;52_yUgxPWz*m@si`q)1+7lr-3VQ zX2`YtY8>Jr~G} zhacB2CSqNoh#+w>E77|M>mSYDw261L4PLD3N7Mn~cxXsm)B?B2`KS={*p_~1qog0% z-J>xLrRE7UNyX74rv?_`%Uh@S(D;k9Kt=%Y>K!R#Wdoua!^sU_K5!XuLFH2AFvdjy zF?sgGM!8W{uhdP}nFS>wd-sVnLKJS?tzx>da(^fqI!J5d;YtqAp~RQfQ+S3kRE@u7 zHGq$S-OrIrqVQX z-mg73R!aHWH0%m^Fp}oLX@Z9Ub3yTTS+O*yI;t2TJ^s_=E8)>LRF<8I&x`h6u*W7` z%!^8NLb_=@#YyO-errBF8Z8j=`;p)GjtxaA4pPxsCX90?|AdlO@VYu2D!>1z6YHq|?fTKat4k>0xn zz|VdEPQ6u)c6yyK_}z2|j`D$}7|=mjZ*e~okLp*MWn};v3%8JiSdnahWJtn10C*2! zCq?)MwD?6FKC`1d{#ISWMnU09=FNh_)mD00I_DJkzB1fa4{Kr=zCrOMqbrpcwJ9EF zRJ?7B{l8*J$iB-6$xuL;uR<}i!>Eh=czzwk=V(oQfBZ3n8EGOeSy*{6Omg}gr2KNe+uF>i6NF$mp zo%HoX6(Y+kkKi+e3sbtH zJ`Bio4!3P6Xky>WTaj*t-H_gOPv&0YS`KQ0kT7U3&N$uMSWbahJ783SGD$(!OjiTF zT)GU|S5$<27So>Xm!yeS7O6%9+>LC$aD2g&%E4~Yb)VYUc1W}nA$eee_m~Dv%noem zv*BGi2tp<>akV>^WZeP%!Cf16UvqACxSwOgbD7eyV|Zcj3mZ0s9z^DR`pYPXN z_wf`^y2=%9y(J5cJ~F*cdtLi>sD|{$dGy4$NT^w)q?J$aq@bI$yhgR(2FYDQFRNYo zZA~0$Itv-n)dv!|bpWm1vnSAh#1mz__cIlVbioFrSwASo=12A9Vc++?K8`(nBRG<8 zza(5$3zb*nZzHI2qZZjIR?;2J3_i864!oa^Oz1)jeS3IL-rWh6yv~dhE(1yuDswHO z3a5&rNJ=NvkG|9ACv2T=jD(Y0Ru);S-Z-MT^S+|0cbs6wcf2HSTIL5LxvRrIf~wnz z|4Nl6nWS%BibtSwv$#?dwuGo<;M&jex^_fg8bylwLK9coI*Am{JgPIJP1+)CcFfzY z_6YaGSQ&gXu^8hs75;1An+PVH{7=y2pp=qo@^gI&!V#N5=}iccf<^Ae_|f^!6Qwve zbeTKh2vL!t4mlQupG z)2=Z3mv`6Ba?84i->=qQD=g7K2l$6rjxA}f^r)&o%#B2Mcb`BuI4%58yapm{kWP&@ zjs}0?Jb@agc8Y0h_Yh80!A~(q6bNFI-X(+Q}69GNt zo7)IMfIt|cg8if$$@#w2eI@pz;+$S2;FA)jHD&X>61@OZA+7w2%efU~>6_D)isRgT z(Q?h|yd`4|-sn#pij6mh>Ct9p%r}Ld!xf9r&2l(CDPh;)GhJi1#?+YRbWQZQ(N%_H z#7gXydB?tAZFchOF3VoH0V@_l%6P(xty#;8+8=F1VmUtRPEGpg`yjsGV7oTg_xrQs z^2E#eX8%W@l-5_%7I5W#W;WR@hd-+)3}P(}iXGDo9rtk0O*Kv<=j%>B6kqZxY{7xk z(nHV?dq*J4iNu^Q9N#&R4h>jrF$CXFJEA@Xqsj%To7iCKoE-`XRz=@iEs=Hqy0*$G z-WrvsaWiR~AMJ()VS)b;wYDTC=egGSlQSIq%1{lg_0P8J-Zj}GJ9y;y>iN|YB6g5l zK#?3ve^$AQaZ~EIw{e;uCxIcBN3Of*Nr(~oOD+AF2pK6Ea5~eSWPEC5JbOQvyooSl zmEGfkplXuHcna7zGxD5CwQk=%iy%jXsopDg4o_fb(QcWhKnpzqQ9r^7F}S=8Kd&eE zV6q>JWz`l-X^eco;__bv-e!f2+3C#1aa$p!Q^RKs!!oOFyH}HjxjfFJ;w%6m2wNeb z=M-U~K@dQjdJjVLwNBqY;i)Nxr7KKu`gSEQ<@J*?R2y-n{_B^HN7t3ZX48KTkkJK* zm_W4&abm4^XB;Ior4ExRH4VtTw#1D%US6HNL3c^lPf49kq9N5DAp1)>DG{mAW}HTO z+xkm=K))6s{ImxP<(8ltc#k`C`9^dnxbGK|t%mqs*$JC?Uibu$coaqh4#KCsxOm>Q zp2MPI6Xm{>OGY$1tBE4hL!WE)Ydu!vB^V;j=}_BSe5Lacj6`u?(8R3 z-{44h3N>qS+S&e3rS6BlI*?#S14}wej7dI{Wb`59DUB-3V<{Ll;tw;wpbLuXofW~0 zZOw|yc% z;g%Y*jlc?H5M2{V)a+Bk2xHUT63?=-iVEWPU`;<6fN{8rx>E{C`hIJoi`e*aqJF5~ zWhGE=-+?v7XEg3NE4BE2xvZ_Iv8bg7wH_%A2PHVf}oi|;`#MLF; z2hoa$R=djFfB^i1qmxel{{&}Kku+t}_|2sq;h?PcvNt@9g%Mo>JRsqj<%JwRu>FC1 zwI?hU(ca2NbYR~%xP{!tDt_bT5vjsnp^`N@@vbM6_8(FMOG^Gr#^B%^eTpw;u6E34 z!{lAPxQ@BTATP?`*u~bUtL-S?i8Cs*Jxwu|Ya-R) zRCtz+MN`vO4vuVr2HF{_XXtDVH=On8NdX1m+$A`Dgsf^={|(D5==9b$U4q}N19;#+ znf$|9xb&-qBXEJlh0V;y2aCuE0U5Jy!xHH3l&s6i24}tgW*b^O*7Sot*qSS1Pu4LZ{Jx58u%Pv;i0KSg-TNrt!Qti-eV86fVn>O zUdpP@Y}EAbBkLWyZbr)Ki)r^KevqeAkLVzGic8mY#11K>v`8swfgPHcK(7yh?@!>& z+|&$$C{6Ysbn$kmbO{C~Q*k8L(Cn4X)f?6V#ssfgdjsp=_KEVYqruo(#{SPXasfGt-I=|MleZ!qP;lJ98PzjJlvQY?894g2(q zGpufZB1dF@B5m6f$)Y*C=xETE4f*fRo9M&3bNqy5_ENWMzUNS32PHI-JU<)%bMu*g zRyI_aq@)#DX#2dp;=DYclw{Oe2s+z}h$FX5$-$PC$N!8sJ~mfbbpBD%p`xyeZrfK4 zJGR8L(_~_7q#V`f1HI#b-OxSwjjWF5ldpVdLs%K*nTC}Kk- z*Z~1J%YJkUSwgy2p9j`5j$*cJ%H`aB^V4!~T_~^HCl)t7G|LaO-?mrVpc7a?jww?g`Q&6}^>07O! zprp?S$_RT#sc7HJR4W79X_2AfpbJ^ zEIsQP%d5cvzLbW8*c9h0vs1w-qit1T0B4KcV+(_nfWGg;SajMjHF)Q=kuO)!d0PcmW8UyOf^3@h1K}em=nxqa?yAp-Ga*nu^S z4)hdnZ7&_xS4=z@rsvLL&lK`e>}QlFc3DM#jcg%LpqjRAe;P8jvNjD5v9hgY5+(}m z^Ibhif9w{gd!B+5MK@U7OtF`i!AThkJ1B3kr1GakXi$~bP1wPry!Q7d=|m4bq|NxD zn=)mTlJ#8v;rwNpiI4N@;lhe92A7Qi{iNP}>tCyVfy<4+l8mG~sybs99a-q5{hwvx zjgyqB-_$9pxTg^5Ot&K#YS%drq@{|{Sbc!;DyUjYD{H3MOVUX;D@ zWW6At&2>VGZSbtO2J-Y->*8XCc^LCOs;~}**o^MO34=WQCH8*JOv4W4LK?B{=x!r^ z{7YLKS0_s#*=XQxo%o>_-k+?>|-C_n(xqu@=t*D6!a5N)*wMh#{q`6*IAxrB%f zgYJ4to3~(i)tNd1DTxCkb86Liw zihhI2kSgmE!gKAdG7YRT+T9ou-Kc+*R0p8dSg{#{Ht7%%a_Z}WbK(u$MACK8aAM^f z`}Xe;zup%k^OA1z5Ny1#8_)6qFAU3;u3bm=?zF@ilQ0iFwOHBn;P)+E2Z`Uz7@J+W zTZo|D73_)ZHqUj@W>dpb)8h`D&U@NNxirZcljg0M>li?cXzlXLq9Cb9|Dd~$INyxG zn3{_f%FueToN1W+sTmN0J@O?#XdZDuOaOJY;gdm!LrTul{K6%@vzU6>pBt$mnE~}&dPN{Rp@#t2a(ze z!+;^ivL-Aa4^0Kr$OCQdxmU*GJ^#s;)VZJCJ+oBKJ%}0KF)y}jtB{BRxXdQR;(lLi zb|RK8mVJ|dBbMf=_GuL^nHGK2LAM%4A)yh!7$^Pja!FO;R!=+8pZ1qx+6*!Rxv|nS0Ag{gVS3RD|HK`d!b6n8lAsAb=WlJ#^5(FleZd)JyCgu2tb(w zg29E262E|s(-?o}OiDI%L< ziHq|CUcOB>?IR+${Z?UkdTy{HMu`8PRZp$HkXuyw?SZC@Tv)IO`j--=#KAjcikEqt zqgT+IrF%Z?9>Imwv?Xt|zK~jbU$9#e@~e~Tq4gYOWQu|Q29JKz`R#RcONEGW0$OG! zN6J(ZdOHL@;1T}wPPf=zF2`-VpXA<@)^oUYU$85uqgG9GNcP|gZjWZ}E0exJk@qB~ zB@}$8lmkXfbY7mx17u}<<#Jr3|FA!-aJ+*}azIA$#a$$ro~t$;;WJ7dpE(NUwuUeM z#Hj%&4>m8sz!K~|MWs^Nbhz6)aKs1+7m3lhOa+${a!Nw`_hl5!H7MEps9C~UD0e)yZ63BnsZ*MPH?g{Cx?#AqYV*m&v; zdy1KTbCfUY!nuKAekqqn&Bylm`roEkxnJc5ZH0J01XK zC+|$An3AR)+g5>F6=klusl+jbo{@Bao>VWO z1?OVUd9}-E7LGpQKPXh5vt?_#Cc#O{)S7L#b_(QmFj_I^g}q3P(oe2&Y(GW9OU;zN zrC;LJD_^APRO;<&?{xjD?ZbEO4kSPFgiIV2Bg|Hup_9Lf4qdBl`Vi14=Ued@gqyNV z+?dRsn=25B(KPet+z6h|SE!Kl)!n4eeRMH318khVJ%5%4jc4T}m}(7@RJk8D;wB!W z_F`28!fK{7qv1rk;5gLxaM3)h*V0x~Ahh=*>6Fs-5{26EDoFtTOcythu1`B`h(`&Hclw-cEReE}0>xajeDdYiB*?SNebaN05 zARJ7Typ0tweJ*X?>Mm$l6Op4nB+(Z%W0;Jl8>G#g$0yHWUfTWo@~s`Hjn#@=T?g~0 z?>D)>c%=$#aL%XSBePiCzDQ%O(zXF<$95 z&lWPmHHTOc|C}C~IDAe|jObmC=!1b=2GyNQgmFanO36Q+g1OxR2F0?Bhr24zVF6yE zUFYr50C^3tkCvBgwyzz*j78*#S8(T5rQ#-v{GAI2{QI{mX9td;u-qrleeukE*#|JP z^))hFGGG4=t?u@Z!pu>*%9F?m_#YG?v$fc1rw(4G*w_>DXZTEWOJ>lHVDR}-GK~t( zjn*>TZgm88_U%y?LCZDSd0~M7<@X~~`;f?~S=xNYZv)d$bfH!6OT?viN#Qwp_8#Ov zi+Lv5@;l88hMnH^?c?L2NaJ;+#Tk)cF7cjkg>Z7MOs&{gIfa_uCJaHQ8PI6jVYD6z z0(H9q_dC}g)-f8CJN+WrqTC9ejDC_IIQ?h+Nj9N>%nj37DIPI`3^qRJpD$ePrM7RSlAKVxI z>p@~3dSM1EggSNx`iFtqP*VBk$LdFk&3+o_GN3pFVDpY8bk+EroE6?MONCBv9W~65 zd$cI4ev~)`r^9KTOm)vwYnk6Qa3l)_AFRZkbW<8aYiaT7)1+p$$42-5xcNg8mS@Vc zs7T6-lsoemDz0c?sax!uOVWQ2{$A&vr;CP|qPPf(Fy2P!{GvD{6`!~QnIbX;ylPJ? zT2Mk8$RAOJ-;Ut`hDQ(Vo;}q|)gK-)?dm5D(s~p9uaKDw$m{gXq5;Btw#W>u0Rt5R z;&{-XAK(o-$R^ljPeA{#BV{DZsAJCfq^;^kAMzr8(W~u5y?1EpkZcb6{yT1p0X@jv zgzLz2e-ph-FX(0;{=-HM%-YqTtfikc!%cj8)f3zBN8NB>$M|#gh!UEX<%TVH#k$p7 zgFOSwglB7s^5v)6u3+bd>dFH(J%@ZpR9D6&08js}N^zDgDk(`|GUe(vyEDw|a1vH< zM6^q6msk#ZC{rV@{c5B}y$$-GN5)(bILbKPuBD^rpPSbEjvfaRAA)#q6qmw(K+vVT zv_^G)4K};8-|d_VzF&6U=QS04&90=BH;YG|6;PS9E`NjD1dDqvrO3+DLxmXnO#xsC zZCvuiz+3@7N}}}BQtZ`ZZ2j#0e|?JCMB$0F{)8zB`}>=;LSe zGwVv>fuI|+aPa)|JPXQgs7LLY9EhykFHih|wqoy<<`(9HU{6?GAz^r{MUMw|XTHp* zP<;v)AaqP(PK;vq8>)!jp*SuHke@6ECSei=6zMCOletNob9IA_Tr2d`&@D^LceUT@ zp|jB#t>z&V?R14q4|!Ry(#MRLv$k!<9008WrjLv5hU}R_U6+C;>C2=Ce^mATKH2le z1MO_KgMjWff;X{*d%kPgZ0_|Q=pqeyti0l0P;pl*P+m#Nu>KrLmG;e zu8b7<((_6IG*Q(_Kw?Y{6~obIrhi5#>!gq+L`jgf(sCqn7d$7f9E`97&~;HPCh1-V zdV0rB;;k3GIx`>2ejx?^tD&yj@7gumEt+j2F1wc|MS$Tdb{_J$GKA}j$S^y#Akfum z(s|+i(yco_vJpsEZ^f?@fkrkOl-V6jYDUg(tAMj9KOV0D_;5h%9vNeu`ukq{`_A*= zlF`K`HXlCflJ9OmX)nb6i~s9SB^vDOIMS5QYibCE#LwW!s8lS_U3h6ojqzFqrTJq3 ztgUOGY7~g0S_6{QtVlQFu4(ZluydFRy%fx?%RmlAS4KrF88=C5MTk@NshmUe=$^6@ zot(2s^3FmxWlyNES)B~64QxeJA%?!Qfy&ZK;L={w2(to#!(zkg8EFlKuyS3ykG4bF zT5lZ`)+s#PefY!sy9Xhd0(GHsjQB(p7MKhJjR}Fyi%psXVswpqBRhDJ zT96>bIsqDI+nK8eVmv!hY`Up@JiREcpxmymOUlVjge=CC($aVaTA0*_rG1Lq)$bwH zXh{8sqg-m!u=Sa(;s_H4`o3`C6B;{?h;vuI3~iJ@{_)Z~`80^7R(gW{28%APmt6kF z%qJq7bviWlX}wZaWUWYH7U`haFAgu_wA_BN>NK6b5c9Ez92Fw%I}R+wbiAiU;i%&b z5JI)Yl)+vT7?{>D^vPELAz8G2xt=WUQAm|24rmb!*iC>=#Kju3LPi-#$!ubOrRXqt z9PmIV;yzS(#tLX|k%D%khtsMEM?@7uU~!AJ-iHPM##{zv`MQZ|7a^n*s)#lsolHN; zp^tFV`gkJw1FJNwv~St@emS0Cz>iI??eWlXUNR9J59xO$JM;P=j87XU<{#2i(c6K5 z`ImVNTtMc;m~gyJoxj*}OAc|)wYUm!O->9qdU z+{TzVK*9cY>K?f*k3N^m3oen6+$8uKwOrO!>}ak6Au+%T-N`G`FguTc3MNl-ev2|5 z;U3FJtTgNJl2|(mHuljXN$ZmenKuTL?yJ5Y5{vHWcKq!HeCOEY^xNCP6eO=A1^V5^ zlh!nJrL+$&#OcI8nKh4U5?OiwZ6A^PEqtL6vca1N(8|QaApe1LR7Fo!UP7H7BmM)p|@2)v?zw!`F1!$;!gb%hQ6fe7aN<+(_3Fb$6am);Ed#P9!~v zfp1a2QhRMgr>J`Uzi`zz19YrgD0DswYguIO8=3eO3S3LPzUKjjqX`Mc=w*Q=eg<3LmRn*40Y;l8#0j{pR z7a2kmBYT-9qQ^h2iy!3S4HmZ@)P^jG=`tkp2{kn09HtKLNd9=XGZ!jSAB5y>UISNk z%Q>Lg>;3*7sh0M!3gkRCkE0M5N2t%uL|ar;Y7cKQ#ONII+U{5f#Lil{fuCJKE#pAS zZY`BG{5j4+`XCq(C%9zu{acM;oykbMgjKT^iRi?x5Gs>JX4Mm=4m#ZI=>N%bKl|~g zbJ2ZHnQskd4fYIK7H{Q$~KF5lg2!DR@?xYRL9D0>^9 z7X0m+b)=Mm@6Hr71_0Hq(j*!v@1Rdv^zRdN+8=$$f#tVvs*L5lutHLn48j;|+@5lS zo_hC4Fga~o0D#kXtC%^_j&_g@(x=M$ZJhP``ES?~c8dsVw<_i%EO_-rNSjOoMm14H zZ4PQKo1qSoq>}@-q~B~4T?px??DXlUx0umj_;1pr`@^$6|L;$K`sq*q_T}rhZ{z#= z{O$Mu`1Q}($A10omw$Zux_$hKihfe(R*I)nr+uLtnKkZUkx7qyE z(-7dU&SHlf=pfcv?5z@zsKUfElb{wKlgmudXWUp!#&HZHfZJk2uRU}y8bb3KEvsA; z*WRH?o++809FP0ebCSX^h$I{E22t`empx8Qn>-K~d=iRWi_2PG$DznE@fiX` zEgLA~iwF0>X9G_kE0>2Gi-Hg4vPwa*NhJ;&-jy*zUrDkgWAf`Mu`x#*VYCJy+#@4W z*ApVmo&iK4w;!<%=|;KXv#9^msW?tV=~Wnlyqs!w_!D{@)e&_s_Pso)v@spXeaEz@ zc4D|+@!rj-zmVUC9S*9q&484q`y|8|rm(`#n}06&Nz%T+@aVS?<1zWW@wNB|Q|0#Q zG|a9hR)5!|hat;Wt^nmat%t031W9l(4znC+cSB)xvqEsN1G)S7G%KPFD>ucduM5kY zoH{xpqD=9&@dV$3w&Ehu5@WukKCB$T zG8Bd#om0C&iSsV{O$PU8WxaFU=}^I?dHf`xpsYX$CTA8U$TiIW$4zp1%CRmRDeJ6g z#<)4CD;0C5mc?%?gwKGZj@g0s^C3W8~z(S7pvf1

+?cg)|gsWH#5w4TUU>zYyDf;21ylw0$JIx7bVg#jeSQN1FWB~}1^@7bkgiam8mfWj=&f{+ z>KdPhIM^^xA}pirSS@~}ZBM9gr7%i!^t7p^#;LE*oG4(0R1{wYZ6}s7`P{i4TLtybQhx9xx-y^NqcUZ10C?;{Sy@{!nKGeXhfo zvDZ2FlkjqAwDm|Pu)W@T?^|SMM@@^%(eKG$$!B>{a_Q!2h#A%)_q`<944jSLrz^R< zn-sW*bG`WmcEdse_^wZFPkLFfz^Xw^3)Lx{IILY?q`A?-$z4fgcO&a69HT|{rBbh0 zd1(#$$XpnR2IBf5bPI?gEz^6E_a9!`{=hOWej4Z&n!{olX8_NVBQ`}9DoCaJ%5Us3 z2RH=hYTfcEkTev}q=Fy8LFBx-YJ6-z=@{Ew#~u50?rK-h-G+jCiBPrR3y=maF!8q( zg*(KR?+y{iF#g(4?Z)nrt~PUYy^!-5CIcEk9#Wl^Ysoyiuz@hSzR(|DvcJSR){<}R zq@AzjMph49FzDTt2W7$??H^W4rhwF)mhd>khAmLK*`vI(exW^74X~}jII=(zKZMOk z*yhZCzQ7zcMx}+MjsuXZIAhtc!reQ4Wf)UzB0{hkbhh@@zA^vden^g|?k%Sc{ergk zTX_si*zx)RUh!NAt_wL=60HvFXPlva=7#I{Daf(%8=f)cQZuoAATYS52Y#jMKwG;C zn^Co%i`$Eq0Exb`emx7iuSuW(LP-d`H}V2j>OEnA0?toUVG6RM_$wd_abrEn;{?;T z{)aPLJkxpbwNcOwYvg+7ihMBNqiNf>IILySSIUnuqSxLGUORWC-t;+U+}Y}njolco z0FZ`00uDP?Y;inp@P`+qH>hNDC%V&vGD`ym*JfsG32s&| z6)5O$O*mjbhCOcC>ibbpvn17VCUOw?l2)&U_ruQWz$N!n%=B94X=1;ybQ>n?)M?_+ zh`Q!|P&vwjP@m2Jl8_*&JXC*Tp@OKP7vSPkhDBgXC*q;`-5WUe-x^drnAtMo@C>fp znFy9$*ofhiLUnkNjM(&AhL~A6_f9t60;`SYWs6OJol-yhxI+_)l&*jSvo0juD|J^H zp(RzHz?a_N@ZQ|JHEPAMbqoy%io;tqibB9cw9O4$2|0qIh|!+y>ieiGr{n;^T5%)Ms$4&tOqP+JU3AOLKtZjf=rfYlaZ&oOraHr||*JmP*bUdHD5- zd>UO0-?GI{a|v51_84~_m)r%aBIDNLyD#BQRcON{#1THR)s26R)m7e5T{Q-UY-6pN zfGF#1=3%BF-HPwqsq>WUi$ptyKKhu3*Ozb`hZ6WE5&Cp1399J(*M#T2V(PO!xST|t zw_u_BM^Q(QAr}NvI6S zS80{6t*3oljZaCL5-+R=>4EJqZDRdq!FI3rLunnwqpD8=yj7@VtwEo1{%pRYzH;{s zWXawn*@#+nphkB^j0g!?Yh}_Z1>W#gPhRq6EM9~{wi(~JH$tgH{==ic^{yxaRWH?f zYbj_sziO5eo=DjgfOnFB(3JSPb% z3dh^RQB~|-=rl+?M&SX6J2q$oW+LBBuEx9M02s-FJsRDgaWp7Ogf?SHMp^{Dgc^f>gAqZDYf~?Ho3Wy7XFDg2avzQ&8J{ob)~8&j ziGGD@vGvxS)||VN7oFtHXhu7@dV&fR0_(nXsr-rsMJ#L)N`!=8D6}96H&1z>Pop!@ zhow^2B9AK|u$y}`ok;TyyQe%=E{Tdr1s%A7T$h*S*f+e^DvD{ZryUuXqiKfZORKvu*Bip`(TCd*f8Yxmw>Oti#yY;;z%9gO-0+ zk)5I?B&UER=(w8HWOIP~#&$d8+;_X)LSi=uEVu-do`@YxR1Cr6cYR`HToxS}T=1)& z3infwAvitEjZBIZt^}ac=vWdjp!E=Qr>G*jNw38`Q<%~7%<_Mp4dRID#~cx{MbriP zu@+)ZTJ(GVqTcR&>k;yA-P?=CI!mCe4TND=? zNZ4*~H+{L66abB;Hu0LGylbCTdgfPnOdJVrKaJVr5d1_`oHU`2b# z9AwcdM#`Gth81(xm}Q$>m?+!3M$Z~$T3&~75nl=7y)BBIjv}1@L6Nxxr?>Gwu^EFi zw6~YG7rD^g)$(i><` zFmNgrSLF6BL1c*)#VGG70$OBJEFF7Ixe2+YcXy?_`b(;~uEKHK^Kdgq`GV1!qgb#I z*&z;5e=4vA;T{G&%T z)?)kMH*EwcrPjz)P97^eKjBb$l)Qtg)*3l!qu}O4G159k$*rtmxrZx&xOtS+*oxe> zU*L$U4A4$9{oE%-r(KD0-aj zd#eQkZ7oGTR+;C2m+rt%!PvJk8ym^MbNM2!fT~6-K&Fn55hYr$UrJcwRY2mwZz9lKwTQ`dyoxlg9rrSBGh61D# zkytQReY}?uG_(uh7sosTx}k;6FNWTpN~}kJfIPOuW=e#A2y}Q>Vm-Eu$6-Z|`qcGT zGqbVoiq`eZ9{gm$#BR0h(H=8#?0Nvcmq90+N#%|e7k0nujjXAenB^z5hR~iFsMD>; zsqyqqwNu8LaVjvQ&sP&(s2MNR`am~K__Yw`dF3W~!H-!@umue3!}lLhKRBG+5^lvM zUeK;7_51wfZVsS>9EJIzL7~CrU$5-A20n7ZS;3P0)-stMSld;fgDWu3eWl`0y$tn4 zQaE97(yIanXN8gjzrD9gj`UO6Fu;NWB+*i`F^56zTfeVyHxX8UT;t-^YdjfJApaN) z@6kr2tzbF-^6Etek0NgYH1MYrvk)BTGPasX*fu|j+gS66EygRi+t4|JdtCFvk}+)Z zgH*eLRu@#bIiIU9P6T?nfEaNH_km&qi zKDt|y2-lrPcd17n2!?YO+En;Y1&uZG)3_HwfrhCFxo^7AyE>)KZ5co(<5M4zV*7rD zx8^vTo=ADjI3)N=iZ<#GNZj~a9w!<~EBIJ3(mzlJUgOW_aBSj&)a=51 z(e|$TK8u9d2rPBD6EkIZZ{?2$9mjg2sC%jg^3Kpb-f>MITjlBQwtYE!b+qXe#PZa zb7L%Lwb2ua9v_Tng@;_$#!q>NCu4haEo=2ccc^VfZKzIUAMQ8@%pP& zu2+2dBiqn3^GGnTbBtwOIji|;uRh~I5f8PS7;6@Fh@Z`Z^hk`X2d*JCgxJ&AYZnw# zj3q7{ggFI7OxX+o^yXC6^*SuWyy?|IhB*mG>yYnWXgR-=b~b6<0FXK7tAd<*j2!w6 zs7;f|84L(9O%L#DVbtH$SS`G;+z2ZXz)yWzfG4lJ+gvtNS=A?L@` z;tv(p67K7xWiS63S~e1AddVTgu!^w<>{cSkk>*FB*z@Vfzu@zx4}f5xYM z$BxIe&NMJI$lS!|zH`aw0A_;&`1fIyK@v1JeI+{4wXxoOVD(o8kZ-k+E4P;Q2o-Fh zI&MvJI&h>G|A4JMyw>~3EDkrqG6Z)mRR^8Jg1u@?d>5MDAz(K$-BPsc-cYtlA=U*Z z2To^H*lF{vhEXPBtIZV6#CdowMgl{s`dKBs45`F4uZ3SERFCT4T!?n)-mb#1lW}PcKWmE}`NoYWyvYd-dalQ4-K&l1AWxleu zp0CWZc20@5=&v1MI+FnQE1(7y81r_&SUhE)v)$T0XWt3iwthu;bZW^>?R;a8NQI%^ zG?x@!asmR@m}H1SfozQJrjmv~^~%FD9N(a4)yy$6?3gP6acwP44@{eAz#_t0nkgnZu?cGA@v!&Ul? zv)!|Kk^|AwZVVKz>yro53Y4_BY9OZPIpbI${50#;j9b!QIp1*Q|AXA~VQ^Y8gFJ2E9@ScXIPi~AI)o^DC??suil5^GdD~STuL@7QmeraVK z`hEy2bqp&_PS0*kni0$eE_AFlsmN*FMYfLv3o~os1Ht!xmB`j(@Fo(;IYz7@Sl{_l zJWdb8YBp9W_f21=_f{*``c;Em7xW`L?o!wDER$F|lz3Hd(I#1{GT`cDuXlB5Q}oeZ zBntZq$xJPJerrq-KNtv3S+b#(gFV3Pt-rXbLqYZ~n6Pi}r13cEmdna`ashz3%_>zLX_y(^@lH{V=z~E z%SFXtU~rqYInXmZCwcI$U)hyg=eVfrxXx$SuDNnWtoy7&&H6NWOq;n#p*K5SQJ#4U zsJtC+4dX&+>UXIRMMNJ$c|2!#XE0_1t6{AjA}Y1(uLSN&xu1z*XRv5KU%A~kbY*!} zdT{F|$f7LQ!-gI&ac8{Q_^%H)xKYV?gS7Ophw$V!*fM@{&^$U20qGlmmp}Dz6INzN zLYM3ePDyJZ(b$@|`Q{5b{pcEv z(7!c5E!?I{coI6&gyJ`?fIv+S$1`24Ja)Zc4$gotSZwAU(Chf}0BIjvEP;qrHZY{_ zh{!lnDcx@QtcTXEaTmYG-SA8ZwEgjOTPpCn6pM`c{MWdD4c64ofKJZ#z-F>2X+K62 zP-wN~3l-2>W8t1-<}b_(1eV1V+N|fux5C-#=pkNH^d-dsuPO9tZ8TIKCPD? zL-m(OW()(n%#;L37zXMe)!6?i2?efL600ZBd`e4hRE+z*p`u{$F5ubSQTWR7KiwT7!E8e~!g1m~%tMLwy`(?G%KB!*{E< z9h}&M_EO3l6X6;!pWWk_*vCZ~kM@64+0?Vn-pMLt&zkT`o?c4aiK7afDKfeC? zFaPrCFY|vM|K(qQ`{f^BzOEmC`}*yd-=Rmp{Pov=|MvFV*H2^188z**O`~TqHby>Z zS#RZVc@r=Y_#8gejn0X=c{|}*A}xY$+jK^nfq&_3nEvb9%u_W+d(p9%@4B>Pk~8H~ zAeHK`1pa<3%=LR*__m7%hv|^p&G8boc^MNv%*E*~> z$A^@wl@lKPyh*=D}rHNTh$kYZBCu5 zHF-1jUC`-z+1EM#fvkdu(hZ-%kRG4<4b3Z1+-*U!nG{l})ok}EI6Wr}_pRM%KYTMX zPKFKI5I>{EzZT zh#}_4B2q~0JfYZ7d4R}{2?Ee>X~mR-SsQD-E$%{EjM4-rp)z+c?7VhSD!zz^>zLzk z^Ma<8olXW+@u!+k`9?OR!Loe73Uot;`IBm(Pz=>T{@G&he6dwbTSr7=2;NQ3KQT=_D8r7YscodducULAIPR^h7sH z2SmYGmgibX^dYJ=3xm^>B=2enK;PCbeC*TPo>K?6CFZc=dHl6@WC8Amkl2Pj_;f`; zjMrY7?)#L#85oH?Ig0L9eWi^Mr8ucCIycFHk>i8Q%nKLFVf#tIV7!QH>ld)P@Xix8 z$EP|hpJ!m8*{T;bgz{03wV9n@{q80Xw>6c^298n1&r7d1l(C^fpzj_3AM(H{}kQoCbdK-mm!Zv~IgW}n?qXFcZMGfic#X7x2C)Ma9~kyiccsJ zU_sc#&&X0a`~YkdVT1L7A`2QQX}4t{#=%f|;jsA(>!~eMUxAcueClBtpW9 zwe&FK-_I(8k7{{^Uv{xFm0ENzj)c5iMm~s%Rj&KybL3-?|5}$37|JEJAbHjiwk>pT~T|)zQ6ySZ|MJ=ib*Z6DiE1 z46H&S!VrA#z>hsY?ZxV>5F5tX+_#xRAr_wcDVg56$dIp$j8`BtUcZNe^3~n; zzagPH28r%>Gq~TPXZ6;zn$#EgSWSo?cV7*x_CDiPSnZW}aY6rYY%i=|6%cM76F$fR zFUk1GAlxv2tj83Iny?J3Xh1p>Rr(5{@EZ2b1dF*?Pu4Tr_%GN@yK{IoB0{`yN+IAL zh!o5Y2(}qE`)hj~7;4vu`?klAYcPaJ5<2FdU(93LbfSOdOO30Cj%_P=Rg&90iUB*w z@8`C#cCr7ia(z_-+_?hSP_w(et(?YEU}S1By)k`a#*hQS0nv({L5YU|&I%=xDzT4( z^_!o7doU<|Zg4OMQn+y-iCf?_iy+$&EgE!^J_68S4ilrW$N{7(%2X&X^MZeKc)ef+ z5?Q8aA=c3s93=&4cP-%SbX1s%3=y>oJhOHu+&P7w>x2o3@&K^_{<4S$Q-h1kH*xTg zW;Rf2)ff81Yq2<&rvuw}{BL4>wX7cyJ4i9^ID$nK5D^A=HLSiFNQ5?$l%?2x6ZD%} zDR!#Z-uG!D7lGK_F-WE=BtK!8IxgsQYAxmp=TypVxuj2Cqw%-vR|cZ z$N#@8RRNFK8$}}}2GNdMt^iRs-x!sukDkc(N>;Z=jD{eR=M84i_}2ixZ{9!(^<|i= zYv%ucg*7Gb6twUFR*uYM0FzgiUq&N=!kS27m2dMoYIfN8gsKVMNH zQF-%jg45@z`C)KZ0Peid1;+XGKEjf$vN3#y)EAW#mwpvs6bPO1c6w7b-zLw%mho2M zu{W`UbyUgg!4}zHDUpf6zhVITg(U!{4C~KAcR(h20}B_Npqln!GKjuXMB1k*7?fek z1hLEcPOZqcv^5z`Wsb0$?s118;trLnLc+V7D1OI%sN>QIY_c(jTtX|bP=K3&PUSIR zfO=tl30tTmX7gXKR!j=0Ei*oMeRqaJ=8CoG)j}V-EBO7m45272^lpHf!?*$i!;`3v zI+com7Aj9z)t3%`bn8RVyY=9&6!gTq2Z6O3**o2l9@_mOa>QHn=K8rIN=+;WX#cR(A_JEbn0&z?WdQDcK%rguuBWJbs|_yiRViesw5}j9 zu=~WfhBjGus|#s?gM-KL=(h%#!ZAn#ttLiPkTGw?RP^O?I7?m3ST}I8439tk%>0gP zm>7^a;y`L1bd1xR=lg|MYtL0odTZ?!C^%p~ncNO}k!h-0ohV7nm0h zXr{QXCtKNyo70`h{ESDw%#K+McyzmL1VxfL{dml&yRK=^H>1?OQrNbuHD1|;2t-5Z z5C??w6~){|8`t}qtW7EbyT)XD&jH5FgEgRnw!s|Jl|3h1V>TN^?{!A!xLI~48X?W!&4On0$ht=snga7aS7a8aNJy=yNw>@h{dM)p=4zbY^yE9FGE2M6_^e?d~wP< zudiRZ#phP^BN{aCxB6+kB81nPVEH{qESCUL;zNeANZ-b3k|a0 zcr2B)^)Y;*dYkprP%|?+RXdk59!oe@|Mz334~h!fv^rnBc2?ae}0w z)zu5-x@Z@`Y)DLQ5RtSa(Fxi3mIsaT8KMU{rs<;UF&G6xYVDR=RdOUq6UH0vV8$N~ zgES)VcZzyQC_Oq8Vv0%p5;=8w%y~hvVU9Ey6jKTcevD5&@dCiPbK6xy;7X;;HC3k~ z9c(eD`zc_SJk806jt(by62CzwnJ4Brt--yj`PRv*kNl67fTVPZ)f7(!Y}j!ADr#{1 zs+UsQ1(l&~Ivn_!t;;d2LU$DqW*>)c`^{Q*yK!gDqC+6Lh+Uth-bRm$SAZl~@QjJm zrYB<0=}Jq@e3#O)^m{=Ex6vh9(C%*TMH$MS+klNBrfWMUq!W$YDguONfhGhVH_8*W zzH6qwyY2OFD~4Q+i0@r{`MeEJeO9uw`x28Loy{de`BwGS)e#x&Qz_iPqCeqWq?K;V zll8TpAHAa}j1H}LG;Y;4wVQaJMz)yWmoCMH3^{c@$F4zkw zsb&;HPu0zKax@w z{EYhJzTHR29f+TL(|7pI+2=iC0aqd-#Jsa~#B58{m?}`T)3h#rSZrh^kol>yMd?DKg%d`_S zG5Ac-lbx$Va=Gu-r1o&th{>~}{Fh!1gH(AH?&qB^`cv^7+ zpV5Cr+&ZzEhlB>~Q#^0<31G^}kcMJvqV4hlQIS{g-7!nZ4-lFjD8XIn`PMVTy$2RP zc(?TZ1N`m;4(zu<(flp$tBR|lDSa!NH)1aUn>0MbLh|Ud(8urNe~eAS7DD9KKI%dJ z;VIngz-b)V&aSa=N(vhIt0<%9R&GGHYLL;1aUpf4nu{5r+Y)wzO@;D0L_u_{x+A*W^`dR}RqH>yc|36zDQY@V1?1>O0<; zXuD9n5km#z|I15z)VXwF>DxH(j6}%q&nQAh#!c{GX1Iput6Jh@89lvh@brk^%5Q%~ z;O8_l*qGGv!{7nTMiDi5r?Us(@X^M^3E+TI6^W`w0=75I>L+v#$e@f*6RmoN z*wF9$yIy60F7YYMQyPwuu|Cn`7-^?OM~CEP;cPACf`VvX34Xp5q*R5dQ%3g;wRKF& zci(vif+`9wPJM+4Sj1X**Bv9WUl3VsJsoybYnHRbu`!LZny6IP5RgrrjXRjse z4H0(40;LAD$O%*+GII3T#APpUOQFGbX!_mCjr4n) zy{a9_%@mPuyb%V)u7)}X<5Tad0URlykz4C6Efl#_C*mAN0?(0JL3&+fHeLmH0qStY zhn1n1UGEn!;IDrxg=@(bM9l?j){3EKZHuf5LE|dCt5d@N?I!fxh6xN{z7q9k|Fd$q zQW%9P1*27>pu zAZW!;VYaC6Otf~$pt8Hf^_ll$kY7jQ1p)I1Ld0bqc|>RXaj*OYe}EXcZ_ffQSs0c1 zCi$$$MJ~cH*SCopSie|Zv{2F%a1r#xOt)}cq>gkpj_GW?4@UwHSH zfxBvc1})=0cB6}(#}=4&K~v_*Hw4{2F;zu9m4be7btsG7mi4=Bt4a|k83;~UlA@J^ zKR~Okzs9LU>xJ1r^uaulo01l5bb83_M=Iq_YS0Z_%G|f$Ze8_yNWyegBb+qeG5hqB z{je*6Uu%f9mamkXSQiF3=77M4j7NkN5bDEdCw?hI&x*bt`y(G7-gPYZaE!91w>nHi z0_>(*+50^PTW$U53A(`0*Xkn^l4iV5n#VJjBTPhl(6FS3vZpi=`pb>YY*8HZe9(ec zdFrYm>rgA0VB_-#l`svqnOxI_aD9^ z#VVA$i8h9NFSXw96re-RTS6-g>N=`?sY9sa^;B)5sA(9H)Os ztUh$m>j?%w3T2B(1y`vb>Wy#(*l>VQ<~j@B)6-Y|Vcc9>s;fW@3oNjSMc}7dmU%cF z!!}(S?TjYab9t;H35l4xQ!?g62NNFc?(u`SE6wZH&Y=3Q?}%IiL(Al>?%U8*f7lS49c3P>@;YZSiQXkClO|0ctz$gkjne)&zJ3lKf~@}(X?A znAH6+w=>jsHgQRvCaxiF5jnsTOVo$kGvsvVXII~JY-ahp)ny1Y&Kh%Y7K@6qj@N>!BdWqhwHfwv029JM_}1aicPKowXuB`Bm1x32iYN0h6P6`|CHL8u&EY{7@WT(`OK8D+yZZ z(K1QzL?^dP6rA31+ulLm6{5>mXnJ})?pMsWZc6ONxv3NczXr(iD6QzptO|B-C>%Le z7YvR=6R!wN;iWNY)Ny8byuzp*(hMaT1X&xIgC9NZCWlsi%__T`1 z-%_%>EZv8hnuBz5%X%`4F(e4Cwr-;=g`iC87lyh+Df+WL#)NKmGjIm(9TY2I%gYZS z!|-D}UIF10or|3{=!bXIL#Wxx_|RkGAp!@CXEy*D+;AB5;DB8VGVZPb%qgLC{g63j zGb~WZu#(dgqR81msp9z0V!I7Z?^htJMFdpoDOkUno}3*_4K_ptd7zfu@Ge}Pfy8L% zZ4Q;I9~h?KzRX4g+zShQv0dxk1FS3P7cg4lz^j4GI3rPSo@!1OqJcG6*~yu+A-zH8 zx4SC>;Xv)|dLKiXIBI-1MY6dt`rbvZyF2b8)4neY?28}&k^zXPwC@}^BkpAQ8J)g_ znT4z^D1*(@+RHtZA-_i}|fV0a92AALgjcrOO4zxE0+?OqKE1B(pA zduAT;hGak8p)t&or{$6qHuSZrsWwhR;-XQgOWy|~BW!aV61fQ{B{_CfCMWarY)b9b^k zcss_YzO;HKR=|m@Rqt6h#m%~I17j51|)x$0u-m<}uZ)F{c63LSJLj!F4R zPSzp!x-%%e;Y^cqO9!J!n|x-j@NK0omN7FakZ@?QmqlN*`ygO3d&(A|PQPN6>;}T5 z;m>S4t}$)i#wCXfXSmRPHEZdhF}&u&4oBgY6&|pI8yz00tZDsnc9P}MsbMJvIkTJ% zOBfK?8M>4xL-!npgQ-k6cRMB%%gVhPd>lruwmIHX+^5`bT{Ijfc$Ok6E%b6bQXCOc zlyTQ~Tku%)jKTn0HoaGiiQfPJ*7VW?FnuLG7F#h_T7}JTUzfL3Vfw5rZM6vPZ{& zf^!Dzjsh}$-d}2qw0jWe8=r<;85O_=%ab`Lq@+DJ#lW0bK$f{!RGB*j*2kxveb0w9 zuWllG3zI*RO~d2r2;%K$oMkDReIqtis67-6We6=|8AIe6d zL0d?0@vXF*!iGVrin)?ECpL&lsw1pO%+---d?J)*)}Pr06e2a?k%V!aK$NHZ?)0H3 z57zVyNty4V8pfMXx>p(NC`WC*x+#ECVl!o`@EwI zf|(j!MPm){4=)j4ccy#sULG^uV-hL2fFk;a)XD5YZII<5;${(MUcH9Pdatikua|8 z8BBWY*RBuD-CcDBk>{9May8X|Pog8p7Bt{n@7=(KN9kDJ3_Es!cTpG<+Px=NHcqQqpyRiY?@?;@3QoCzGBFmQ6V zVkogKsX$qot9tavq=dm%M2lPRO>sW=(ZpKJu*zmfFe|R)Brrnb`5!=*` z!I-5Asq3jSXx|QBu$k!;Lwvvj(P@Hzn(vV1-)W zi=O-Stu~Y{^j=@*gZ*ftPkfCibT=_4(}E!iINl|ob1&Y&xQT{s#^6Q}aOf?cOk_{! zP7mkfp+f;t10m`+B}{MQ$Vf17?4W)kCAovkVyAOXmU89uCBi>ozB{~*lH?w*93*q6 zhz@DMxB%F4OHT-JF9O|rTzLQ!n0PLeMZpRJX?Zu6^>-~vU_neM7%vf;CZYa-)t|2pC(=%dCUQr;}a9xknVfm;)qy_L(FK|p; zeZkc$)w{?5EA^gZoZ#~Hd=WbnIT@0D@wKk!VcN5nx}3wLCqUnA zqd?5+(H)>JLcgbnLTIg3xn+dpj54h+szq+_IGeFSnLgaW#GWAw+Tw2Cb8J^#IEcy8!Li7MqpU9fber2p z5||PIKv#kRKt~CZ7^f~I#G72u*IiT2#-VR3N~?~2+ieP!xv;?DS%fTGYVQggQpRY} z6PZs;SyG|aFpJ_h^a+dwV)O9x;gWPVrnYlLeMm|t78*?!Aq17?Mgpfvq z4n6#907jZ~|E(5xMc=VK&NL`giXEWMs6v(VW~UfiM#olA+;ByoQxVGU<#yt$A#Xf} zlHfeqka2JZY8Yn#{DBf|CC$i-8!wI;#?i04dvJ1x*nJhLQ zh#nDsCYz82G*WH?=05AmEk4pBZ3e(8JEf*Y8V&+Vut~Pc(-F@_D44-Ztfl20U9|K9 z(DFRqKpCF7mb_kSVmwO#Zwo7^Y7EB3VN#Bh0OodNK+SRK8(S?v`y3=0triJVQ@RQ= zjU|R~khEPU9ObwR@DGp0D^$H=NJxID!~<8EkGZ0}6EZY?LaBkwzzc?jQx}EvNa@N2 zH-2U|ol`{XHv7Ri5I^;~n$e?z3u;i$81n>g)cCAwD}#%G>&-Y8X2x-L=DoGJ`pAlH z$8|}fP}$x3*nWgs4OK4i(lX8Iaq+@9T|hH9yi^nNt*;Q{nmGgPjU4t#(EblmAmr4Z z8NuFsuUGPf<67mj>CGs$IykZSWM#aDe;ph@D<3YW`ALk zKuyfCt3^8c7UPxMeRa5sA%(an4xLT^G8VF*-yAM7L4()R*g(Zq-V!c=@Jt-;Ipg?(3^#YaB$ zr{#S@ha}x*Q5=uc2qae^EvC#hj|@gSW)**Hc}a52Pg5ZbkmZa|{q6S1r2(4hPJN-j z#k?-2cf?AmYO@4Sb;DB_+p?oELh(-bhxhd<@SEH=->-ra+lfgP0{T?3VRHe?BV&6( zwYSC@<89dS2jr?sy>h(S`9g4ST~_=fXZ`Nw*g9>g8)J+grKJh9vcfM zTB5(7v{po|^C6anL;HSXMc^l23a5ZP1Q-j7f*@-TmE2>J4!)t239F*{$h4}IN z16sa>CedtFom~7hagz-)tvlDAhu?5t5<%SBiT@v$B;iRZ{S{x&G$QwsaJEX51MQ%5 zKUq>!&=+7)jAZz0Df!!I8J8Z=h)(1-jG4ecJh}}TVt#wH%~0zxb{=@oIq5NmPZ1`0 zv0$5pdX|tB|EVTvGYj=AG~9ZbAGKqZ%p4&vno}_&6;hrrZoTP@d5&Y8!<=e{s=AsD zWSy)iTy7l`VBHOkBOkT#>3Deqthy0!&tuQ(G{?5$W4Iah7S>c|*y>kyH}`zi#3>&( zu3w152foSp`o=*at_TI<{@w=8A25 z#kTFF_mYU)G5KCr9xwRk>K=`CLVR^UzO=RpgYI4|S|>obE$X?@S` zW$1JSh2LpoLH_{4;jIT7xHo!k1U_(r`u;-Ze;HAl8^A|$o+ibU0TZGdWjReN($NvD z!~(8Bawa-ZHW$ioF1!=AOBxM@IFST)yb5xWV>*c0_{^02>jI`x>az0Nq3c4n`EZ10 z0GbXrt2V^hptA1LnHk_^|l{+F@2TO7USufVv_( z_Neg6wpLS+*{26SC$RP$E8Lj8XQu&S%yxlIcwE~xi=f{AQ*Ap0e!~~h9v>W$57RDr zT?i);yq12)(cMj*m-m3(udkZh&SH%2rrWF!%%$2KHe!!>3Y{H-Tem=*eVoK1J@;v& zz-J?W+ya`MUfCf0?*p&GAi6HijO<;cz4QW*rNqUKY!GVdinGY5wa0bMgJN;TJDRr% z7L~L-_?+S$JvUj32wvc}e6HW9k!`$r!NC0JcJ~Ag1n`P>5>S*l{>E`C>1VHt0TmdU z=1?inhPKyRzqb(Z+io2zkRz|F@pq^!tyY%?!pgA&sOQWX0Q7!=g>$iLw1^IJJO1AK zt^?kL6bP7*_TQJL^;SZIl>hCZ8TOx&hOSh+b4iW}D&aA-^!$Wr)ROtW@)mCJVt9YU z8>M839JCVw%vWl)HzW->{aY0tyRG>ZKSxjunSpaxL=U_Vy0yJEE!sK16U)G? zsHcubGmjlBD`kDC@fnMq|Ms74&~7Y*hJQSW;%dp^IC?PF>CpJ?hy;ZJ|?b6wXK}oN9|HE`e#CosmO1s^e*lmM$(V}Up%yRt&SDk1GQ)OxU@EB}P>6_I zd%!h(@p=k2zV6pM`4I??{+Enaz6cF}L}bJ=l`bjDG6D(b*K52gqXSVSG$^l46bFXp z>!S_|WRawZQ)&4Q6_a)o!;*@5&dNB-Pn7OrQ(p`Cjn%9hrE;$`+}jyBiv3&8;>v>o z=>FZKDl#INDt|}U%!4#s^%+?#r1R5?Fuek3oK{`#J|Tk#5_^JtxcE0}-}`~_qk@VN zi8Kd@38d=495)jfdJmp`LGDQ%$|x9JxA!`M7Z8WEVF98-K8&gFjAij_R?S)-#Nsf% zg01juq9J}iml~XJX478OeG$9&1AbNvqw?5FmXf(7K+DOc`crNaHn{q9J8RcPLxXei zTRXz#!2>~RpUYOfum3`~zXW`3F)j2k>Q&F$M~05Aa|+a99lCOR9fBeZZoYuVQB68?FhRcFJm z=r39uLMt8&#$^$OT1Wevpg&gh^|FHq?H{NLtj+d>9nKo-Z?LGAg>#Q-eFoUFlK*ye zRI7zPIwc}>XmQ3Dk)P6WfM9&OvK_F*Z_s=hQBAVIz4-#$T;rPLzlHK3IF@U{?bD7# z8Qm+}2jBWnv``%d8X?AJ zGAJuGIP#PP-Vqq!stPW$;9Ho0S*u}(HJ)len30fM(( z=sE*rDFSM!r6~Oq~H|xpX(CDiV}k>5bTuFC#v)MRz2Tblli{&2yc|sq zI|Jp1H3Kv%RE4b_6C=FV0K_6vOuwHTXH#JTn*6KzA-SgB?>S=L?rcJ z&QjCQfSihn6AV{iqjcE*4xZI3zm-__*V3-j`}_7X6Jg_+!1+xYb6*FP+R~A%T6a_l zb|4o~fzW_R#CYag<=VB`*q4M{uD0)CCXFpOBmpohXb33pm;79J=u2eTORiCqZeO&* zD9pnpSS?sQovZ^}KA|Kn#eDI!MUuHdxFnyas9GU4sfuV^Iuqw50>kLRC(4CPT`vC8 z6}*Q1*MD-S)5>jGSw-c=etWRujLGP5Xk|a+AlnIIyrh@w6W=?>fZoQ@rqaY_dfsz; z(|uAb&q^$T@j*5hWp5Qse_5(wVTinQdc?Y=7imLpCvr3{&AeWs(|#m_0+rQ|!aZw^ zWY8(B172N*H>VR!jvuvgVfZ_C0HB z-aOi&hWMSaS^nePepk#DibvZ;$!k-u&B~=-UjzP6N7b3*=2VsgMD&L6!M{CWr|jx? zjREJ-Ttq+atmo>XBTKA?))vk-y5p1bx*lBT5d`UqxM57$wB-xhZ!!L+x%&{e6?vrW z*#EWy>CEF{5(?|*E3)$mE}$DgA8KGvolBaqDo&oZ8rhDyJCnR!CQFPzoMv5XS~h?`2f^e~7$cVN6UoRp@#!R4d56dtcF8a-L@CyA-3sOJ}Cq#N;1 zLP(gis;DOXz}3PI4XTLFWprtt$CWrrv70p=v}{=1qw+{PV4eA7Fu3n<9a zBq33raXbWK>4z&6A54r|M!En*e|0jNkLyMNKpRm!WO13Bk25>+jY+!TWuwO?5J}Oo7k;hT8(dy9 zwl&D2xyGJLhopz~9J@i4g+jUc&92Vxo~Yk@lq|)MHuHF2kv{TSg#f2VIf7aE+u-H^ z%Yd?}G!T0k8Gy&)nWsjzf$mx0(roGu-J#!LBWf*G$${-mWXbEW`))4IGgfbMZ@0VB z0hyraP00UJG+T@|X6WdfWHMUAi1JVL;N6ZoiR@-XO;MH+TjjWoKzfTB!%YaJEMPrC zg(X7Fg|**Sfv?WGFU%M$MGfz}2-! z;isA$2wUG4ihdslcQ0|wD_6?AiyH?W%LkK+D!CkhatdUvOo;kYw`;C9cBnEMnk0lG zzPOmF0+JD>;ABFJY;nWmudRZ?r~E+oJnla?CLMHxaoT->4z(49JDsDEppU>8 z71&oa|8?y`P&;XM{5^xE>Q6I72NQmSRhKrWlIb!DiH*T3ti&LM84N0*c0Gbq)Fv0G zk=p2tl!o6^0rz|D|H3hfTR1D47Ww-4%zlqj)&ka)4%%yOR1m~;& z%`=p&9p!k!O^X?$AXW4%uQg@3c;px~!!83R;b3%I%uBB>S19s{kcd8jSzXX}B-6v*RcaPN1#rcpaXUp1K+{z z3@h3Y1jgPgz}?JLlKg^;L)*Ub-2-%a1z5H^IYmIJzZ!K5bu|M1D2OEg9&1uehgjAi2BfR@hk;tWT ziq29vIWWj+V7}zM)X1XTF7CUfP5Seid5y`!-O=Pdqyy(ig7v`c2jWpPT)dXEW|BA^ zc+Y>Wf_+`jnfvQ^Owh1(GSzOP6s~(Iv2H|uH@6&D#8^Id15|TbKyGlKmD8?!q7*?w zKjmq!1La^uVee`9-{di|aA0QGWsCFTK`_Ybx&Dd}x*~SBNaAbBGVveuF(mQj$652o z9qac}l*Wcx%~6U(l;clfki}macP$l3i<$c@61>W^D{E%x zS(W;XX3jS6CtF~Qe+3UDox2o`gi2tLRI`P|Ml`zBV|r!Fhe5%VU@Wx5VuBr9(5kdJ zT<$w4$~g)ZCZc8nMiu>5&4ISg1TXjhS-8Y$Q9o(zf0wl3d8E1l;zJm0ao)FNX+}a4 z8eO+p(h6+HFCy^|+mH@5;Fo2;Pr-fcA8YuVTlAK@;A8J+I-o9fihL+49_?$8CHl1c zD5`8fTx2LjmWm7m6MTSh47VUp5Jy6%p7mq4X@T~g7OgvKM5hy`6gl)<#0S62yo!XC zPb(%r87fE|hRT_*8WqY0C)tr7*3YJgtx9yIo)hIp}~-X7#soo7MwiVSRh-Cb6n^8OX9 z!f+0kku7*&ldW)rI#O-dY5TUbQS!YL-nwbdy#|M?VlTnOTlxCHV3A=zLpU98_u@r0{UQj6w_hFWHKaaE)$w?9y9Hk1%4D zKzXB`v_9-a;fDyj(rZFd(WGXZks?@CR;bZ_0iiA}h%>81Gb8n#gWTJQ1e&$Y+8-&J zF)v~xO|oA~_Lejokn+8AnhNdnQpcAYrUvPLsE{808C|4dy4gIc5^)AOjqW{M2-#5G zT{9W*Y7y<-di9h-J=xZX$|2qR)kaMRK^E>$a4xD?^yayg!uxHu2Z|6U{Gkl>@2Vjz zbCw5>WG2eM0~bM%8aoi7yiEdscv$0>38jlh%nN`T7h4%PD+n~J;v%}G&Oo~*`oQ3-f#Yxa5(r`p0@qM zu>=+;bqWIZ-;7G( z!qlI!SMkTz<l4RE^AcUdN4ay$8v1f%hsVd@vkx8N#1im}(afE+tM;tfRz?V!WNl6QiP{S0B?I$AyOS*ZjuK z(^1-otFI_TSPM!HZ`93EM~|sFF&TwG33Qt<c67BoU%Nmk~{SRyi zd%}MtUX>uoOB7AW-M!(p?mI8U1{MuA-ILw=Lz#<#v-+2z|NR!%`^4)Ka9>C}(XRZE z6oi6B41jeRm9`2rb|gowwH|SveG07GWjdtLKIdK|b4)u|uvpO-lwTb<(_G!9xd>PN zmwkazz>!8%&!JdAuny_YjLuJq23+2rM}@YDy}#P!-eJBi@??>C%c;I;J$Es0>298S zcjsKuF~G$-hb-LzIs*+gXim0YE75wIMos8LlTge$?~#hp(Q zR+e`R(T;PC4^j$Ac<_aZ15^kGpDPDr(mbeTLYOP0&4$$q0kh)4Ji8Wig%IraGodYb zF{38MPk%fnNllpE(dv6n07W5ks%1eUwS29JF_iy-^r({()Gps)lA&+Q`?DsXA0sfq zkf2!5vZKcF+ScgGJtUxKPNR1elaPW#a8QDS>(j=Zd;8->Cko#a93Hiy7|xrF5$txxZnc(ewl4jWApTd#7bOrU<7RVJG5z!zb_ z%3aJoGBWLsF}s*X?MTh;Uv#?3Pp9g(VVJSRmEB?Cdw$`T^C_k);p5Ga2;Opn-TllI zq9d_QohTa6Gvw8`d4u-kAIm3~vmh{;@eze}dEeYaF{q43-$m(2kqZ9&qx$wHM5BG1 z1#BuMqmp!AbB{-8a!qSOAlFNE+G5zo!2xT7zSzqK5|Z(VkdWtKOViZZo)7}ZBE4pB zTZ59SK&ypk)os4(^m~4kC>abZ5YxaR5O;5` zV2ypoZpXa;B=Qa*DAPipm($%gG3Uw+T%lKM&hZF$AxvC_fc#6VB7QC(vDFd7?&HL{ z!gh-v*tuFbS5*@ z&SPlzHi_igsUbI%YLIOb6_PrI?|2 ze`cw&sgfchz_Suu2U`}ahpV=6VAjbM(j&Lp`%?4=|7WUiHtiqqMoG@`7O5rT{L zxgO9i6IBtx;Wz0pJZ*^OpEFT+x~Omh(=pen!sT?^LOBn`m%|DNltivdFMQzg<^FIt zb=K?eNobd@S)2R0THE{XDrSsl>4HIh>^L3H_8qZgPGit`ztf`!ME2KsiDl`%*(V+A zwG+2N?J-`WLPG4FWsr?yxpfq9e7|~n$q4*#r^(%`4D6if%XVN?6r;bSXZ^eagub2t z0Ur;~TkZFLe%~)20lr_4hTkVkhNnOL-&_6PFH=H&zWPGnFV9=d{bB|Ff3NC)zHe^Y z>kHm7D+q-IpJCDXRXgwg9&+m{GwFc8OsJeMlkHQ4?>Gc@{Y8-a2gHYFEBQMHV+F0;tY_s_EKYi zS%L04-p8%Kt@I@0wso*hXstf3OOxD1q8d^Br;&Ss6{H)70zPK#y=mZI8Pjz&5nH+( zhNDC2wr-zVDDgeAEicY$9j^5W9~t}7+VFA;pokGih#cth@$Lrj6#9_svL_W$_fDwU z&n}IZ*%5ju_gUn^#ip=Ky1~jERoQq{KzCafo4(@+_|V@MyGRu#jmu)e>ED_BCe>fl zFOg^nf2~~Y6)0m~DU02=OT2;K6I!U17uxxg@JZv%rAu=S6mP!F zN~rmD?V{OE#q_atQ&G>9XU4>!&exjt)Im4Uo>o~pMdy(JSCl-QC!8>$8)@g^bJOd} zelkf{e)s`^fif8mgUeP00FvpgpaQ z$&zApNRlpy=+LmHnC8=fib!c^cv15()0Ov7nep$o^l&wyeNO(B=WhI!ym%fD%i_g2 zqPQS_0A{$+Aq2yajr^1P_;PZy`Z;EafSO?cSz-OCszb(F|I94^(MC{@sILQjSL1Mu z{J)73Z0F}XwcAP&5GNr5^b5SMo;1@%RgqrvyS66w$o_%-E-kbq?Y$9szN|o-Wdnu@ zPr-IyZX-9Iisn)N*Bp8XT_M6FPh64tX~^EVnbHkovnsSJcZZZtaX z!|(aJeJ4pAz`#v@ysA|PNRSgJP2H`MF5xTqB|MU0)J7-#Hf!)#_V-=#o*f#UFzdy+ zVSB2QqKQ(%>c!ziqpfZNhQph>VKUuvfPea-P}`bUkG44=IB8`ERv}!K&beWycxo#? zMu8a_JVnFvTO4!1iKo$BDxcd@R-H*~Fyf-sNr#n9cDGT4J&hhTLPHx$Hl+g`V5&v0 zDgGdc{e)6AVYF4K3l-pHsuSVF;qqsm5mkYoa{EIoVFclvAvOpzEDUCfHc-D$m4o%O zrushuF3oUjSxKP8g~cdQ?0=6Yxf*9IQR8us`txQN7fnZ}U4}v-eKV|M(WbR+htj1x z8K#&lRJE6jT4VH6s#7N^K#bQn9-ez87z36v6pg#yxh4%>d!_)U^IPss&Q7R*AHB#s z423?WMgZ^F{CT@^H*E|~jhyJTs5<=5BD=^nSF-rA&SceJ+ZIPYx|!4k5FxJcY81De zUv+eFKgtz-->zFF7odKlT?!*5mP&Nsf$*z%we=Eq-M($e)kih?|EIo;q{H=DlZwenc8y!{3{`7Hv{AF83 z5z{WUq0HRTH&4AE5IKF05BK~jFyqASu~q0B!>Ut}M6XWIqu~k{GHQ;Df;zsqiuPk2 zE?>JtZ~7NVLd`UVLYnE&W>0S%oh)R;&7KHC$ucYQ2WbE1+w&9s66y?xERkAv#`hvS z)=yBcj~ge~d2fgT4(=_}Qdv!oS@0>aZp8y08n6 zRgsz-`vZ-C`({I&vOD%Fkul)mWC#+PzNWLf6PR+3*~d$UD7P^a&Gfg>nLF z9+}|%6*b&0Q48UUY0Gmn!lYjnn*#;$fu@`C(lYqre^R)+?{CJ(+(t0O%Y*wO8Bgz& zLcyG?ci1#-dXu&IRDZiIi2s>5#D4TV6oO}Ht%aS8C(+P{^H@Mkl5rme-~xtDLGmyEZ~sc_21ouAJ$Vt z$BPXEFP^YS;-(?#WU16(#PLMtQg{rf0Kd)d;Z78RxUGFh(1x?@%C_Y8UKe1a!9`Ig zz&x%eR{mj7QR;4Ynh_I^;Zi?JPN<{@Q$U!oPzw!ii=Zp2P2&5iBTGDy6L*o>2wX>D z$Oi35z@&0^sRvi}w&JxHllK2tx_5$Tc~@&aVOQnyjG={poBFLr0QU2AS}PN`bF}Ph zCCsJOTNE7LjrK?2C^Y2Cb=bj|d}9L{9qT`ggakz~J4gA_@(LnC!bxMcuQQ>MeKy(Z zTn(+*UI;xy0W)+giO?-L#nyBN}EnT+%Hh?={oP{OQ~%@ z?96a9GQ-z2h5b^GWxTPqX;qSzhV-lfs-#9JkM}&S9lz=ZIlP z$$>O(aqgEw-xRT@cY=Ad^PFFP&8+`%6Cud(tL-=6^W7^26;FIbK=9dBQoU-fq^KOU z{c7TUtJ5s@ve8Oo6J+#f3Ef+-j)%H0NLL=VuR6jp5q0^UXc6FFkf_>&h3xX{2nEc* z#y9)O@hCUdHRydN(@oM68X|K<{P)B-6xPxbC|uy|zqPifi&!?0vFg?bYIFGr8pr!14D6^h;7#O zV-ePcuk3nodEByG84Tp}s8NHS^)nrSgWD@$DmkJyhebuJNb0TO^seU2=@}D3@zPeA zA_NeUCG0Fhu`8h{mWa}OlfY|?X2YCFC$8tUjyh4WXqAkavVz*b7Xge@mB3=^_`(+| zdU7St7h29@#^Au_`7J>#eM9*EcIk~`LYt*?L*7}+P~!#Asp>25;Od!7&Yq{#uzz!Y zh951#NilPmQ~tryP{3k;r)=nAss%R462RX#<%YcAAE`=;yLnwPdU5?mzh(2@cS zFgp}+yv%lP*3?Hpj&(a7WCgC|dRZmTVqyqL5q?h};P%eL*9Y>|7kc~R6|>d2-( zJ$IBVxZ+tRpBY)wHvHs(egVdn4U$(&%~Nta5gRVR(=4B3QKHkVr&XKrIgl_c=G(sv zZy7Zbt&rc>7mq5yGYMtHJ(%rEZRz(@5%`S_i}1AjQ-5f+=j;ds)^5!CZK`A^&NNNx zi_Ed0cz7CoG^AUP1(}dY2=bO$;w4iZtgFHThcxoTdcmoeMUF&$MGt(2Z-)uCsij5P zk;-U0UeR}lq}T+@O9}R^>e|{^k0uXV|IzbfeE{&a{95u3*-V8$p5sWWnYWRhp~x9h zjqPr9pdjb5>4MA7u?H)Jy5~vj4n0amU|$}am|uC&uA6y^QfxrH7Wj94Zey=VyC2$r z`de_?B(b=_FgIT;1I#=VAXRhan+w=?Ds#oRSX8aDFJHtpep9?>zw&sv#mV5WwP!0! z2W~}exQK1I+$V-P2OhmhZtygqef<=)25hua0&Bw;`~@V6bm}x@mV2{ycjLg&%s=XL z<6NnYj&J~8AV1IFJjqhg(XATOd1TG^>eE`^Z7N0d%ps=R*MxWPY%TU7D7k{3UmU(g zYA^=rle{5%lG9I~mv&INg^tZt?LC!X(u;LtQ z6_5AL++RKdE0{A*_h6|_vpM!a)=Afrf4DX3I*z5q9kmJQl&mmLtRUrAuxfdOW2I-U zXyd8gRqZE*73FS`ti;baZFROM;NI>UVf5c+WgG_!&gk2*-x$xC`nb*RE+2y+XV>`P zX+qHd~xUmlj)yY5<_hN5b&#plAbAyIV}6Q#Wmg_TlVo#3SPYJ6p3m@qy8u zG?Cu-`GTUE3v>&@=^Qi!WG|yw2tzRX!SKklyZO14LFGa&3S1~TZVEz5o}0kQ!my!} z2Od2rj<3K15_K;tUC%6cS+li#=38nKJHt3W3~LMaap+~%5T@16T4hjlLTEyv45KK( z9|TUV9Yi_wY}SBJP-&R{;$n(u#uQN{uQ>*WT^F6h-eXzklW0*FHb3`;ah~wwl28Sx zI+chmqwHcTL{=S6-W0D0G9yw$$j;agNc5H4H5V@!ttv6I@Fm8pWA1@=QDfuFIF+n8 zF;Fq{%e)uk*^|i|CX1H)vD{==+XBD2A$YSJp2E8|h|Muorz1*~C}{?;$$phWs4#5cM-U704SCO2U1t{~@pf<_K3 zY~|Lou6$@k%Um`3EoN{zX;R9XL=RIV4O5eZbpdOTd#N+?E){+HDBYkz(a_RGSFk&u zca8F^gb+C{vsO9?_b4V0SLS>jA#zhes0{C<6+o}`qaEn?q9B~VcbUpm8AhDvj9?Sz zs|9Vu)EM**vMb(Z)8Be0Y9sKOeY80IdgQcp?xEoj8%OCw5@Bpd*MM|+;xIihWih$4 zer*Sn_J6-~fss=W#iU*X7vrUJYtjaYhu|E{+J}UR&-d|~lO2ClIF6A{h9~24p|}in zbOrT3I5ECnTDNFjy1)$~^P<0FEgmL7m<(BWs5SV<)G`a$A1I~UEqsg7X)#1GtSYq}`(f$-e5e+Lp|HMSrk7}vda2z1F}A-;4}muz_SPr+^|gA} z&VMC&(&gGfr`vJtSPoqg%0U)v{`Ma6>&mhjY=FP66g#aat#>SH^Ke6l^NLSf8cV|) zQ5$yCyUY!|Unv9(6I22B-WXEt0%wm{WAwG!emB26%!eT2q%*20oxafCOF^Nf?ZPT5 zjyJG^jlB&TBk|XCM@BH59YuS6n)B!=v{0TL3V~)RVTu=_(O^9OZ-h{hq35cr= zB0CMJ9D3j=xxF07>c!d4F9G$y+Z~a0hk(oBDYkva-LXB8Wo@I(Y$iAZu|ye~Fw%k~ z;)c^wpO>MHh6xhj$U?B_uWaA#!yBRhJVNKW(& zGNQKU5&kap4q`Jx&q*OyrZr#Sn2~^EW7f@=9=!ZO-BsrL)`N^%=P)lZ%L&ob%)i^) z$MFr`yUf2F>2eC4FZoB$z{k|a+NCOZwvCj7J_ekSI*7bLJtthr_MAb!QDqZIUzi&P zx>YX=Nss{|VSZ3bxMwYP(sh<{IQ)#2O*rsjmaqiG!;LU4s*VhP2Y4b)WRy97 zD8&@=8CmNXI?4wi`ybfa;_U#(>U=ju-{!0H1x4_zZ&oe7z%_P(TseJ#(xSZIaGqGp zWO4;HvAAA8U}ZW-AxbmF9MY++1rA2Qxmbu{%tXomEbD*q`Ae6u`&bt)B&qZdMOQrG zEx)|rP2w(|wG%b~68{@ASn;8*&Tl?j@pEO+jR`+Ys}0Y)Yh0MV4S0lgX22={v7R1= zEd5ax-_e2JzXVwIkww~ImG+P>1*y}=AgIW6q`wN&sf>lYRAZ;qTTvifFHYIZ<>qO5ZkFZ>Ia$z)L?>SP0a}Qe+(NQH2Dwpp4kZLA|>i7M+OpNQ>L5SmxD6 zkt6Kt-Qa%opTEW=UfG_=0!i5W%9db$O#HF6>Ig_-L#lk34I zmt%Osv{D+bhZ4$8!up%_ydb(ByuC)%5z6~UrGcq8RbKP0qZrN_eoc~P-ZjNSyG%hF zWk^tSxg$pU$65|QA>L~uyy%u2vRt6J2-6^m)^3U&_cyi4Ag-d= zBtQeLtzvg}E3+E^Q+cUe4&UNRtFA@kSm0((s5lr0)ED&WS)rHOv-KH3*w4)-z&o;~ zl30jq_C!kt01M2HL&osxRZaR28?hCACw#J_u(${Z8tzi@*d%Ef1{W7B`Mcp(mSz6c z44!Wyb?QF2IL;u!`e)j(4M~i*A^~?A>$@z+C_i%xBFJBloJ6f4B=>u+V&lw1p-ODn zTLR9Rn}^W5-wix9&t$G!eV-x?Kdg%;S-uYmug_~Kq(6$%FDhEd;^E`x>nz~sF#vd0 z%{t>Zi25PR3L86PjGS3Jw1@xa#F#8uCsIO(J+eXtUK&E8msC)Z@tC3(w(WsOGcsS7 zq}cq^?(gD%=32&K+=W`s7mrsoN#Xs^YTy(DhZ5i$_`-gMR;?2MjthB5Vh)1XYhirWz`*G&rdHt;8hV zexYYncO4LI@eD31$Q#j<5uahXIO7nXo`h2^Tm~ZJyp9F*>&?B%bvW^idNl{y=^6WZ zam_IT+ho?P$XZtf1{xGcRic}9mbNglP_nE z&cRpvod_0&!lV~8HDQIN^JKpuC$CZyeW!-W3NJ7bZ#*r4&*f@XPOa6gsC9Oe5`-)E zsnKo~b*ElWNV-*1zE#J*EFldX>Mels9I56?MZHq|@*>ffe$CG^%Enb)Y$z*Dxac>? zgwAD^XBRuDvd=)?K8Iur>-UVRkx_UPIndAY_t{QkLlW_eA!IuB=UMQT6e#tKIW}T- zkEc3ZPVm>2d*8hMHb3WFv)GS5F9>zJ`m*kL*oX*?cA+_hJ!?%#gfBL6X;h=tV2HX< zL?_&&K&ZHwl$r+BHfaOW6pFB_G^j$Y!lQMVFdm001hGoBo_+Oj{s9tzr%XCzJ%YiQ zk21V$VdI~b@bR(Fa5A+se1bNyi@7qWOaF|@!-i;0AHf+Xqc0)Hw)^-r?441hV|CP? z=8JB}Pv}}7y7&Zt#xqkYEdO1AqE*wQkxri;3#-~c&6F+Q63}Ei6p<9+27PA6K>AJf znGpJ!-!S0TUty0{bhtvG8&tn|cX+KRP_^Hmm^f@%r*EFoiJDcHj8XXt=M?s3JsTxP zYpkdCk=FAlNK8kG^wCMmD)&QLh7K5E!M`%xiR<4fTz)5R?4(cJl-|mORV>ovNcq>i zFi}~_8D{zZPl=(Jyq}1G-$5vajPv;={|o7EJCt{5&`EwX&U##veW} zT*>hs&Z9z1;d=G4grgy;j~XhPT8>P@%1JgV@)bw+_g@&PB{hU6Iw$kpsdfNO_yM6m z%Yh1xAvU0#XZ-MY}FZzh=!7tU4IN>;4{G9PN;5 zSZ!EPeu!Pqb4@k*>rg~Msrx30W$&2##uT=`2t#!QfFm7b<%4n1D5yi=zM&ZuH2YoR zd>~tnsYYyWW4XDwAjg3Y=P~xA+L?^5t%Yi*r2Iv0?%x+iDY^=6QkujU=EH*FnG7mC z%Js%qQ44Co&B*DIwsHROg7*ZUxed5AoT~+`J@kChM|g_>%0vg*k#@!XRVB7BnZJ*O z{q$2cc9dttz+#boqnk}!$N$OJxrfR%l{6ofZZ)b6MXu=u?;%X3-P9z{XwXhY-pHQ% z>grVbYT%~ne(IRch{^3bigr^i;YF_$cn~`e&~+ zSpuSznl}E~*IIO#PZ&yiy}84YOa}^>z907rqYd(QsZO*NJPqde;FM!)9Z5n-lO;hR zw%q7$&{|K&5XxnCcQ1o8)j~K^`Et(+O8AS>D;CLW+56&aH{cPK`vbA#dhjVMnAhNb zy2C3g$QkWr=iS46?jPZrLTA{chqUzf7HQ3ZOVB(utpe#2zlVa#B4o8z2154C8D%*V=a>oJEI2#tym9T4U-5RAfP_q!T=zydNx*-$B zs8p$I9o{?nEUnJ>L8TW&{V01>Do#W(i3odsdF?w~%4k77slO5^vE|?YRRztd)bx*< zD&)=lh}!iHX!3yltv2Hd1Y--jSPTq2II!M+9~$uW<}~9n;60Ma%B#gFK}NpPPOCgA zdu0$v=QXqBscyuVZ{U&taWibfmhb9rxScrHlDC8XGw-+DA!u z@tiB@`t7h@QrI{CaYz1EBJ})gJ;0cxgH4cN9GJ>au%V#Di~LJPQ)zE;dDOZ?1-f_F zQ9t|{MGF?fa1oAd`&B8#RcgrXq_nOAt;dCD&WeAdy{${Ne@U|nk7VgUX(#dj3kLs!lLRc1|=iv&{ zDm+yu@2@Aqmk@&}vYIQ1qsec<9L?>?CiJpN)eJt5=PeSXcP7gnb52(G=U_+nBh+fcLpQ z{e|vLdR?Cd0`efWhs28#W9fVb-vnpaVX4$Y%fBVTYWMAxi@s_03g?%tpOI09w|uisTHNOt$&%_JG?Xtx;DB>3@2a|1dJ zZii+epuATuj9FGQ2TRq{NP2gqQ`1S!B0}E!-QPSIQn^iaoD^EK0#o&OJxg|yzvUMN zvv1uMmxl1PIHCcui;p>2*fYSNdMRS%hP)kYV(Es86SY01+^&XjalnsY_|bWoYqM4& zr-zVff9oC5o3u79TJBlqCY<=C_|!vhl|;AtmJo9x+%)>OZ=Vd!BGjr|feeoA_G@#r z!*!A$AAC_mr6pUSPG8?i6Pt+y6fxr|oD^CP`kJ7DrqiAS0T{NXtNg3aubD=KU~4_5 zk=6})V8INV{AUUq=*KA=fneQCf9(G8fSBVLZJlwmICNvuL>VlUP;NC{wUPdZixCyb z6C)q9i<^x6;NVksFRTF-96!xP=~q@6_~|&Inj;!rTNIUJnO6}f}4-yFyWsOAhw zT;5|^yt8pKHlJ<$sFac&R}}z~ppw?P*}nLkCL}enP?zsn6Fe zM7Rub16Ndrq(0<_uw)+ndUhX6B_4S@{SE0ceagVzuIB4kS4_r=INcv&3BWt7xjZG-ymR)h+YDmrGq7h_WB z;qld}&B<4#Vw4&!z!LCeGfpF3+e(cX!KpFY))x+Sa<`F>+bTQNn9U(#EmlbSQCekw zGwUSemRUc0Ib>S>*=)h|Emr$og)k#8d-B-ZUhaG77aw3%a*dL9iiNSIbhF3Ew&B;q zFZGeo_K^#E@4I&h(JD1oJ#78~3bk>upRP1nuI=XMfC28=4;@FdY9pHnNEft^{5n%Y0u|x{UV_jh&vH~2mO-kXGfCYqVL}Ou)^5frCkON ze&5X}ReFtY7$i=tS_6887V793qBu%)5(H4xiX$M&yDP^V%a%|21VTRsurjtk?NxWZ zW5c&bZt4hnVHzsJDY94$Y5>AtHDGX<*MKcir{oUWI5xWPxh~mbjt$POmDC+nPh%&! zKMmCQi^-6h%HzpcrBHtwsucRE z&aG_qy6l2;W|*VN4AQvWDWT&Qj@o&c0q`1ijryl_GmYcUrG-v zk*Yhcw5fQ$_ECMjIUE;26NQIfXJjqsR(fyUo}lw$v;-=PNP*^vQ{3sj6Wa~j()|i| znj>URkFv9>cjQY3anN<3`is9k*qW7 ziPeBTHSOT8^^!M-VC3joOD4F-bSKKA6zCW9X; zgB0^VW(Ggax9L}XE_C~uP67@#arB9f?;l`Wd@1cpX}Oa#K_bPs$7OSCDsd^x%r<>$ z7>{hn`Sp2h(ZitvMZy3r0uvhYqv{5%r1D0>b zt$^gbUT4K@nJ7i62d-dx zdt_y&w-q;fH6@CxU$gCsTeJ$Ao~&VT?pEyC#u0wHSNl9-NI6(kGy1Bh!o*Z8!rZA+ zE7g!zNGb1ew^5v)N34Q&3-fj{Fo;a-CnB$PTLphho(8Xvt*%Detwqq|tR7mF2+}bP zr&vb+Ig)l+1d|u=rYxC723tKlB~$j!F9aC97WFwax-@e%^T!smIOLyMS+#OQ#zd)o z(8jvQRdJy%_cGQu-}pFY)(sKNt>jJeQla*MvL}8krBD_TZl{?z5`xbugJL711S(_^2y-@Zh`Vev9Y7I(%AAOw8|3X6uf@?k6SQVHQ=k*^@-P3N)Ann_YE zCj*lvPg=4;nrlLILzLzO1pi+7@Czn3xwqUas-If-RY9T~P;jW}3tCKG1)|2*R z$(XG!7UEl48f9B1SZYvPJqQ`z+R`DJVZFeNg+O6jL2-81c<=KU2LCmRO`*2aXm zkd6#_Pn5E)Z+P#ir}@@X*lN6JQWyp)mfG74QmR+Zq;He;KCyIZ`yg4#@Gr4u`M`_LN62w=1&iMtAc-x}A#3YthFJ$ThsYyF` zt05t$Xr6Hrt!I`qDx~$Nxo8M#9jy3qc?dx&5fX9q6c%Gil-eurkCm94yO5t3vL1mT zK*e@ZfjPt+)CVc=DK-=E#atn_$*j`|3VbC|8n`r$B-1yShq~pJbnMGNBsx^2itV^~ z?<@8+PcF;{x2Obmi|7yeiGO*v5VsGG&fruI#blCBnd;F&Z(|WJw-X>>G|+mk9g^~u zs1$7WP%=P3(;3)a1sc5I9%-Gah1FU%iA9g$uj1ctl+#KDWvR#aLff^KCjsBEoE!lX zDel(66Oaf5NVMLf@a+IIFswWzO-y-5>kC16eIe+>*I${v(Zshid&F7N`CDLj%1T*3 zin|J;oP3mg2N1DfWS)OW1Ydao2EP*0P!CA7)C3b7VO$mu*JQnypA{)8S|)J~TPCnZ zV_a({agg>9eBjc}nRQAzn1lX(U5;#%m)<3r)Z@T&!2+?~GXukZA9TFALyBe@k2~+P zuld@vL5@?H3@aXLb~6{xmd`aKO83wE-5lz^q_FibE`U56o-1#){_tMIXD25uWvi4q zMf-7=QrY^K%!XnbYc9|n7d_PC6C;fHR)QTrCWU!*yPfuz$gv*hsTTsS`72FH6dpgR zYG!`B%sO;|0N**j5tC_)tH;wh-1HoL+^M$jkgDHyOFVg=pX<##Ln-&tReU?#gc{o)JHE?6&hsp3X-7!wRZ*U zZE6Km87uCI;4kl~XrzhF6v#?v`XX8wu|A>uDgrC}^AAvBukHd8Jx*ICi?*_(bhF?J z2pY~sjQ?X(Y5eO#tr!$^YE87L?EdhFk4yTvUGEMiQ2Wy?!*-v;te?Ymk3{eHYh@#( zIIh*>V~f(MVIyKaC%4E%eD>S=Z9p;AT4@RlxYk!dIlW-GJXYSXTg-r{@qhmJKmT_O z8^N6!9h-rg6g9mc&>&znzr2b+c`iggM?8o^=s?_A@;!)sYInGnz?T-WDl@Gzmqh%! zNkp_WpoB`_m#EYz24>i3cM|;F0q?M zd%wdQ9RK^*KmGMj|MKnoFTeEP^ZDy<|MC6LfB7FD|1$p1{Xg}OUw{7lx9{`i-@gCy z^KaONpa1nA|NhJC*Y6J-4S78 zrM4&)a$8EU6l*3-2=u3((7yX$H=-CDPYhO(BX4{^N%XV!DivumMme0_u*M5`d(|Uz z=qz9=t!67$TM4Lsk0uhwn~PM+q%_P0^X%JtSpNut@tkjCxP>THkmA`$^tU#n=}Ji~ zh}l<-0cG~e9#dmJ8FXHjmkMrC_yO0_=vNCyQA)jXbhn|aTQHE7csViUxM1xKP#JEN zm$RqAP^K8syN=Etf)WgzbZzrvPN^hbxq9^ct2ZbSZ{uXmA(-c?rhT zpt=;1r8F8gp-fQAxA|(Hu7cAeaxl(>D`3S;t`hq`4)(tSDPiWC^{0eX2iA-16c}h{ zee9qX%z{?~5Jt8#CR-sFz0tZ#3|$}igz=lU(W@Vq;7?q+7tt%fRU6cg*5=Zuc`yE5 z^F%v#=#BO^Z$72P;pJ8GXJ|UXKajHg?vs!bhBJ zEjvz0aWrD7c0PmO=3s?-OMz?xz$?I!J_a}*eA&_xYTq=2=D~Kw-_l6ULI{i?9MtY? zSzoQQtYcv=MRgB-kuptXlh73yoCN7ox>@sJPp5xi48{M5Nor+=*=pU-zs>qX%=t>u?fWGN6~syed$N#2}7m(DmG;OySrMM|(ob<@7O3qH+V$ zGy?)QEki{^n*Y)9X-?P&w8-DvYAbr+@7Lces9Np!-uhQfyP?sb8CYWZG*7-CNI~k7 zL1;31k?w|5abVH~$u`UZmuR8P>24O%OS%$@d#A0&!| z;x~s|S6)BW4I@G*suK~+u)dVHD-)PKg+6@2B!kr%z{|Z4NM+!Qfy#if zo@rDimz83eoOXIq^!{ap%W)fZy9!0%DrvvUn||asPV?0yzGn6ATqjYVjtdG+tN=id zN%7W)=G$i*kVKT)O5%xyrmrzxk-PrAv4PwSLb;{U9YUp$ZtXYPVH=f#LUzX^3;F7t z*YC771@)!{WvI7!#?g8yC_mO?`?4Y$TQych<0h%M4CJV%x8m5D6>80rgjYgfoFrQp z6jh23gt|nPPwrT_DS(}Cn1P8Q`oWB`DMJ=K5ONrQZhXGUi+oc zPdZwFLT#g|XTs~d&oS~C6DgovW?a9pSO6&Ml%H7uK3JV=uEjGxF22Mv3CEnifY9~4 z`R=TgTUZ90e7^8rJ**pZ!*>T9=U!tp~fb0jBd351})5lUav69=zwygfxueV>*BMj&(y#y`7wqEoCH+{2t zECyzX@J+oEm$r9QKIO>->_-1naJv=(r`J2Zl$Pk1W_ente`xGyoL^!+YeGMtD#z2k z{Or_mCgtWJI_wtBGD>@;um;e8RmbiSE%fY}A#W=MsBp!)jh*1h`wk~(h7W!s?(9eT z0a79`2GzipTs=v~dS{TK>t+UG0IQ)qY(ba$iP1k5g9lzn=8mg8H;gR_PkOn0j};Fo zqYqMdE<%NiTa9m_xcawIZJydMxD6r%*%Hk}lZZE4 zzr%C7WtXEYyW?th$p8(xUJ?QEqK0&QTQZ~o?kXv5A}pU5cbXjy!53uv_=XHKDq-8c*sX)uqLpVkAvRXt0D6wP>!u+nQb zd??nf7jJ>zjj4+grQIrH71si?L+4sARPgM5+nm}{*J%+vg~1OqeMJ!QS_OzSqU}*O zZ7xL&3W3Zhi@K2nqFk|iGsYfS~-lqf(w~+m*zCeSF;UUO+ z@Boz}$|+-D1m(z8Hv_Qhqj#<7BUdtQE!?(r)q`nX-D1hhg5S8`h+t(EUh`#{9^}f# z*?_7fzF8PqXE!!aIeLB6f zQm5nBU;M3GZUM#5OOW1aMD3?L=KUbZk$?bfYzT`+lARTb45tTn7HT1h#l0Orno|+5 z_QO&uO#NUxppe|5lAn!rC^J#A%$H=&Ti*=Ow&^*Y8lbOA0oA%Q{c4Fkz2Esoy|-kK z27eVz!uYA*R(yl^)p(@|)8u&&{?6l^dMl^x_X`-u` z=o~z%8V0ttMt=cH&;yu+00MF4(NNco#HFs0r9Uv)-P^`M8WS>(kS5D z0~WUcg1-wyy_2+(s@=xCFkvLBGdoSqc@pYVVF@k~A@x2kD(Rn!Am*~G5h@S;;?h;n z@N7c^gy)s$CxPieec?a6wNq+8XJVDl6ejsdq2afSiUy&fRc2Ml3q zWoNio=k5k2eUc2+B;r=C;*}bjH{JNwe*O*bM4hhaqc)LW3$!(Gj*j8SKxaT;#&@7? z8y=M~Yhw$Ue+wQ&L6Z9kdyJ{ZW#c90H)JuKL?>x^xTCNIu7WLhmTRRrReAD|s%!;1Fg=E)D zB})KF$55KjIrH`LO2+A~(g?vow#B!x=}(v3xgnE5;lTiFL>myfTL$mr$wEV$W)3q^PacbwpK z6rpvj7wbM#7WyT}G(Sqr1veYI_gj$n0i5u_u80U5Z;Mm7Jh{G@mtW(V2I;95r@5v! z$9vbZx;EgK|m+WX;Tj zXj@PC<0>t;jZN#6d87A%!Wz&_<~UY!brUOZ;BXMJymLnQo9hX3G##5(j1=|#U@BYZ zlD-I_yZrH|jY|cxnx?M1dI62x;7!HSpRUCcq=NYPTBI>*+jtpr`-nVnb(Kud%Aids zG+aOZ<2(9igr@@Hjp+Xkz(2&dIn(ZcUkOQuf|oS^xw>l!7<(+i5a?s~Dz_^mxl{0R zrX6>RztQ*RDm5p%;o9c9*s;m!ZI635C7ws0jIu0apPV;zTnNtGK><=|++CEPFNfZ@4J-daOj zrys&!XZ99#?{;NXzOuBHi$H4kxtnA*{w{O`@_s*qR45;pw!LcfSilzzEDU3T6{<*% z8@qOsBr0`}e4nRWLq=S5e}djX_{t3Q;zAsofqVViX2U?R&`1VEeC1#PgM>7%t2>s= z6-gg4%MVjdae(pt*#W+ez!nm)d*OvRm+CE4v0Gy@xijGd$QlJD@ufa z2oy(3PqG6sW40z{eGq&D-R>w1fy#5V#Iq{e3i!OMKAh{Tz}x_GV%26fEoh>;z(Sgm%t zLpOz^zcKS}61S(24JmJ$I5v6RvOmhLeRozy?VUt#kJVcQ3gvElQYxObG1n2=i^PnG zNVVlsX*H-~H{-5YBpDhun$S}>O{OH@l)wE3J5kg>QS1XQq;c!!pw}yf;9V8^SF`dS z0>vy~Ecwt9Z{J zq8L)NS(wK@pnPk%f4YJASsIDy)@Cr(EY0Xm-xGd>5N>e;w+oU`DxvX;Y$2i7<-d*# zb;kj1+4*C`{hssRAd6mK*xmb$)Z!jgW~CXn{;7cTi2!|7l9XXPwcAjNAjYw2Ogvr7 zXrGm(GMFm{(}xmsp+C)B23(Jt}k1-7HR=9C`oygeLyO2*c zhXFn?CsO)*eKwGl7`XxtC=3@Kiuh4F2Kb(G^8MK&5|Y|2tgwZ8SvD{&9l=bCD!w^3 zCUFtmJkv?;<3G&D?IG%*{2&Z@ZT02I)2xT}wd-I^Q}w;BU?jNCl*Zv1t&% zfH>d)Ci1m0T5%h>jbK2@dkF>nl_AR*N`aLD_>Xd3$W-*ARgP?Dsi>3CFkM=DB4t#P$_D8lQxng z>85y;8fez%ZpykjSFq{dm~fJ_nJ&H7;;(?Eoh5C~J^9^{ZJ|IOZhVNg^Pa_ENUD0k z_)>9Q5qEr(;uN3A28YFUK?-y5q;rQmY&>nL0Mz^p3vf1H!6;HN8oBwMA(&DDpT*YW z5?iNeCb`{}UBqtI(;wcmB;Co0vxFLs$%!SEG6SxoHDSR&W-}qf(UDc31#WWO)N~7L z@=UOedWKG4@WWtoU%SJFT2Voi(SRJQkQUmQf=f?zecg>muOk^qmb(2oO*8NHi+B0u zVFcfZj5v#7!M<2zV$MKw5g+IT7l~-UwgG`UFv6kg`iGi{mN*AnItUR0iMnJ+=}P2+ zr8n_)yJ61#6Ck~m{xrw_Vs7rLB)uxkkc%F9F+)<4<^i;|kkYu$wpU4_on~q1sOS%K zsNLrR@d}w%hY;{7DB#D%x2jh>n%P>alF8VC)H4R`LBeY|r=}k$`j)hPmt?~f<@8ga z(}!i}hCPLTOz1NCs+{OYcxNGjm|wSrG@$AU`>2H`&NSHA2~lc&keS2HCJn-+a_p_r z6mZCQ{`MB{a!f%6nU0O;MN@GRM00j~HC3@A;g08w4!&8+8i_J(eR4Jdnx@U>;L6OM zjXjjh)Kg-ldrY)RXqw=`PmqDD<^whu|q8cPd;6x#8(SG&%{BOWm;oNYMSFJL19lZJZS2>S-L z`^^P8rMn#>idLs+=y9@P@;YvW=Nd{Z{IqoQBf+2mzqn%Cg>j5NA5EYVO3FE(TDTBl z)z{q?^*UX5@{Oh3KDp=xc%_jAj-*$cK|LD@&fnT>CSPPsP4>{wlsS~OI2)N4%4S6a z&s*=q3}TF@p~4La!VA$rk-uQ$X-ESSP2Z#=*$Svmg#o#Vv{R*Qd8^Y+yV~2yW|ID< zk<=!7G!TP+9*9QJi43n97bg|ry=%*Li$FOpSan?lk$cc);s`@+-KR;k;zT6-7`wnD zU-xM>M1O_&CT|T^eLmxrb4Cu)ob_4KK{bz&lw9;EDfzg4Q#Ji2=yOM)j!lP6>D7ej zmmji+EsiPS`#7UdnGHVWmLvUkt zLnRD>Qz;W#CL^8IF9KpkpTC`nJh5OFaJ6Qjd!QvjC1>~>)XU>D-eB<)MH977a)>)j z&MYZ%vrm&V*r$br+)6Pxki(+KC|mSqlfLzVzXBHIl_H#|#}U{iufzv6DmYom4rWe` zMV*VNq#k2Pxji@dMJnTE$e7f#6|!+u^B|K(%f5&h@iC$fLC~JCWer7Gct`9M+)E<< zV*%BJX8ad7eJLAI__%<_#~E-KPjfQy-mB~^y~>!Kh}kU31tq5|xo}%6!t;C1t5^!M z!1bq|zm4}+j@w2P#-ekM!BVhRwLkT&>M}s-=0S#J=vk$jzmzwu-6{3gV#iEYhy5wf2K)@Xl@XU?4z}o3MZj<+ks4mN~>Md0-$U0jm9*&;!P9T)Plq zy5ymUg8DLeNMgWM1{=|N?;gMefr;V_JaM(+EnTZOh;C#m-!=mh#0~S{ogBZq(64YBwmtN#DmV6;5yLUdN(dbmryT@!Wp^3N{iuJ^tS0bWx4L zKBrJyBkGN(qdGGwZZWXO41%?YvU5qot;dw%Gg$l4b*n{s2*Dal3+KJLBeZzvdXMrQu+x zJeY(w&0m<79EaW-H;7UhfPWw`Qg{egF4~6zqnZInPi&-P5bw%w`U}zhqgq{(XmoVK zVtb(-3=i2MIyfj{GJgwi=JC<_`CwY42Roa<>DfdbYfd^T*eg}K6uT5|(&C#4x}wIs zV)m*(O&O=@GPLf7Vx?Jcuj$XukKDO)EpN4sr;*3yz=U@5XQuV4Uowb=F7?XsMmORm ze|_u~O5Z}cbv5XfvR){>(jVq9T=K09w(eWkM2#U+X=lFyC`Ll)u?mmkjugNM)5wmy zP-OcsBW%Gx6MlaZ#q559)SaG2T3_8W!Tf0ky<^w^^~~Olr=h|x*MRI+)ld(*=1llv z=L~MV@{9hT(F`0vcvcNT#^!$QU{Itsp$s_&M=W;N*wWZs_{m$m_mr-J8TV4T5=Jp0 z3&!`HRj_x4=D|>}xm;ggFD(1&y%NRBb1-_uPm9$I;^>a4yCM~=4{a(hCTpTAE3>R* zBurm^f3HGlBhZ4-nYPtyo(7SqM+A+;-}-JY^?UkqXBR1g!As@u5e zj#cDPcyt>z13CFBdq6-(X}cchVSH-c1o38cB)ws|)>=aep}f^*XfO7byLn0A_c?k3 zYf5ytm%NfQ6pbv8XpF}AP5%8k6}{$SMMrZ3b%+1EE>*`9hFA676SXp5lr*92@ z@HZjof%-6805=n^nFGT&+rMQ!jsF||xt)dn{5+U*+$88^;mH=Wb;gE~*~JSEQ@zBi zA8IxC*_K_X=HCCnKZMoh((GvtT^gx3Pjsu|))YNBBsXBD9G~>mw7e<{T$uu**t|4J z9fYNbnJD7$P>eNG3uD)CpvC*{4iy%5A>;n?o22K zODQ39UkWB!ziA`Y*jL}QXQXSJ>O1c`7E=tQ4fI4jG3Xo6^=O9V=c})U}cI5Fox}10X&XEFUsRPV}KIn0al6qpbgH(uHUSW-_!|QSO<4>-7ma!dZqV zHbJd@0W^ch*$s8EtwD5qjtLZ~28rthC)2}6)#AKzw)}^8I?WKoKinA$Pkk^d{AW5Z zCqW?Ll4O-Oa&fxD_H=o;dH>EITa4>mPI*Q%a<^a#a~?XWms_Z0Hs3hzAD?l=ev;Dh z;7c&?yoS0uhm76Mfx+{gf$}Ut^8k7#oNv9M=^*`WU?y39hm}G(86tmpn_Ibs7OUIX z15QJWH@fSaw#^#1#sqPzev*Ma@99c?8BueTP_IsM1IOf8g8~=DWH(f;3id}7bT^*w zO{$Y+Fq8YR2_Ar|h=9#3a!yf4x25$tlahH`Ew;TUSW&?QVSk$H|51J2hb!U)`0YkJ zr(CM8Ao$H0EShDV*ISYz$SHJec(GMDP{^T?#U4;(j?N*98iT}Di5aE-Ah{J{y>(O0 zw&R4^e<9y&;dMeYA_e#>>&P|AKJy>mYme1|6s2;Ld1Hx+#yNP*S3EVRlD9Q_>$}CD z^384D$gkApDJ)IOB6rEa5=+)qIk56BN?Hh8RTl>kYt zTrMR2<@VuCPXM<3l#CAk01gQ=>aib%`=g1JZ!;^?`)J<+{DFF&^{y5(!}urpV3QIhTSTV^12k z^QXW+PEmabh)NERxzdONOEe!M{d+DhTf|>)pc)7jYRnW%d}QvkeV0DkcMk_f%=R)PP%1z6}7ehh1Pl!j$mLN`dS*qMrExUoMrrRY3h7j|HXJyMD zvdJx)0(vzQlcpRl4DR=xwP}nTQwj{)>Y0?b(FM|)Ew|5XdOhO)RVe)3pEflDs>YdL z3Dv-~_(JiDZ4aG>Qbr(nZflOWx^`uWS#Y?+b7+7MkGG^wo|4cv{U=Qw@639x19r1@ zPw%EzN<@M+;wT%zqZWc05av97`(R-Rp2SyD)?h%@EF}G1+Io6RYk?fY{TToXd zrk**5BG;Sg%&BalB{Hb$Gc8#|F&>VJY>d*LqCTE^UYUpTN!p};9Ytz3@<~N8PIc%t zS7$KtDhhUwr|DwDGSP5lOo;cv5PO{sfUqnA%KkinX_;#5r^T{ls_a4ef-vY!5jtE! zUrB|lzPhyms$L#%FiD~FSqH$743W0NFZ74^;?$zEwm4ytECJMEyS^AO*U`Op^P>1D z0WgcCl^Ou6R{ffe%YR6FwQH8GcxGDX4um}rh(AAZu02KMix7D9ts;k z^V#$c1eZ64?)YXY!D&LRAK00r%QsOp=7~y_Y2xPDzg|qG*RzS_xAqL|al{4W+yX@v zXARo{gmxt_sJFV&(M6hoRc{xU6BL7-zOnC$)3JrBlnC6L*AD@#oA!1J6d#(pL7CFzZ!;YA97KFG z3>5m`@Bf9Br8rgaDNKEDp#?*nTUskc%+m7!LO~kU@ifDPKY{U@R~t0!N6iH?=d`al zK|>#_7|8V1`w>v}M*w4?-LmH6X5Hw7=0-i$FivnzwgEgBS(_c&VA0X*V-wiImfg?h zSak_-yGa%$eurfWim;Fqg^-!tm-J8Qv{iZn+ybs zz~6@Ak-?lbt*;HHtpTJI)Gb_n%G;7@n~U>$JZNM=r{ z{uL<eX(AustbK8zC-b*!%FZ`}pfw#P*zGVo*7;FD$$b)kZCLgvjc#k1?l! zY6Gh^uRmY$px^?cd3=}{vOa3}lEvJ2mO;6<&+A6@fxq?eGpJ;7Z>rDdp^xA&sM()} zpk@n{)(PIQn*!y(`_l|d^3Az!ZZ=G)1L*DbB`ibYUcrH7yaVRs3j{q_c18Mfz6Mbb zFDD5es-f>K_B*a3Vs>MlI@bB?A4qZnkW5vE1AP$J7Z!spP=FXaAnRLUXU2__6`i;6 znH2ta6JyXZ;N(aU7JMzUU#k9!Oh&h*S-AwHvCBZ83hhCnqF@2hck21MRK+(rt5Jz$=c>|$tun_eK_NjejH7A0CR%I@Gc;Sx2`s!-f3d&{ksIguSxQsGP}^T<83jAiuO)27>V?Eeeq+O5L4`RYxm zL0mchzPGEMC}@QjdXvemVxp<*CX6VjDfw>$Y>JQ(FkIfND_FiEk_hIUUFfFcm0j9f z^+B&8ikNXGmU0M}sX-W=&QFQCl4EePss)yEj8&FGyK-fJ6KnSZz0imi&tU!)(eCT3 z%wR8MzyjY4hNNuoLq?K7XNZi;=w!GJmLTj@Lc(*Q-Bz7NmOTTJg@BIPuXH z@q&P!ehmhp9od!Tw)Hm&hf_%Bs41h4H4_cc9In^~t!P}%^wdY7JBRSmB}UHr)14FF z*Y`JwS598q+@-A!(^%afCkki3XhxN!9Je<9b9>EtIytIOu6$xv^j(55sshFLLS%JW zmZN{biO`slm4?3!C8&jyiNQeX_nA}s|MTDf{O|wYGXJck+T9?`7bYtEt!NN_Tajtk z!bQP_5+vZK&AQz?D2JW8gSYmozJ%b*_L^R>R{*WkpBX4lVKzEea$by$SjR4}px<%} zf3l$r^ys|LD_c653=4Zn7O&(g3K(zqq>^ja2bcTWj%Z_yDrF<@1+>)MRU&x{F-!41 z-A#RLc=b>or#xiyYb3d~==Dr|qZ5Uyc1MNaifi!2$le+|GfyHGH3BB|qJcY}ld~{L zGEjcni%+3t4E;zpGOJvJL9inSle$Q{$$qc8UQI z<2CQ*7;Mt9=VD=zM66@hhr&PS$E9;cxK&Rxc%KbKM4Seo6)P!xgG&%`@P5Plls9XCVxOw$H>ve>QimR#Gk-M^owpN5je|S6`92IfUPkj}=EWdi+&{gl- zZ!9|XryHq`+$btGy-c3`p34FFJnix0v_IC6Hn@GXgohy!Nd->|kX}@Lm=yU+xHAdC zXIS?EaVr8D>%ig#+B|YEhs2mIJ|_r_{&duS&Ui{cgPzV9t30w}$(B5OTC#w~SMW6e z-_GK{Kd*77BynFQDSy8XV~)g5AEO~%((gS~!~@Zd*HWZGt94-2wz|K(LDdRf0ELSj z3&l_CLs~(mG2gOtA?YoL&!-~wv>1-WzJN38t^#CG596y6F?~(NLgqFrv@@mtJ=qJ& zBT5Ecj#5W9@N$t(W!|t?p-2xcQX4svkXme}z}!vXtuG0O8Hr0R@wN(0TkQU-I3aO! zRvQyk^EF8w&+S2bE5)T!GQAIQQVFYIfnP!mZkr9soJLCem%@ekT;2JAVCvUT4a<20 z(BpS#thi)v{?;q`bjxBom7jTMh)kHwli(JaF!7Q-OayywxnI~Aa_YB@)8|SCDr8@G zO`n>aLr*m$a~6ayN#l?+RmQ6%&>y_Q@^D|iMG``%dO%N2N^z}Pf-g_pE<$ZX)Ypbf zr`o-b%@jZ-V10K6!(25h;M-D_q8A@9ec)@sUE%{eCcgflT)DS%;d<RE!mmlJZQV8Eal39PKL}aa(Lp2l1hBF1=XCd z(djinhE5%tF3FjJ_`cM1p@i8;{zb!0ln}U`lYtlMh4(G01?k&BdJfQ2NA<5j&F^fv zy}G9sFkzStrs_f*_A3Aq&D2(we?C*hR_mjMcm(-h$J3N@{7{nMC9~?uxRRjkmIHY} zR}rY!-2wZ;N$D#U4kSJjxRT(hlF*}`kk1BR!z1CnH=)SUl^U^8OXZa(<{Cr&VNS$> znVNn~m>f*h#5Z+9P0A)=Q0=$%Tki|=J=Id|ZmVcMdpWc-W#1})@8St_PwS|{#&qZh zuUfR2!>K-@@A+iEF^1Laxk160e%1RwBs*E_97P!6$eas zIlZZ$(gb~tr+%Oohi=4sEkr%-B&8eK5uS{4`bE368pp;$cR!{rWO~j{Jvx*(x;qIh zYe)dF&IR+US%9j?@Oy!6{ORfMHgNhOy+Qtv}rZP+H{ zoptWkX488Si~6URYr?RBofSE zzC}H!i)1qKPJn2rc;o)0v~@0-b+vti(!DTred1PCa_WVVZmbjCSbeyap%l5f3svGF z8gwpDY;%$t{c)f>o&t&7CK~bT>F7uVQ>qUfkguGP7p*_-^2w#utB`8Ap8tvY!Gpg5 z=@DuXnCm$|I7DPH=o6^FVkRVZ@^70|%{;P6FZ$-7XBh2MMowe4IgJ4gf8H}&3Q&up z6DH#21`HZcZk4GA0wXzDeey9|kNw*ExH-0`u|E0A)!I&ot}{KrrPfz$lbNk10<_Tr zvuDrWVD&^pH~qqebkj@1H3}-g6IRM|e^gM!;NX^CcLg5m9KC;O;JZR=gL(+k~tC&q%Fj?DEF)7|oZA?VZgQsCDXS~@Z_aPkY4 z%$LJLC8xKG@e?wG7x<=UKv~XOsZADS?`F#zKpU*;;=BbhbB*DejvEdGoq2_Cw@7K1 zlAeKrIF`!~rq`hMFK2&&ap!=F1wP81k-v32iEwFDLhFe*)d&A|PnRh2LQKpz9}OO8 zVD2_^y_(PcgDw;}f7R+i?uJ9Il~*n-X=F%0%HE!p5U&7wV9D^o68T~lb~@B62nM#7 zZ$8yVu-n=UXvKgr+7R^-LS#H}WpTu0Y*8YYloyMuXn+E(@j)`auNzXas7Ze{qWK$% z7ZQIPGOV={uCr!)>2N;*a;2q|Mg8-XvwvlZT)r}h*a0|wyn*0@PK1IY=p$sad>k#= z%#>xcRwGB-GrMxMR@?hLAQXR58h8V+YIq!;3SOid;8+;rGc@`C{pWxG^MA()q=zQ= zHHR>^{xrZJ1|SZXoCIQzX}e!DNF%xZV1PL0O_~XR>w_$4tZs(?hMqYlfn6&h*L_`N zOGzZMa>EWlj?yYsc;|VnkTF!>pC-?+ zG46|hpJbxO|Niw)fBnR6^jQ?~05B}rVpa1^t`+WJg z@4x)~8+PR9fBnb5|ML3v``70q7V!;{KIxA_#C&?HrC$UTt}j)NZOxdIyOxhe>38%h z=vM-@mz%#RC_bnRt;8Dx{9P#E_&|A6H}{or_prADlgzcB@Pr$4x9 zhi?&sV2C91HQK$>7|`m^n*{tRB8&DCAH4H-Q6FrZ2wJ-h-&V|jdLXgv-*&uh@{Xqt zt=xQN`V)RD^U)H}Li6zoNF`J128up4obmHWeW=u?cQ9@j{QOL*Ks^4;<)eb*%2;}> z*4P}>oUVKq(DRbJJ|01=lxJTC^9Isi134~jyKWVZ^KiPg)tM~+*<49OyZ+Y6O%ma> zFzE{udy8aiP3(R~Uw}a3?yH&J|q{Y`zcS|SLQs0{8UairsV=$z9L39OITcIGePi+ zU8rt@w;`WStr1CWq_ki5wu~+&DMr<0xp`?wm%j5uZBJjt1rM~9b-SV zpH^vea4B?qk@*ODkyXCd5}gqB0kk_fX52P*^%H0P@0N#nHIl39Pg9}O=ttZ7v}LxB z#oH6IswIPH75T4`w-nwvNkV}@p~b)#ba$QT4{!L5#<3ep+)v?PQBX<&%S?hkjk?_q z{?@Ned0opK0R67IkS^)f4*>CzsFRuRn@ADM{J_2R1zT}Op*_c1Q46y^y>c_0oVPhX9+`76r9KcZ~4@7gObTW5M1<1 z8^Mi2iT-2yxHP>t%8V0v&?YjI&*W%v>SziJ6@v_(PynbmWIw>JT)ea?Jxr9aEG%=K z35570m)dU;M*_xaq-eF7P}V*trUNGxbH}XsXbAC{9VxBnr^+wG^O<^&xz3YgF^;zs ze|=eY2N=+6sBSBnIdy(%wh(SngTpQq$WRjb$VGw~h948j9iWtpulMvZK+*Ag?o^cKTo= zsX~96NgAS0`6_7W`R$53W}E5XR1neT3}(+#B+BJV;byZ3If#yx-IoSkgT7vcqD=gr zj`N(&m=Ko#_vce%VI1hrhpo3#5;5fyb1nN5trAE$V43KBLg&lQ*qsr3hl*qgSRY zae#zCUt)yIj`svJv~Ly~JJ>hC7#i@U#Btn1*kqz*r?= zlBD++o@5p#;XTIy55*vmZPGceVE`(6)hBl8t10eT0du=W?SRW?I)D4>qR)doe6?6V ze?&UFN@n&roxPS{yPX8hL^Om(BZR>ri@Uk%r{zU?+FT70jpk8vwRuATdi?85t=LVQ zesGMK0nVThC7vreQu(-EX$eoXB8)}`=oz}SVGCS@pw~ntWn0qG9KW}#^BCSqD!f#Z z)~Gl_4;RJ3YITiUGa;LaN@|T;vT4bZKFf`3wFtlJLsGj$yo! z8xg2Vx#KcTGY)uAbiHz>s~ZLMhp*i-X6%~`4@=>-SvM(UsDqhjYg9DZkCnRC0ax7B z&B8U5Ws7?7MqhQvl;L}rm&F3!kMNjwAdQ~Y4~Pr6SS}dxC;bHoABARMC?@_C$vQPX z7@ViF?GnHS-V=_o%kamh4lvMO1(@l-vc<1X8Fe>>M~-^Zgq=fsC0w{|W81cE+qP}n zsMxC5`C_ADTNT@OD&~%MbaPtwc~0m2gVk8AIp;e@&F+bOJ>V?M&jr}NT7L4$9k6Y%P)_`6XO7e1Ts?Ruy%@LyZhl_r< zg0(|H;~!uz-}%%s)EC`kL+LgLFZJLGy0{lApeKY~Ak_t$TcJ%X4yT7`UBKYR!b{7T zuxjXzHh+k~mCgObY{=@l3Y{9cPR9nvEsK6Ywy;%q(>{%M+e#)IyQtuB>6;|M^T2%v z6IH7I;-E=t5qSfElrKs!WGS40Df|Zo4HRpsw{|aC;r~xDuNz~PL#{^!9%ARMe@lwh zbNajYY@=(*XTeurn9)bA>PosWVk=R6J{4qaUxQRCCtvyjZZNN95YLsL0xWbr5YN;NLA4$$cN?lHZGp zzOoW~^Bh|&lmCve#`BCn>k{a=4&1~%hisHsqtqyuD=y_*u1}Ptl6aEuH|@(t+~&Zs zS2Zq&DstnqiX?+(h$(2I_3=ybfgU?IU3>|Rs?;g~O|gUqXFh#hi_u%y%Y3c3rk_wT zfxaJR`S^H-j7o|fU9Jb7YZr)i3GxE0M_e=k`abj|zi~>O*syhmLl)dj;6aX5j zG(a#bdfN@b6_SI#?3`f|K#>gsad_LEl6HQ?Kj-DT6UD#Q3nq-A0~s5%C8-wBK3Xrb z2q#+k&0!(FG=2MfSBCoenSvTp^sMDQC=rep@9~~|a;JY#c8(WrzTV@Qnwk7@3N%U~ z1u9Pi0tk3TLAhCD;62(Ui4(s=Sgv6lM1#5VW(Y_RujnTHJv<|s8b2y`lC0|#{wVuH z*s!qyN68)gW*kUly8_DWuVcsL`~ZuBqXH}aGPER&Okn#VnU+s^Fmf4$c_7UDNu$yx z17H?sp%aN*gxSgd1IJ0d_&UX(^7T@##=2ht!C|6+&@EY7T0T6$rd8bz-VO)t*F}N3 zSht25*~-B%eov2rMRz6m;Np+6E%I~*EB(ZSWLZvKk;$~?Xc%R74Rg!vp7*AQ-X7)E zDc+&S=0gP)pU^R0RT3@3^lhjljf&PyG?_2i`L&SC4#&j>L{-n`>QIgpD`D9nzZFRT zqZ`{pC4CD6lp=PD>zAmRY0vHAmEoOita`^gr9s-<@eHH$ZP)%yIVVZDhKysFPbb zJO({!@%u(7y~ys!2QE!(u1n!kHHQ0iR&r{8rAc^9ks&}i42V6H#Ao&J1-MRYJ?Fl> z)dG)e0Pr7mxha+cMxGo#s&oYjvE#NiJOA~!_x(471V^?yrcR8LWIFkxD(^SI6tJb$ zk@Zw0g7-{UwXC8@5Mo=hS0I`0r#dK^Rj@BcGhy<`jZy$rtbDB;5dMc5W_lJ-VF*&Y zg?CcCtQC`6`9!-$BJJna?N-`|R{xThh-oJ=Tc^UUH7Fi_tDU`sAps|l>O9|Z+@eqY z8s*bK0U?S5bC!ZzigA`QhuoSHzb`6e?@NEN!)qt+EwhS&*~8tc0DOw7Pb3N5<2hWA z#gD&BlaBH|IGcBY$<;0w@rx%Ye4wjZkptF;)Tuz z1`7@z(F0B`+SRQPL1q9F7lq|EuX)@eEXZInkG-UZ1#xfTLXP}|I=2a>17Hzu7wn;)&4=& zrqEmN!rxP&(;dCcYfF7XBU4CQx5hIssKl^KebVa{lkXeey$T~%bS-evG$FEA-l;Uag!wZtyD+3xyW9e_pNk-Lp z4O!5^a{2yRH}r2FxjUwX16{fOu&l2;5e?=4Vh{7Kosu?Nh$%H7l}|9Z53r=@F#eXP zh20Ns8phSD{YKr(VI6(dblraSK+O~os(y>Sf_L%Z#G#ZSsk!f{?4_6_rbM6h3)I1U zfUC5sEM=xNVkIG9b;x1Fs6a@DFSg;0ga%{UXrm`qu0KT^9@H&p@FH^%@YX9z=ToBr zl?fAfAzV@j%TnGA1i*H^KH4CBpL=8~?yHC!9S{qI*dC;pR2E+;?FJ)3SM z1bgPAiU6tnPNPd@KnjL$96cPT*j^yFwdZoKov%XlEHyKxcj>6S*z6_x(pvpE^u*og zcs=06DoE0K+;KVHC;E#clNMnAj|>lN{Q>45Lnu*dH4#9AK%qoXXSc{?Wyh$ub0J;{i2z20o=GOhs2s7i*FeD|R7vn>R1J_0GSxCyW!I%*W@3uI~Ak`a1f`l+MhS*}2!oLF~9o;U2 zFZn1yiO`Iqw;vXtj-AUOETmubnytQOtCQX@+49Manj&ZL!j=t9bz<4tfhMEx$)7(( z?*79L)X_CrUAO&bIr$pJ6`{bmYcPqTkV2h0{bxek`EO5Xzu*koZ%)QsjO)LVVq0ma z=Yy?&>G2_hUoDycwJ#g=lW}qFXgVdv{FX4?n3QCV(YwLg$we{!yKMjg4pnL~@X$km z5G5mW(t6)wI#e+c2|>Uerj+Y@z8680|Ha{&kr3lhXCQHYAij*9b6}=S)_GGCSQUsG zfTWs2Hzt&YGwWRejc~CuU2=@RWV4^UT{0#+oWfqGKXvj?wbM}mk+Xx=jL&aQ@=RO! zBH6iKv=^vdKuq{WT8uH|c_y5jE{&!|2J9a&dfdu|!)?C^-(VJV&&P zTYt?qsq(o4Vil?6@adtv0bM;i71PRpCD(0EThbdste-CQx!Ol`M8~}CZYq(lPAxkt zbdL-FHj7@+dK%O+?!#iQgQhyOIixs^+#3=2rsUFYLlbn{3(Ijl7(ZAePJ$JJj}k^y zK!*E5;8fF=Ee|hn;0XPgZ;~n0h2miI^Jq#5ORC=OjBuu;N{nJjA*z!7YoCkGB?zIx zyHf}3^exZ!DX0j+)4mWL+fHXajxk$d5`j8V8pjR`8E34hOgC@7pneNUQlSkq^P+s( zj@I(t5Omk7=LnZFx|mWLFxk)2!AmR66;+yhSygGU%1^C|oVpF=d%HwZyu~5A8JFz( zao2loumjy)2+TxVuB=+<&l@g99PnaN%A}qnjjECma@tTyxZ$x2aI@3&hxqD*e`d_3 z3ois{nTspjD~ydS)c${`*{03K>7XPHLvuYFxW=0cLXNWm{1=dk(75kbnI1=XId6KK zIZ>lviB*5y;O7YF1(y~{z8F3nS+ChA;!aKECX_h>xS7Ss@mh4Bn7IDBCPhhAW8k(H zTa%+$43ikry#u=&ZOp|s!0`(pzyZp4p zlpkz~NK^M#WaO75*oap5LBcXnXxU9u-O8t;I)ioY)!@}QCba4K4p)=S_x@P904XH z02{HC;CLut_zb&F-XIHGj3y-kL#%x@E_>rwO1-`a5rC*&n~zg<$B{7gTp~(Y+Sspo zXsG@)b|NuSt)btBecf8H*Mw8vsbBG_040yp+^1dsA``xwokKFWumj@cdRl5v2}!xk zrNDAr8M<|}PWUN?XL!^_Y2O(Ad8wv5 zRV$abX^!^8T=AJ)rh;uPo5amj>cx|p1-{qJMTYEj)Q&Zm8?)4+Ld8d}mmwaFB(uu;a`xG=T!SAF6mYFc$P_?$XRK`o2A zL^tsY%K%G8+M%ShsSiVjjhDBGW0iepQ4rd2Mr$svQu@=DX&n?bNlf}zWq#5qzfVNc z*H|N^j1oig3;_x0@d;b+@b5%w_m5lSZ@>>(W$J%Y@x`FIfA#&JV?RWglb~N8Q?J4= z-@C$rpKrH&eRG*XKhgSJzo~HG*ILlWJ9E8pe-N+2MhWJBy;Xi~4u^D)?IE*d7Rz&2 z$^k^Tm|05b*uh5H=jmo*MC$~AY1#tA_mx(QyDRO8U)@z!{uRPqwoo;%M}sJ;U!f-V z>9IcZW1b-`{^N%^{c-t|>D_CHf1+!Dky^W|oxwrrSa4Y|4VcHgpQ*Snc2*m+)6cO0 zCRq#`G;wX|>MEMI5-RAK>M_>hFf zL_tq(wV`+CgS~LllydP$TFx!xeHZH8hagORh*#D$7h&1O-3^r&^Nw|52(AKo^-d#Z zgZk_p2Vv}RpZMeW9Fz~u6m)aKpa=Tpc}(Ho*_50*jKR{+vowD(`0UZ>(Eq0nuQU! zeXVgv<4 zGHusy(u+aob+L?T=XEsj5ck9@Vw8o^RAdQ8hm=&(%Zi8OQjRQHIZLs^-8pH_(_?w8 zM-i`z6p{#aqd?t8SM={$4gaGJ@iGx~0pcWi9<2M{Gw1N5K)q*6Vt(325Vk0A{k+=9 zMdySU-VlL1*{wCX+!(Ufh&8ivj)~lHG^5%DM8{X#P(`1t#p0jx+S};I|8a9mK`z?& z+(G9xBi6fN2t{$h2UfKA{ANWOnkxU@(((tHn6LQLmZT9NLCI}l#Xx~J4kGxPtk)B8 zX!UF1aE2iD$gT9lr30Wm9Pbu{RWs*{t#8NukalEaPt1f62kg;oA}YD`qlYn=izMJq zCWCYf`RANkTbasp_Cc7+moZkyX*(kY!4@zDrtLK}a@btCk)IJ5V3oFcygUn!ulF6v zTJ%|kJlppx_s<4d0?k`!1SeDS>z_9X`f;wK&r~=kF$HHf8od~8;(8Wd*62bGrd%`6 z`6Vl`In54?;SldYThsQsG_IwDfXF9@>H5CL`EJL-E05#lYd7V`E?3rkpyuHc_?7n* z$=;$<19rLw6@QHJ)A>*rc?+%<9`jPfZUA!=&RM@o!E9?F51G7kGmrM8@toEqabd;r z5u&N#iummA^WAC#QJsF!#-U@7i9op8Q?wg~&)rtd>Dws&?Lr%cxLt6YJ^gMSjk^Li5h8-_HjbM=H(s%)ULxVqDCHwjD@ULx}!BfA@TX%@9HNgIkd%gR5qLW zcX51Z-!8_pQtN!o!4Q~tx2j07xo@vV8ppq! zf!R#Qdf9QQNsi$4z~@Ee13IV63J5VQN#wgxNtA?F239V?ydqP{Z8!8G^<}SOjVHHc z`aW5+)3m*l2%}qi{s0aL;kY4^M{Bl%U*ZMR? z?W*0w#=Mddob4oWy0Ts^;S4rWstC|m6#b4q)O8}iUA!1cR}G1dNj~LXWr{exiO(8! zGxbFMgCQDC2u@u#PkJ9CU0;6TP(0cK2}|BZ%f-ie{Q$x)$je=H6-*vmfvAFN0UlXZV`xf;-SOobVQSb z$_@>FU~oszosM7j3ZQcD>C*sf8|$>TE!ojyxTuo6{IpCabu4vXD#%dr_-|R>wbKFr zUpH0B59Ot$wR{c#ZG4-hm-&_p(II2Tf;qI>>VbVnnG8+tLl-bl@F75kpKnYzB0RRg z$PCh=E{F{vTB;^|J|~j{G1cU?N-sG`8M?F*V?&Ol#qBs|_Ck6ni5FrXBO%LQ z04El&lCoR{6CRnVWuT`Bff9|JTq=33>3k?RW01Jw-A%kg8IAVcMYWj&#D9LR>u@-^vpF%F~ID9(&v(gJ)6o@XxT6S_l`@s|P_ zRs2jNgMG$}UGm&XS&p={s_x7U{8tM{($PTzvPsyp2bJvGWb&$k^(Q(^4 zrrJb;6s96mDx4q5?4IfFv~vj(G%P{8(F}?guh_64<6C`e1qQqtKJF1d0qrtshFCrO!1$5 z0Ss{cj-HZQ7eJHdFGAY6ZUYt)AE86cZkmcnCu0w_BhOJEveWdYpBPt+#WlQBJV9H$ z<$aIitdeL7I@UoiF4fCIanMJAAB<#3yRPG@q=^G`4MCl_>V@p!i7KdCi;j}@SBtwj z`4gJ`gqmL@#GP1l<9g{nV_u4XATvNBqiCxtfI|-v;Ain14I@?v>6vK6Np|V{Qz)13 z>{z(t>wp>eS-6o#TVl4*YHo8BL2)^WY*XEd=_Pfbglt)cEWf1d>Hos(*ZYWm9IAwi z?)+miVDJ)@Fq(sjAc4z#ht8as)uy@HF1yf#f8;}ClPio8+CwKL2_&-zHiN#wQ}TgFxpxvD>Y#-H_O!xrdQx|$F@%1bzg?@FRFneb4xhP)+d8}3H>q1VvBm1o zxhq|6Bm%0bP^h>w_WO3DgAC-qXAkLi$;_C|8mg0g{-dSyqvdtmjAT)f zdM-f1MwIwUrT$(#7R3E%uvuC9e+}6PBj~VDp>WTX6xl?vfh|17XflH?Yp-Tu#vY)1 zQMbUJgD0)9gIU7=D${O}LJxR}t|f~;mLerM{Q!Z+=aF)>$+b!~n|PO@ZObUk6R!uw zeio#V3csxy?)Aus8jQ)X^EXOFVPA>qVKhROR~4nTu+OpEvN}+wBX8KBz!T*8NmLb8 z%>$ohuIiNE77${*%0z9QRl!$y5CjG2A2u^DNlx+%3Q;7OlxgTB>;b0q_(`t@TDYz# zq@I_;I~h4?8Ez-aMp?L##OWn;55ALfMWy8(#Hj9$7~g|+?Zu*C4ucG=WJ_Mf4^=(1-V@z;`}qY+#!LKU_=cQ{yDBU~zb`ghcla>Lh3MZihL7-U6r;vuv9j5RiKu_;xqo zm_kysKgZ4%MIL*roHi;dgrC*}boBs5A0ByBeM}fz@E1HiMua{hsccPVDJFVR%=eGW zLq)vJA3a#QfOZ5@-bmF0FWr}(5!<=q{@Y`1hlg>*h=4udscg6*E9Fept{)SPQ4s54 zDWE^mF)BQ)C`?mKey5s8G+`+BSRUV1o`?R<2M9bFjMWpU)Nw$E>Ctvik|G3C@dbu0 zNjQRM#tZav;t}}ZK43VpqdY}V*3G=vQa!fLCx*Fz%1XaqH}Of@1i^jDGe5#j647e` zgfOekCKRtNnDo+a7%7YSm zG-K;!9iPww_})DJm~INT)R|nUWP9tRHYy~BLQ0|Vz0@q1by3Mk=1;!w>PbzB?%}H6YiH$B_xXvi3$LfhE+??AX9aEdj_5;t zps9k3^VB|blmzxGV|@No+972H3H5_RQ2gtA!A=D26?@Cc6EMCg-zWlf zvS7fD^`t?u1UD#Iu5@Zd?m2!#jjLVpz<1pBc0PZ4i4;mQ{0dCf67B5$VA}KRZcDq! zZf`4DmByvD#73w2U4jIg?xbT~zjC<@z zm58BG_!w>vy&#|dC#@&8Uc9VbkR(mm0hO0wY#l`Haxv(2xEtT~;T-6$F5=+kxCAo9 zO?{3u^Lg;gJ`{S0^{tX{5iqAjrSR9`U#pB9&0+7W%<~9SA87XVj9+xOrz!S|tV;jr_bCV=Q#J?PMf$tY=J+j;Kx6!}w~i=RTyu zr_a@MQuTpK#nP&<(5@xFKSxzd$olRDYK06I4vzl&{5>CRKZ2$iLSrp4?#fb|Qw-BW zu%^Y})@2%XBi{h~FR1VrR8*Nh`E1ZjWhaNNW9Y9xl`?iX0<6ZzKtXvTX^>v`XFAxi zItp=~oHxIp1TrAU1MmCnKoZr8muV_Jp~b`ZTr=pTe)8jxnSt?qxL`Vs_vL(=>Lil( zB0~4n0v-E5jxkT8?MjiP@X=$Q2i`Bv)y(o|X^VsXj17E-t-p+|tZGcq$a(6@*Hr2` z&W7nMs7Gr8?tQE;?asnUZ3y?};dls=B5Joi1{i*=m+R3TT^^cl4P(HI6iyG%c8g+m zA9c=Dox5P-?7Ds))vT?WJ|W8!IH^&)0kgLp%5y)5#riWfMeGcZJ`-gO0_a1ko}jFX ziOLf}(Cx=a1E;F)6n)9P>D!5sxrZoj2oZPiHIJ=1O=WSqu1;5pbO>)I>u~Bcc$anW z(RSkDKGzIhrW63*qJmyvq$h8J721sRvU}o-ujE6ufLSuuOV}OoDsa9A*6jODzWJ** zP;_WAJP$!q>kJNr_59E|K$fRWiosl&5#${l&_uc(>q z{+fEs$mlmxfT~0(zY`nDi8vYqCFthVj*3w#Y0`36%u0zCQL%6G!ai?y!{payRNq)% z>LeYT-;C`qlwxi08fxNW;@d?sz`tB7qu*3MK~~a@uPXh z+Rf9|QdZahP*ZDs=GqAV>mUR?=0*4NodM`?+}^TH>lM9x<640U{%JRXDY!`6X6yNj zWTzpZ0e&PI_V0mH=XhX}UAk(?n(%;Vn1R#hc1xS`5zeAar*mqt_WLUuWK`X%rx=0u zAoG?W#B=T0N+jm6)S}`E4NQL<7uzz_KYJ3viUi*;9-|=vybw>g)3~bXuVKYJZe2OX zpep81t{$9)97e!nHr?F&k_+2$eDd%Yhq|Pvb}h1&i0&Q^AR1HGiK;Ai9y4H-%pZnWn59O41(4D{wIHCf?BBA}KWM31Ic}OR zV*RGQ(T2`Qf9W=B)gC8~_ zD1gyk!8$Ad1`~(FWE?vLd{7ye!kW%{vz5V86Yoli6E<&R>9fK5u)m)nR>*!UF^tOd zV{e&8TVr-Sp0F7M{@u`93LL2+o&M^JI{w4M2(1=mJt>64&>+0@IT1SjtAVB9vxLMw zTO;bocC5T}_1{u=Q+@k?-u@!2r*Q5qFM^QPz~Z-%5m>urr+O^Bj_7+G;a?!|m8jil z+jZ83%wlKFBDT{hPq_#EI5+Y&C0A3r#m8fZnaw*|@T$AMc*BRwNFz=2^8Iw`N$9$F z6G=GPL%}uU>*>%p6TyX<_YhA9Bg3Bf-Nkz&;LIRd{K|^u!6cviSnw#rYP%I@MxwJ}hma0B>Vr{oMLQ^Y>ltF+@b56zwixP*4?-pI`}RK(2x zVCzFKz1UVks7U|gZz~S}VCXQV`oZi$NhO`D_5y2gXJ7|E6RDRL8JD!^b3?{-p#zPpCup=^Q%>yD5HaDk{cK!T+m-nQ-}#`GEC(*^0a&f2rm3}d-(RXUal zR_teaHha|zo+b#dUrxzkS^o%Oky*3OaE~(mGrF=98V}VB)ulmT2hSzYr-x(sxxQY0 zT%DH%Unluo(j z0!rj=W0dd%$avSp0RW)7JQxU$|*&@_g{^;=>jG5Jk zs@YJ{nDL5ac`%mY1KZkK@xE! z!-$CjDtr4Z9!=JYY$}M`>Q>TxC;8LBLZ!LbH^mk%*;-zGN+tg6EvTZ+>t2rJ>WcW; ztao2IZ~{D_IsT`_Bv-qoF7CxSD* z@V|?pjbzDTbR!(x&l?y0Z5GWjE#iUelD7!E6iFq0fN+VCV-K!Z)$|4=tS2W9%Mb;& zkGjkm!V_Z{k4i~l*LoapW9Ymbp+HN_t!QJ@*fv-bX>r+bT1;bUL-aq938eQt%i8)S z;6o}L{Y)$COc;e1y>>vO>qqzFRbe;UeOQS)4WjYbRC!1Kl zc!cxoqbg9JdMZDaIi>~(F?Wg58sBe1XGT7kzH>n=!_KqPq_pt>ZBMM*yHFpl+?z#X zTy@j%Z^>}j4grp|lipIFC#^jbjY31Ew}v4R97U~0xr9Zt=?TqrmydzRCQVJ|P`0hH zy54%tUz0OMuIqV&!A4Fu@lEFS2n^P}-1&Ydh?wLvrt^Pq6oZ8xlY(^5^}OG^Gsr^mL4 z6{R#EGZKhO$a01QWnQD3PIYk0M_C1im0X{St2KV;0MadII;yR`7xE5e z$u7hy?|O+sCvm|(eKO~Tk&3=d@|i>FS+w?7W97^Q2B(R+yzHfw?)oH6s8tS!a{ASD zMqNW&? z&PTjSQegah*acU5IhIDB~guG5J%%3M~t$Y6w8Q56Z!QhYPJ zqs41xsD5C8==aY`WO>-5EoJ=A+yoV*4Z5WS8W_N~IDH;6I8Q>UZfnj|qdk6^JNM}( ziCwM>Ur4ate2YHq*9X+O2y3Cn&ukjt z1(8MUZOVkRQE660l*$ose)E>F^RT?*-x_?#-RBop3|v1EW{ezz+}B*?O30%3oCNvx zx1>leA~B@)?L@zr2ohbbn1uB?ugxa3#Jp)X9!(n5F zqvsWDD&J@-39>Orr*Vd>c`SPTW}|z8w+8q5KSE8G%YFL=@mb@}!sK*APSx{iWyjWf zc45jv7+*FUjZUusmaoHqtR><_|EU(JrL3U;7tMXlnnjNoZ2@Zx@ZvpT&MRdDR1R0j zJ#I+r{vy%|&Q0xv*aP*^C+ds{?i4U}L@k#;-j}72?|S!kb`n(9C`0Eg>Q7>YJixEB zNCVO-b{;DD6a1eNcuYUne zr=3dDP}ze=L>X8aK!Ni`EL^#gXJKb9=bgsEwjuAVnF%{Ws|QnI0&-9KlxUh|NSJcon{RafBb{MV7_@C*A)3n4K|vjv?0&C^HX& z{y3rxwq;kaKjBtY6!ux1h6Yd>TfaC^N*Tp*No2bqQIlQB`7i4tVu3CECnXnnZup}0q*Ut@~oT}QROk4N4P9n@n z>_G==NpM!L+?2}YYD=CKlJbxpEIDc%<6ck?T_qRE;$**r=gGajLs9>L>o*`2P?{5O zP|IVu`6~0zJHD8vK6pEO*o~1qe$_89LWx`Htup_W4rL$52b|>CY*+;(3|Pw{nZiD9 zh)mv(LyLG5pS^(qJCdt=Ya)GQNlczSS{$MDTvG7iBR40B5%m_4b2OONa&t0*(E<(! zsQ618=ZORB&j@y~509n5s4@<}{I+~4h1nU*^5%+`GDBVNh~+1OSXz%)ZUCaf2|!oR zR2dYt775#$9jC|rg{}qE)r)=mij~G7UOo3gxmG(ER(4`}FPmKd|fic`WSFmjw zemC=}QG13bWux)(<_fTCl6f5+eFI5lp!tX;AqM^71A{~aan9q{4pit4XGOvw$*UFA zP(q$9gN)aK2r+Jovyls?A7^@rsZsmw!PSSOpG3%E*3ZPJO{x=EXZ5izkv3H!-DNNbi?xR>WqE{91dQFS*cjB=~xgEUOC-$E>}ZK6|Wk=zOp`Yf#1 z9z4cy_`B+wz1J~&E?`TghoEr(M-D`kn+W@~F>(I3#eT>XXnB7BciV?Smo&8gw_M1U z6J^gi*>PQBZbHz-dR#XoHsi_u$&H{F-M5!1?OKgu02tq4)=Aph4RCnt*E32stfI*a z$)?wsUogBl8%p@`wt0)OZ`k9&NuQduFCiCAI4hozVg`vhDRYoFFZgP_1i{N@GIHbLhdGsLD~ zyJgRq@`N1GkOL9Hi;)h2iMu7$bEASiv& zOX1mWoet7-JlRU!A4>6cGGO58aD5FcXRdoAPP+*h>&sr=EpG~X`OD@}Z9BV1=+>#$ zv4ZUjiUeiH^=L^1qmex2f0ljgt;d}k7CdJnVNk!XBS(*V{KrjzjWxVW0BeN`$?VQq zG@K~xVK~X>)$yV~D{Zkt$SlQ^d|~?NqE=hXmJ-NEi)0Yo-$Fo^f8%|wO=pw6gXYt} z%6J{bCeqId)F9^RS46Y2LQ;RCHI?9?m8q7<&&4ag01nj3OYP5lQ zM3{xfBL&yJtE{>|2JtX<)pDn`zj^*)dAtJ@8xXuruyF+R$x19^8xwYH{6WK(y zjfcsVs6c_$%9hMuRx?KXj53lyker3!kimFu<&eJxh7L8P*Z|Xx32;?K?Z3dbF{~Iy zX#dL`{g=l;jP~`_W0O6|5ap{2F~~EIW>P1KJ0A=0&jKRaVy^I$1Nzj&Of4j~Dz#yM zqt%}_;}v?%IH;f$H?TrxBm;Fg4yOZB*ysY;YR*S%75wT;eAF+J0tU~{v5bWpzEYNI zSb!8spk8u4gC9Y2?Ux@qaF>>JMRbiHe`*>e+F{sr5GRm+X}v=isgm+K?Y8GKSAXDw z+2pJDAlv@Y*!UiAnIa3fwfZ!g#xO*q&3v(f?DZn1Bz0X-yx5NVL?%InB!6*(OJo^o zv$_fg&K{Iy5`l!5YHd5pQFSypupx6QfSsXe_Xq>*wDX9%d#4A{TF zcLcFn(tP^RBKsGVx5N_1D500d6c%&JBxqFrQYvug3YvSrh+%tu(&lTVh?|(QMUyP9 zsa&Iftx8t_*~C5fzLuxd6Xa&7P-BvyZbfvST*9O1dM3yXmrg+ES_C4Y0#dQx<{7U$ zGU(SZ(SQtsS-k|m=B`8KB7zSC38;gy`V)*5VgYul)1x%YKAWAyIqptrkw?dJAUx=l zGAHBeWY+^Zd*#W$5W{ki8^Us_DzTiCtiR8~Y7(f>#{x(1&NP*KNMf4wxt#29FWC)k zIzr^T388P0Sw23)k7R@?Z``ktgxflGV=K-UZfdQWL?_i8YE%A<%^tg4>aSXpLzJ9l zz=8K^JsFUjqw4&lKx)dc`h)1$>oMgbamyHW7zJYSA``K4Ch!15p$4s=X3R{j z0dINzDXcpVTsqEP77qwXzW#m>(P zLoq7$BoKD^RoaYlA7)3T=QSwnM+I|s%~K)z zy~WkU3s@fp-A`#lfTrwRRU+U+!EATW*iDH>qTuiKA9~*zj@FAyMO(~?lAz!l{umQZ zqShpmIma zq0^J+)BZ5JG@nUN89|Cm=4>gE|B!?ySlv@3PFQsGYB8Cm-;>W)N-8iPS}7A?4vMHY z?}t$uxi07(e67RGN&7_%P- z0ypaRu92p3P`w_(WFOWyvasKv9)ghtO}K(4OYw!FTD9#BlCABif?@vuM~z8 z=3TJO4_!*Jh(qAYmD$MY&M$(D=sTl*0_6rWfd(Q26D##u9+`nqK@a%)>sI&^l<>kDxb`v&Z81x$eg8!ucyncHkS z?H)0x&?mlq>KrElV3`oC=SL`qa(kw{4a9n4k--a8^Ru6J9{GDzR1LsBs8$Q7d*U&W z6pY;xiz%Rg4|>79AYZ)(RGcehFZ|r7zq&Pdhk>B{ez{z{BoL8jo?dHslc>-Q#B18N zcx~d596L);Q}O!&hU4aH%S4}^D+~5zN7SKh@f}fK)Wnq6E!mlRN&{xhR)>phdM>%1 zTQ|8gP^?QCRvgAk$(t;&-$8rvtlCgI{ep7V`)Kaa>(i#VdcmHNO6QUvVwaNG?+S~# z$5U7Z&=E`VJ&u?WZfM*;xbg7pVgnSUD`CIE8w{?DvolM0`_$lEIY&2$?~>$SJlH3G zpMbq<5_|oEAwQe%Jso-<57ZgHsw75>x)th0Xp))(sHjo}9Z~3T(C})5Ux0S`@h_ z?gK_mP{g7HWS`=dNTsbflPbo?QQ4JZBLxfKA0|%B_EYggz?AE<;IE60`8ax6kBqrG z%gmbu2GG3(o_OUrY?GnlgY>fyD?7$iw!%`P0#k*zTfk>$r06IRR~q8g$egV^T2$Ne zk%@=zJksBy9-RzVuCekRf$^;x1lz3Q_!t_rm2K)`GA&pPX($~3mGb}YXUsWkhE&5F z-pc?MZGeUF-?vAo`km(VQiKg@wFMCEG(iU>zqQAm9K{Sy{s28H8puSFE(#0W z5VXEz zYZ|G(l3~8n_a!**f>D2b(_8?J48Y2~YMxBperRLVlBzIC?L?+IOmf7|tX}`UfJhdU zwWaQQe9p6CCWBWTyh7`k4ByhG5LB~vLqeM)uU>YXl?!EmUyxV6*l3q!1h{3JNa*7J z!9BNh+6^P;*R_puhWC;41IkGSOr4Vjtb9fkU68L;{>uVOkZ|GcVz4_-T|OdWdvC(j zGWSDWF>!HjG5ec<^fSU)$+UROa@_iOF@=`Ei^q8L&RFLFQ7w)3T#BIB5ljiaS9#f@75^bpVf{PoqCZz%oUo1& zC8TCqjRN*P&KLZ&_?KliS+NjUQbY4{rLc}kFz#*qW_7P@LBH*1N|RyQxwu{O!Q2xq zL5cj#(ats}=~{H(wm%?aPP^bfxf85tIC7G*WlmX-+0TA+#gZ~h)lomru2K3bL0bfD z>0ulaG7o!i;|-6#%cfuaouT;Y@&RHN$7-aOb^6ln9MPeK0~2MT{WX-4`&sFcLHG)T zSIN1Qyn+TfvW?-(llo1oDlFog1%9Wi+IwI$0&5O*+UziE?dn;tFV2B$D#llD1Y(dw z1Ch`nTf6vXhd&GOED;;>Ixgk?(_(dF_9+OO)-77@wZQ*J*g3Rk0;NGTwr$(CZQJVD zMt`wwc5K^r$41At?M#;Q%x3<>-Q0Ss&XIhF479w-z{baYL5y{jf%;&|bNFiw1RMtq zyl18FN$5&e{b;iTA&L1u;ZiMZN}6*~8>SP)nD!SUTb&O1 z<1C)h++{7lZFJ{JjwJfiYR3ZXKn#z{H0k3a^rvHJ`Vk0wR48`Bx|Eq)<=cC!2+%<} zj19;h4n7JC=>`6mY=O6zrKm6uH12pyQ=HxVE0;DA<~|QTh)Zy0D`iXYQ&?A8xkB(! z6FJ6fiseh>+uh)9?bEWzoC>r`bbmlLFlgl*F^(|wEM}=7m+dI$s`1NI))H@o2l`e4 zE`(vVoag1t#1?oYQ4?q+aM%I2~qDp0Qni*k7kUv^AMy?dD|L zX(x`lbl3@0xA(mEE*`Xle`?n+ea|@U+S)?y`!zqYyKo`|HrLPy&)Vd>jsS_Y+p=5- zA5y>ilcJ;B+b@yjgN*1&35#z$QJZ7{r%!I+ETqOkXkb(sY zMDA{R&l)cVOSARI4a;b9X5r%>z|vF~DzWExv%;KeOeW{X4hU={WBlZ+!B{h~B*d`u zgU&}}4^ddAP0hR6Ee|;Yaeu`_ATX`iTffUFc<i%teC~48nLfZ$G?r^zK9K9 zs-ynWr%i3b8S0H#i{Cg6cH3MN<8=1K#^Oqwwz-4%ovytFOjKzhTg0$&*B6n(@osV(UF(&X4bL+d_!=IwBrVvJ*e zG8zH}DCgTup!yu5p29W*`PgJh0;DKIY^rlx)fU-hjXQ&mvh}`0{@nF7u?4sL-=y0! z$l;FnKJLyU!eP|jNrOPO(PYF>I2!6A!Ln#!U_y*;;wHxpSx>C(veNY2OMXs&K;&M| zJj{LlCYiz67eAwQISI_c7YRjR44FBT?)5nlBdtuVxha{=3*RRi5Ue6*-I#%O-{@r- z)opKYvp6gv&5_OckUwV~52ehZilJHfDSqFlXQEbE7GR6f%T0 zGJ=5s{}OfN5LN$y=0W=k_3!mJ!JLm0H*^0A;Q&u6h=hNCOyJ}Z1@34f&xsvVhc>4l z2FdHCgiuTtZFCa}H$4|k;~E%QCun0gd3i+4cL|UgC;*7}fQc$pdUwHBa#D&WMr(si zC}jUzxM19azFwfwYi}Y2rDW!t2oMloa~`M?avv@Nd5n50nJBG?R1!+_8=XiV55R`P>L*oSF`8d>p6a@4eotM%a&S$N}af7Nmw`r92 zmCr=hcJ)Ab*E;Nk#N*8277$gkFq33}M9X!jq&0mHuF->@ZI+5s+N~4@40MbMsEOei4GM3ei5ubI*R)!^( zwh>n0?;rz2n;4E-3fSa7`80stU31uF_7Q2%Oru^#P@*Ap)F2JVfa^Y&pdRWy=kK&M zuQiloRb0PHlwnC<=$_9dWI9a?crxFD^RVHD@SUcQWFUigPerH6angz(CBuy>ja`5S zK8L=Mb-`;{==2Mjj175f5jSo93DH9hk$RK17;Gm-l^y%ZxqoeORKMIa-Iqm=e6sug z>wW?&eqSAGVP@LVA*YWzt1cMnQp~8Zf0~xMPF=4HXxo`)eBu=IK&|^m zC_}_n{zM*(^Aw{W*MPGd^9w~*_jSx|AM^-$IxPRD{wbv=&okQGv@@M2%{alq2@<~0 z_m6-@3#`@E_)HfD!<(0XuuxV!rUh+D>=)AMM-bik136d^491uX`4UNY_u?45@@e$j zTBt|`9)iBUUYBbLt3ox76&GalzO5jcQ(ikbM&mPn+PECh`MX%h?4j5sQdN4hIj=Dc z3h{iBb(+-i2ark_Q`m-~}-hHPs<`nDx`^mul(H+emyvp$GQZCFr>cl^l`H{_hSMeJ?) zN}oL$HJ)&Pm>9g)gTydlN)Cn@ta96QX=Jv}oTv{2lxUqhsWJv#)w#*Yp^fDTHZ zbvQH^ZbT$_fE){?_GjG^qejP>__x0KYEd)8z?hZ)*pn)tA*~-Njj(D$YWD(O&7&Lf zZ|!<+Xq6&SX2ed^m%*x)K4;Ej^zd|>oOamvZAY`-c=s*PlGg5e>Wt?hS8&v1lyI#5iU)fRt@ZQY;2xoC z)eO?rVlh57nZ<3`EDetVTCTS{+%j>UE1SFg2+GXaU=U)D=qUD!i($fkJg`q9V)7Q} zM-!&LgFDTDCU+S2G>F49_U&FYqB7VN;DlZIZnw{&ln>q&$Nn=C_n^cM({!6o5b12; z6`2j&27)1z(t)Z86_|gG*e{7yfBTveir05!JhJ{}>+L#R*(CJ7pjOJ9#3RRuWN#ww zeHJtx<H_!0~@9io$1^Vlf=~QFsnfkd@ zYP-NUbPhZsKp#nFXG*~ysG)Deyvt6yp1CIiLNN?5aJBkye zIE)C3QkDl-WPkF}M~hth-4ImpFj0H3en;zmGjb^#RP62Kyh^$$76F0Xz6GVcGHgbX zwHdtm{wytZtmTkltf=MEwdHPIqw1*?kpk5InJVD={6GiD z<;E)3-c>w;QyP<1inInIsMst{Ag`9M^`6M}n)gM)Xcf#;U{O_9O^m*Cat7^eRQ`f4 z(>Y`{rbv3^)q!PCJgyql4<3*BR;Qa4K)lXLXE~1i z04!RLSE0Gf2+p5EtJ)si{}`b?MRr&;8jKC_)5sJ9E$=+&Cvi~pPTAj2PRt~-p(QLC zbps^q{8j$gG2O(JQgKPVxPLf7&*7+bC8ww=TJg=S{?+oVV}rqpK3-+V#Y{zy=7 z>L8_!q!Ga5H??j=H6xs=J_IKPNE*BFkc>D1iN(HZT_6Jrp!SBuYY`(&hCPe-0<8j1 z=n;48Z#Xf*=*0A>nPVJ8RswuHWC&&G!ETHDmFy+xnJ(`otw;CMEkza$q#@AJr4cn8 zq2Nr?HP#z~JT+hK0m=}F+1A&DG|XlMX(P1VzWJAv8%F9Fd%B`F!N=y*OO=MzBx@o$ zpk@gBDn2(kwZ4@Y)Y2x4qe~=)NoV_-E7hrC(60Y_jlok&Y0i`DR|^S!lmU5FHDbs1 z{7K2r%pPMW*w#72)u~F_$y*-cJt{@o=+d-#w=fAaJZI*fP>D53ZSH>YELqYbuA`c( z4T{AQu?ABYy5&QT;9US26u7zilYe(h7SQ}9yg?h7lNYJ1s@?U9KVjmdxN7dt$}~D z&YicROlLfWZU@b&+En0oKQQ1=%b9zK>*EF$^d0&xgfxV*!=93<_B0ZB z%S2H6@x;vd25xCW4g$@_?ymiQh!x?6q>j%QbWOZ!kv3DAkzda=u~bMx!3%3)x&(gf zpYoC#iGn*?TiD(Bfc#iN%8!vh4ct3(9k(9ffjlKK%U?};p>0Ls)=1@TWE-w9?jO2_ z@2*C40^|FCsNG2I^&`ElC7RZd3#NPN!59idXzc8o3D+7}F_cU@@?soc)3?)tvHnPL zzt+0O`IWv-(}!0DK_)S>i<7i4vZqkQ-YP1WkerqVcA&NzN4X1F<_@M@*^93tp_W>e ze$|7Y?pNO2I6QCvRvE3X& zVibcP^N&EHK@vAG+G{1}yb=14fSryqXxa`&TY1}?>MPrTR84R3O$1-Db-e*bHLwNR zuiV~aDFrGJvA6KU(OP@!mFUQ@4Yy}__~lW>%2EYsb;X54v5$H^NDke!PkpiuUARPy z(Ve45SRym1ZdWe+k(X@0oyIYcbZ6Yn5!%%q<8XAH zV$Eh+5w)B<(-g&&y6m!xUMv~Nyq|cGLT&O;>zEM;gfvd5I6N1@-O?lSdd+~UrgM9V zfPR2;miDSn>|h(&%W%_XKo=tJk*T1Ejz%u;VlI)1nQxLWKRbQ9!)8LS3bG=*48B-o zn=kByD`lioN^1t~Q2dPp;3#s`@UJjut?;?M`kW2~vvh1bjMQcYbe3m)2QfV17+it7 z!v&4~y8-jHzX{T2BT-?*bmEK9)sy&a30mnf*DDJs)V-EeC>#+Zk61YdXkLb3TMDd_ z(5xh^D+gKd^i!$t61{p!mRQmkoq??_Jpuv)9vihfV*IjX!kkd<=Z=igFGi7@m)0Dj z2t^OVFzFzr4H9Sm(Z!POE=oxTiN?Qf&(CWqS6-P$v$ELE16Y)C(u_%z)P=geLf474 z4TU|jj3!L9;%EA?s(h6?E1bw?Wpu$C8q8dx>zd45)j1z(&KXQiXex7APoPOX*VTAS zMNR-gV+ei+|NJ*60nL{?NCP*mU$PI@{=c4bye_m^rRDP5bOrkui^Ozkz(IYuPAw#4 za~K2-@f89oEjn<=3=ucy!Jh0BXm%<$1@Ef$y(;eUTzkhM-}Mfjdcfy0V*m;hG?`Wf79w!>5SB=}wTp)zMl@VE6$rjZgFh+A_2Ozk>#VRB z#_`ds;tU8A_sMh@g#Ea?zpfVR{YS^jQ1{MfchNX!kW7=h4>PK+aeXe{^{?(%)=c(B zcqbc9dVt|SQ!5p=moXdZX5AC$Oz4aiB?-&yZU`VyA}xAzSP<9MF(FU+5Bk_+tAKu5 z1+SbhCOzkPTKa+lL8ny7Y{tICmo>4=GtYf#|6sA&hJe+AYXcOQs;T5!Y(p+BN*IY} zB3U~qGnq4|4v4b$^ewM<;z&h2xZ(gk!P%+1-BhWpL=c!{5Cu30ga}WLHADuA>vP3c zr8;=LZ}1Sy7f>oO>4I1{@*@Y>(s{fd8te_Dczx4n4%!6|V`OC$Gy7i@RO_Ga@TG<9no&iHbGbKCy6?|g+6~aA zVBxRzNQzgQjS6I~0N7PTWmpct9rl25BMZAT*&hkSSa z3tyH{NASH~cdMjMZZ##eMFYXlYZ3?$6W%R2lqjU z4EzUMWj^%5-Km6<0=ps7q3m@?o zkDl0*P1Zd=$e%irHf3#jrFUkMrG4N~IQCmR>EkCM>nG5#)jV&SfX;XGA=wrz?Wq&NQ8J{p1K#nk>@vJv#vn#!yzw=I{6TGI?EYa_=y6pIbtR&bMT|_UPQ{h~OXv(h09=P~7P~C0YIz5o(MOtKWP4a$n zlRoFk;TilQowE<2kAC?MVohYzt2TpjwnW{O=Kv@^fLa$51JkoNj`Jn z*uY5p>x#`Khxj<(>aS+^PD7&~<9$n2el z_AONn*_s&OIz+|n;zQIKPXF=5r#8epYxK_(85XB%$#!<>KlDVRR8@q+ywdA0ZA0aj z!%HR3NP;%@S9&H&IJRUKLK6k$hYrmvidLbMUCHMN#a_@Pwf&C0MjQiq99N(j6_fem z`a95_iMixGS4v`;jiyY-D;MmeTBNlL2)+t3T@&E^M7tikwP3P2xzD z0h_)P-lmVJYljUtYzr~6Lfof*)N#RnjM#6!knOMwl+QHpk7x&rqY`^-|7JsmmL2&$ zZ`%Ja_-fS3kTkF>Rd1~xd@9TneK}HpH>_VC4IS)?O8;{o(ILoTOPM_kHR8Hg88vJP zFS_rX0*q+J4>Z6VhvF534K$zqQ4IJh!+G8ZAy#16R;PGa&(8I=k+y#m&xOhCSTp69 zA^l(RNDA9T)AVow)a{J`7W-3SiCC77y0!7tL=~_r8Pj2t4P}Qm`k)@hy>FT^E_TJO zspRct29#*)zo{p@*V`wu#h5f=pr3(+v+eCXg~ZUxsQm_7Xmql*1}HXnizXBQ_&&n-gFoPN|YPEU>q+O z^&V^h8vMLa$U_OET%1Q3TinZu+3;;$IIznjzu}za*xXDn$`9geu*P2DT8m805VLNh z6XpwE``<521!dcuaO`ucAePY6wH*fM`J_r?^_^Z+nGjKcdlz}Fm z2^%Jqj?EX&iFh)1uA@^IS~MuhTxm6d-9N1TC_Bi;AYCNwqVdK7DJbXI+@^3~=*iKj zuAP@*d}<18wlf3p9#2qEc_cIq02)4-Q({mQAZE^|8!%*1x)rb=lW1WoPRB^Z-u~n5 zVrP9kInQYbe(eM&{a!)B3ZKGkCw??*xADm^>*GQq>#hk+C*kVVqpGl1Nl@Nt{>0Fy z{&3G%Fab5-1X1?qg!p*9)Z8w>;CTw~4ZA-+P;X~49usDMYWy0W`S)KLfnZWvgmk6* z8dd|9u*rybwhJJIkD%n5jX;i_R;gE;E*KAmpQWk#U-IJsbJCyCcB6UR(SC}!j-^O> z9iF38`UU@(YxI`6vh*l!2FDeW`gH~-A?xI*UbZomE(8FS1YL6n#fk(rck6jJrHNgF;!xOf=$c~o^888B>^%Bz z_cxgPLuCvZl$Su(Fhj%X4VXh;%t{iFMFbW5nAvX}fZJwHa|2Bk__h94P53JQz#l#N zy9@|fZK|W6i53VonwUl@G;Wo!=XWp~*8Rm>!^;Lt+a&wfyVcn7*;K9% zlUL~$0U{SKo7egR4StI^BxJN-W&Dd9n#3%3D;`Wc7fKc$xOX*Ab;J@}4*w=AyO1=r zUyG{?LQadk1lXB0D`HfKcoyIBmQPifZ*tnYv-1Lb+zu*OgN&uhy!fo#o;Hp1!z)(m z#dqB>N?;sq1F#jf2EBjxPw7J_Wg3?`9Bqubu)odvhT!4LrS8!q>x_E-5-(Viy-H0` zS4Ye$b$mtW&o9k>KAu+1_lT5raIr}Ipd5dPL;^^*Qqy&j&Et+@tvi^u5ANS-o2$cA&itx^zhM5(ap!oeM)p)o<t*R z2B+W(G95SgpuaiaSJejsofMF@;)wA3Gmqfr!|!#v0Z|@lds@FOom|wzzZ7o*yyclW zT=iM@k4DS^yx~^>!ekF9^rskK_->3f_gl~0cIs`-X5j+S#Y$c;Nu=@80sWlHUKj+E zC(!vqU}t%~&;n`-068TcwF{a{Wz~olg-kxnqB^^0T8m?tcum-Wz(Mad#$04vB~E>$ z)w8BoB(E0Uds*#ch+;BXeTGv^y;a43eG&8D>Z7M0uo!>GnL0lXB0D*M*xx*c5hCfP z8>}Y*BVpTd45S^p$3qj_IPrb!*c6#UJ$aHmAs&CAUrEIU*apLOALTb>joRVaHnYS! z8KM*M5rdz=MC^Jr2Dp>u{X9+P^ZMaXv5WKV z1g*BIV#v`0l$yPACy0tz%6e7G81{1(;zOEF9w>0LraH6yK}04ONOZVvElA?gfa6d# zo`?1#-wRV>9cdLaM>)Z%M}zzWrUnt-`7%2zVHhf((2WN|Dm`=pjhR#oxYmdSR)=9d z?iX_A70NE|$bjflZTxja(p{JUEoL$ifF1+Uw#M#LxjJFp89xbd8=BpaUzv5c3h|3| ze87(|$$uR!Y0KgKJ9BZnKrEm+J+-FlRc(;p*GS-JVOaA?kVg95Bl0 zsghDShNE=%22%dJW!|2#lgGHp?IW~Dlr-=_yIe( z;&4?}x?3x_kgpRyYkik|M0TZpX8p7>Z&0k7raq%^GbPx4|FqnTRRwR1$LJEvCdi8T z!EJA7{76vDQ63g`yr7*{s8QoL9O=+Dtm?cm3sc5Ox>50H*NIf}!f1!9rA$9Hc$y(# zshEKB7I$eiG;{s`G2C|HBRc*mDg*5@rH7oSr9y2OB z>bOZXthJk|QYn>=0+b2N>RVX1=`+&0sll|$G!ruvp$7ay-m)W(jvfS4#Vy8peJX28 zHn^Qcqck15Gwab*+dJI+gh;v`tml0|3dQ>U(&2#}eT4j7OKW#GJx~72n$v8j-s@7{ zal`s^Sf^GwG#o01&LCMIS6koj(M5$VdVQWspHj--#8=s9vg;`-X z#8J{ile&9jNTsG>5zO>{^id;q%wLuh5ih;!moU`WQfEcKy(`}yp=?fxJ zU_bT8(B!E3R*u+>Ra#`i8f0B0H&Q8m?uqhl|V`vXTH?T zfJJKgwoT|=)$%Mp97c)U$%k@QhCj-bG9s(IwNKXF9UT&n&b>E+3ITv}+olfYFZO1% z=M9ApcO3Ul^I*~J2^RaKjF$m(QIovI+fd#7;eht<=iL^sS?X^h2Htaz<&^V#cGj>( z_9ttvG^ObGv8hiUCn%Q~O4&WfTb+kO0V1UWv;ZN(+?OF~vDv+m)J&8RiAgMUZnwyQ zyW}?!Cy)dA`FB{kMP1!45fLLB=r>E2=NhT&;4$TX*brv2hzOxM3-(?wI-^TaioSan z&|9HOf7B5X5A&lzl+rWBJ~VNtMdBLuA+PQ*`DpAD75gRts=*qlY*fA4{) zhLN`X>kLg4g!Jao8K|F--KFKyKo; z)niq;mQ)7kF*rlK-s#%zm%l!>`z0>8X`Qxsekf~y=`RezG%FMToW6oqth*rmr_r8i zXRlmtPnC6!IMhRiZPArf?p#kzmtnUl5*imig&lb94uyj&A#ldAWv8*Jr5u`O00Mpx zOBQta)QMw}FrG-P&IVY-b+fRRlK{RPSy3!SR~v?IZdiqorR-Wu5w6l@PDc33!^(ge zKc2{>pq(m0Azq9V;3Z)>$y)RG0FV3V|AyU={~LDm-re_p?Qi>eeZGCl3bMC)1e2{r?%`$^hSGQtfzit$~5t+McRp2x0qXf!|VK1}32io|L&&}bXe2=YMRf~r10Zc&x zEB|^iw8v!FrB1q4gt;5lhB5^az<*o$%!W>A$W(GGaq$TB}lvML_xadok3L3B4xoy6W27B6V5lvy%C!9P&w`e}g&oNg+u!=); zZWJ&Y-PnWe=}V$Rk;^^CJMBeC(+Z_xD6m%1P14+(Vxf8QeFcdAhO#47;+6!+*~VZ7 zBok96IbZPc0$OEjkdQo-$%%?Y_)V`-imSiC{WZlYFR56`YN8 zTOgDzD~ru+DsNX1w;u-D?UBw~w=wGet8+l$zFuHUF{Q7L_vFL0On#!BDU@`vv^$m{ z@;1LUEOR=$z5Iy%b}HA6ZBE5ABllM5bAObL^yhH{6`~%I^fu0@w4<-6=<_R(6W;j! zB9;PM4LOY!ek3@E#n=?;>GLe(#6uJ!U8D1x6Kms|Pp!X0)z%3>quwDYGF3q-H1;l@ zANoQcmFuwb(C0_&!g)z$$#&Jwt|~WFti%)5VN!cWSQ488;c*ftAa56dNF_*!0}X;3 z5&)1aQ@g}^uO#DUxtwp?s=anzcuMvNP-cT^d!^zqGF-SXqS1_Phdv-D|De9N{l0z( z^&WB~L~>}F@0slkFa&sevl+(Lg*_Dz#)BJpsRQhyB^lIh!CKZtA#&tZo5kk2z;og4 z(6FQR9Iy2^vdkpc3p9^m3B?+dmT?$-x0LfJC%pCN`ue_Jm~F$Om8$SiVSEvN{TZ}?vfU})~S4wJN7mOD>SX$G7gk0q){#}&rbhJ z1cB@#zEvxr5xu<1(a+Cb#SjiM<>}DVD9NcA;TWnGui1r?p84o+nrpm0p^)Rcz7VY+z^|arC!8I0XMYA0*5H ztHX0%(S*c6diqmMW&POi_>=vvRksn+kiRz68`DJk&R$O!>ew?+Ov+Z2gAVJem^bZd z2vAV9uG!B$x63`7?NDU7$dz@`2#8)lcxjEkL*Zi~N79GUF19e*BE!i957OZsDX1lA z5QspW+uYL^wo=bgk4;q8p=kuIc{pJKt`$Ln>b`#@jaW1=OhikB5bn);Qa}@4qIP46 zPXk=zLY5KUA6{6$?s%pYuwe{(U`zgfpU;AB2f9A{4y@_9WE2PVhX)+|Hx_V96se&_d4nAHUsghNnCX#%(_&c@%Jos! zq>{6ttYX-|0-{UqTyxnLEeI6>Mjk+K$BX}*Gs7pMF`-jA8TC+)tv*8!Q}(S8IcYmG1?|ohC1l!0xL*P*{%JR;14#G#n@_H|vTw+$xju8qowIF8R`B2~ z=L{2=9mS?ei;*(=CTPnfpvwDyzX)jSTU0x~MQ_tYF{QM;v&wQsR4&p&5Z5LCnRWVm zo%Hwe&CK{f@Mu#{94Y9VBnsqpgvU)Uc(OFY<#gPtaLiy-ub@gP#uj!?ZE2KcBtn1v z&4P$;KLF10u%&vmtaYhOl~@Kch+Qwf$RdlTV;S~>Hs6og#AJcO#l0$0L4RDk!y-KT zHwJ-um&d$M#geLiGH1NW9WrWVXXK#2Ec*@r^A^%*{zfNK$BE+oP;%7^Pajh+?P4Og2hA%wt+f5XRafY#NLeO-=u2+MOmJ`bnVj7qnf zpkyS38Ns_01;Dppz9t!`T0onMs6JKKnyx5SRu*;DjN9xpfYp+WnfC;4k_6ydN75!} zclu8nm`7e&!netu6>U~h0Z^F3-aR_L7{TI4UkNIgY>kAazPOUc^12~E>~5$_gOISN zxy>;K9KzQ(#0_;I?AMhfifJzX;2_rbTvzsQGzy$9D>f0^xpC*FS0hYE3%%q)p&HF}1>}F7o-^ z8_v|;5IwnBti19#CI5|%bbvTG=k+;xrPBhO;!x}$g6(jD(Kl59Ft(=Nwy^m&=$jzk zk8xwN9eSLA_scTS!AoCM2UC@r8wIhebc>xESMlCqm3&5F=b#W}SS5sEn$p6!rM+KP zF*~GK8byTj{+=Ux0(fqRr^lW(vyNmT?q|i2K6=b)7&ye^pLi8;&%cuabj?pR$K6)) z6?l>8{rM1g-Ix&Cq`h?yuZ*isEr_PaR8agKMBCBSwF^&>SI(S`?INccL~qU3+n&<@ zy3MEc8&~BPD0$W|6w;sQzRSpNb~_DK^s$2I~JR;8nJBxeJQT37X^yw7K^^y8_gX? zi}2y&(GdJJ0cFbh#TZ$$*OQSb1YXJ;Ci{wGl84W}?K;O7ghhrNqNL~`rmuaCFddip zpmOJOJ)5yyLIufS2N(#DgUq|x>mh2Kd!;tdMKq0E=4aM|Yteebx+y9RNz7J#I_0*9 z?=p}Ii6Jre4+}hJ>!i`kJBZHIwiJjYY5i}vQx|Y3_IEOXJ}K|f8RjLA)GAypho&!J zg9QlCkb(*cSXC)DW>u1Uo(6juBR)}f!R@a;Q57eNEO$fSTWA3PS|!vs0>C8D9FS!OcxGV%oCEB3>TMZ7!y$0F!$?%ywF1hQ791jq%b#`ec|%dcrJu4DLu?U5sGv zXxNpdR9c#L=(j%2^VP_Iy!h=r$MILMHE4pamWc5{3Bt0p#FN)vyCIikWKOE!d5}6 zg~Q^%Qe^!z6t^KE6CD=5x{&8?zoJsp%CA-2cG*?{hL=F~;835knQy!ORUXPx{b)yj zWw=HMJtcDF0Wo{ckumD{u0i-fxb72t5%6gxCGLTW79h)TV#-o*g^ziMZ+MAU{_pV| zf8xO0u$g(;H<1&E5!CpRGP-cGIN(bZf^K_M(XtesZM#?jKDzhu>lC#(!wtND=eAB% z<6Rs{ezPN`EW0PW z9uYs?Z^COl(~x9PGBa-9YuL#f?}G=IMqMnN`l3WX&mHjF`NRJAKYCwCLHK4 zTSGn02m+Y>(Kr--#J*}Byh`7t6ENW{4nOVk1qdqN&j=#|L#c4ZjkxkH2k4c|$H zZoNbZOqyhPlew~|kNC^AFm=;V>N%yBszqakCXety;GVP1>;yN4WWe&uHr<HZ_Hn=?3TV>1kmri1 zw4V1D$B_iZ3#y?g?t&C03LyDG{7ir9X0O7trl&)V5x%VqZ<&i}h%4d8&M^?f2ncge z;3|ANUb^W>EV1flfhc+Sc!URu-WDkIjb>zL6J!Qn_ptQf97}U1>()xiQFeh+g}oj% zP>0yn?c+L~8H*w3NfGcpv(P4G6e%@}oxJ*w57Gc`Bp9|uK_d}nO-lat3lsG0kB4}o z?Wa?P7&j_His5y~$|bh+1~mcLy2+uPM^3WV)FO6J(K)sPiD4)Z*okU0{qPVX(^3%8 zD*sd$&%r_ocD~j`0!B;G>vkKup%FHO+eh_&Pt~2-4&vCW{~fabgoy@WNqfSdZeZUkpBvmcvu}V-EghMK z!=*UxTK{we&U##!*4osZiw)Qv@cL>#oAwP?iHeP8^@OGU%-upUB~gk{L$4>%``)Rj zGPkEF+$K3~GacWk(6$#X!G7eJDuLQiAGeVYYUz zs7mJ8aGt{Mt;@e#UVh(hq_!MgCygh|0Nu0_Cv&;p70!Z2SvT&?7eVtzogA5)wf}Zk zRcqFt*qK6peWfZ$-v?!P{#}0(`hhcjCm~aW)ek*zS~`zDF*OX8cqonLPn*zUP+&}j zm;jU!ieulX^4M4X%O%W{wLeIBUs!-+Q!k{h-Y3W4;;#Pk>r(z&agW7TL~v~g!SLS+ z_?+==^yofth}!#t2n=gfBYsNU)V6Mce@9=Fl}h1bOV0jaM=I0CZAa>ovi*mC66oJQ zuEEYWF8d)|*>DkRVYKyTExqa$xuxZSQR$x15VTeaZ(;VpZ+4kMVh?{$a91rG%1#j# zcbujE@+OuZ{u)gK;UTySUf`yBT3<&8rjd7!ljK?#ZHmwj#i&r=*za&Gk21|r z3X`q-(dpIMvVViN@^UW<$qdw#a*vL#ApL^)YH0*Rq}hsIjZOq(FDQO&YsKtaGx*~T z@2YB~9xFiIcevW)?gQRkBQJ_WwLze@1w(a*O3*>YTe0-R9rFgwdxpyYBqoDZ)ft%l zCGBp7ajoBL1ag;M+@>s*I}h%+cTf1VvW9dl_eDGv1hue1>qW3C@|5#h$K^cQ9E529 zpfZjf0P7)Z0Z}{p#XyU&F*OBLDwtkmI=B61<*#jTx2&6`oO^#}&$TQ+O(>7wo;-D>1K6@s|TkS$$ zrO_n*asP>1)GeV%E#}NRl|IpDT}MPh`9V*e=2vXgv$qmMoSE(I_)gj|Zv_Yzztc2j zmU3Lxku3&V#Zuhd{bEi|dxlz*_IZw0!lTq!Ph%YewuD_3itkdb6N7m!ms( zVlarlnoJ+hBEE;lH3xaS1yy&q{rdcs#Oy7bU8c$~7JFN4o_rp-&Id=_iH;GJGNv9MkES}+0ztmrT~dC&HYT?VgM>S$>EqS^OlW3&ZCf%c|K`U`?uitD%Naof;OhM? zN{D3o$iEb&nu4jaY?WKgKoE`Gzh70Rc)6az1#6APoN+XWe*NBT^ehLj9SrxX!$AM^3|w6_HwD3?KfJ zYG$WyleRf4a`2hFV?rUdJ+&vlzgclA>!c|(42OOIiEhGSnMwm^epF94jvOe32sUi zbp@qP$(jsHHU-C!i1-aqzEq8M_#CRv9F&AEu>^`Q^BpSXks&V6t`Ar&GyvxpFv2bu z*rL1GfiqhP_?`9D1XOzrhH``B$NMNODO+VfVb`ndmO^`bmtOcdXT;YfGp2>A)6nBt zhv+_1WjhY>24XnRu#|Eqqc;Bs(|vQ9aO*0E1xYcy;UXr5QRL$yCJ9dZH&LYyFU7^@ zdMyZk18C+oC7BF%GD(h3-XIghLzfNOx7T+6S<4stX9CATo3bzw4iu-@AfMu8^&`(2 z(YFL-j3KMEs%%sd-+Cz*dZ(W8LfYfsa@HWM^-8dL>n}^UrN=PILJt_3FmOPy*YxRN zaVyRIj{>qFz1g1dmwh0xJ?T>B7f6G5Qh)dGPIaLi5*HjY7&RNu7FuQ458A7YfUz)lT+^~Q_nq|Li_R9X}nqyIsS}jKPyfDN2q(@pc?I z@94ij+c0M^hK8ioAP3NC3LZ=%JeAR5Mn)PX_#b(K)Tw9(`XSbk$?2dF*qAWT&}i!Y zc8x#NW>5A5xILBWDd?Nc%X%PZE`7Wd&sR%$(G14jGAHwY2s`J#O5bRW&otF!YufFa zY`cCU)iX?YinwWi?!dMf{rr_ zsFEtE1mBTxYivplE!fH5R4H_~jkCLzl6AgWc{E8D79fsdOYNyu{DeZ-fqCG+MErA< zkwSl`$Zkn`nLO_A2W5Zw^dL;jRS^fNki*VX04|LU9SX%=9{azZZZHL3E+^)!V&{_({>aY-~% zjkXFv{*u1mXiANzEU-(n1O-Pr#QclTtV*5{U#?VY&IA7%U2oGe9!`B(UF8qv<(bsA z*17AMwI`-b@lK1mW>Mn$KKz78nVE|5>mV2#+k^wltDD#ov?-VU|zFH_lE>*Dzb~u)4qn8uM z(QI{?%!VYS6Src|Ru-y^_2qzmL258m)?`yy^rDf>(x%>9OF^1gO$J2tlHdOgDRF|c z8+kV!CEaaU4%FAQHmk$$j>ui;H{B?vu~ZG;x>H;$tDHl$`EdsZ2WE0=QfM=o1{&%W zr?6xR7PV(S|6seD>*O-=yg8ARDV>HM(df5ovcm^auK!7v_Vt4C+=gn(BOBhC~7`H(i5lXB^_*)vqd$88u8LFog++;Ks2C za9}Pd3qJzsbfwL&Ur7L>)13?m%t!iL9!S+^#k}{12s`8Z7pZ%gVLlOgVyIy~vaUz$ zy8l=m>O39L4+!fTI4Wn09OA*qG`jvipsJTC0#rsp^TYfo6bY3JOIRGZ-r&PQRIija zY{GPv^G08YDowHw;HdEJ` zVqVV3`rlPyv+2QV5%p=0n7(z9`@(V!Nd)PGIVIAx<#&7a+~lwr_sASJ9asDI@qdZ| zp1OlgXwYJ|66Ox z1JNqyGh|Kh6k&Fz>JPY@JJ z8b4fmpTJ-%Jy+-{=Z`UDTBO*9!A)@Ez6m^fpiS(SkE}hX;P=GTZ=EM%M>Z1vDf_3J zX3hPJzQelO_|PnLR*iqqRjB?B%HHsG5l4Km1ome4o$V+edSL+asrnsaC!|4Oj>rOT zVc9pW&{NiIbsuxG`GJ?GVbrW9GQ}h9Whogs2DB13);50zybDpo4|nllI(7P)9@!J* z=Gz)aeYXbn`~o+Jl-=+60?ld+IrilR>bz;53;K>k9Ab(%^;M}Nm0N6o|Ek@xxap}U7+02=!<2cj__S*?K$t}e3?`;DWiyMiSC z&qm$0#($_EPM@RE- z-b6s&iB_A<&GA?*###g3Z$ZWkb8D*&=YWn>Q@I>)0`^wB<5+F6%1`Y_kLr_vMa@lk zu#{J83tPc;E##H}iHOCMF^8>>KqQ_??&mad8;fGN;$2bBc&QiQ2CKygc5qIQdh&P3 zN|lNT)ZD~x)2!pL+D?je)g)hr609)AX-WCT{d-INlk1Mfb!J}k!^5bl>X1zUz4JEC zLivVsnn`-z2k81)e};o*+}u@++Fn#IT9j{1hqP#jJOMPFACdL)(xNoBVF|%GA-LgZ zP}lOR?~SX!An~$|*^JZG7UIc)uv>s8O>5Ed{^^I^HB@Hz(z&rij!?JXdg%n=UEyELu25o=%PFulH3Dq1-QyE) zew3_rgpEjgV6-YZk%mTu(~bIa3TNs503RxI>)adRztU1>U-9tv`#PhrU%c48bF5+c zZZHS4Rq>Z2s64nKH|=P^g&pEvW@T)uIIX9vMentyZjUxm=h=dPn<)@WB-tccZqVXj zcnA8Dqsg=Wtx0c^M~UUlBzx_Y5{GQ03FRc1JJ~wkwCM<3;BFO!UHwY2Fv}3;vw7xU zne3drS^by(BRrf2+5Tf7NTUM^AjJ*icV#u7THy53B5w%RLlGjLy5=ln=p{{fpFk5hADeO?-}GBpc`2I^RVibeG_Dr;7W3x5~O0 zm-?bs805^`UOn7<#AVx;i?kvq{t>0NX-hCQh zYNyhOM4HPvS8D&A6U941yM|=QPn{r6bruU*FR>dLVLOghA*-9Rj|}1Z{DlAQ?()qi z{M&yJDFJBaz2!6y8<;Z4UNqWa&j8r>ZBWU7{EAq47dr%+(u3vC(I86w)l#F;GMDYZ zP-nY5H>%Dt+?9F_pnKSuC=v9M{Z{MdxK1w0i_R)I#>uz!G-(CNsQ=2gpDE=6-9KX~ zoi))FBr;HQi~Hc2Gac%8dp_WRWh8q`6pJYlCczKT7G3a~64#1kA`fVEQI=H$pwzO6 zwApJ)>On>E)S7`52V0I)I~{O+;!|OyPR8I&iHEARjx!-%nXwJG$-CEbn@OIr%NsDi`)Z z{;9ZsmkT#_JPO^z6*_+gkFEj~q8Si&@CUXuW^5g*RmjQIZ+&A&w!o+AR(T<`?s31s zHs{xf$@-p7IBxBFz!)_x8K4+`eS4rcwWeXD;=f~noY?nVj#ZAtk#U}Nn_V(Af{?Z9 zJSGF8DRi$i(;`#p3{In^;<*n+TWzbWCBzZew08<{(q#BYmx& z@O_Z(>vcro^DCMI-4Dmb&A`fO=CaCys&$5-s3Wy>=C3kxbRgz}WhBAU*jh$gZ~TH= zs;yn`1&#fzbFLBH%C<)|f}Zzied*-^x*gH###4+N4OzlV*ga@bLsR>uH_(HTzCaX~ zmT|XeRsFv!Ld$AJw=hTLV+d4Q=FV|?U`TO?m|p(Q!)V0Sf^?L|>BZVa;1L-Qdn=F@ z!yOj75V62EL&jsoF+99>YWb-vpXB477T(#2d zHNW6GXY22q4M$dTN(^|ND4gnV9Q@qQj<7f7cHfT|N_KkJRu|7Iv8IGOpSj#$Cr_Ji z*mH4W$ZYi=`sJ;De@vsFuCX?Rq+}N$LDzk%=|o2Wkg41{J2HGwJWPkT3nFMTb(AvO zM+~^U2e4Uw4*qE(Fl9cUyj#W}5)p>3=ozk#b5a%)XMrr6k=C_hp>$8X;iCJUpJijN z`4ddlsVlu{KO+Rg3r=9(m|xY*XssTfmb$(4#k>Ol zD^w=7UJqAgZeGnRJ)O-jcTcv8jYkRdvQswHs=WA^j!1(>rRq~%{xxd;#3b}`qIPoi zvMS18%$80Q2#{_j5sz|d8Er*kc81i4F|bH)vcT?u%W}M<1#*@!P=+Sj|GYx<#)PW) z_fi3r^Vtb#?5QJg`WuWNt6CCoQ`2qU?0F8|z1shEhTuPJt{~NUz)vD&9k4EC1l#S0 zIJ2s?t*0X4q~kBLjLY3+&qR=9{?WThvB;H>RMt(_<(hFsk`4CqiVuTpB`xwKULh%T z5-Y1ZTsH!_uN%p26$>PKp8qy5wBp2)VeeWsit?iyV`XtWY0g{>y*3qrWu{@V+z*KI*khrc4b}sSz={ zJ7t%ci%4 zH~BoWi75x1bT*WCV)8YrxSonQh-PtzB0Z&^VZ1|H&KIT+US=CYe?8)$o)Nt=J8VZ$3qVsqExsYQx_ zw)_#lE_A2X@MCoaInxQsOdqWIjSPPJV}HEbdKWt^%j_m~^EfdO7 z6%?8~(9+L-3FCbb_<$1?Wl(LGx@S2NSXP*lW9|qK_4h8n-R$QVx^}j$d(qK9A5^zC zCgPuSVlt%t1`EKhBwjv{)k~fj6I?kQNpn>CHfT3^F)>lK?uWp5jB^3?>}F|LHl?0F zV=LuA&YkHayMW|h+9;}(BTegGuj?$-2$kR+wxcMpCrQQ_l5!5h%eSN_LT`yN%=%Y` z6e1LX+j;u?*!W2e2BuvCK~k-$sr+YqTT2GH z56)hoVj*)MnGWMCiM;DNl@yk_i~KpB;oP;}Y;nB=@mVy8kcTN=e~I@o+M$YErQnva z2|en!S&FZOpzUeirl@$_VwjNTY&2sd)M!=xi)GiZN)P4@BlMn*?O2QcMfKX-m~y~H>&O6^O#t(ud zekMAozRy|;EDR|0MRQK8%A+-D*Epk+gY|rFSGmM?hzu~K1llG=d*K{QQ1zwZ9BIAbR$sMhQ>qwtK%x5te0f$gRYW9IT{)dD4Orh51c zcqNwd5UhwNtY)nMz#^bE1?hciTt-%OG{4~SHN*ORf5=)=&o9Uh;JJ*-_a%o#%hD$O zSgYn(=Y~uA7ok7g{=C3qjQz=niVPn~OWpm^4x5>aFKS8-0(0rW zdv`pbVwxlc7Ctf`?4tPj*qE@><4lwfVWX;OAEaPa(oxX0<|4P+S>Ib!9BNEn2Y(N9r2;4!~~U@a+j^D)~xcOK%p-r?Q5rs5@DwPFm9v0 zh@lt!@!N)-3hc4SZ~bl?^TW8WW`6X%i6FyVX2Z^KhDNWNt5RzeD}*yUR|3dPerLR} zp^RTHOu~xD3yz{2WGQ4cUAmBVBdBTEHpV_wWdKLEUQYbM!QMq_C`jbwZc_O)HPr|` zW|tEo9%;BKaW!E>5S--*D^)xzvxF>B7j2h>BVXcub=-q9CI7L{HR$-aJXPr8=YRb3 zLD>g8%ZUl83J-c^jKl=$dmkZ5j2|uPYsh2+N?{(%$s6Al)!h$gJ zKSq<}CralOivrkDj^8daVb-xMuTlzd`CWAlB}UuH|2XO3bvGO zV7e$B%g|{%wGwDE`1^up;keoI{a`pjdhou)Gp#V^{aH-F=5?h|oPql3>a+*0=|0${ zK2IBFQzgh@ZOat*M}W0p5@f<=gqnLI))S>ea z`dlP)&2lnw*8A>mOlcO4N^Ow4%a+sLBP@8-%M+Nfm8!TibpJRV^mFP2*c`qg_HqA; zbD*X%`{dK49lRMo(1doqAS@3#A=9-5LKt!%H>RNdC^Om|d>JmgJ7q`VR)4c|G8(5@ zcI1bu(r$tMzV~;M!}7(khkqHC{}=RoC?i}Sv->P*C=649Y<+Stl}B>edbgeXUUo`0 zn`DUm<>wKj#e`N}pZ}mARNA318Khd3wQEL!KY2YVSpe2xJ+@#Xf-J(~`*db!k7NW; z%TY!!R@9vKyq6jL(gfrH43KaN?T-(AC9ZX%JCW zT3=Cu=thM7q03dKu#z~U0cXmJtw7acoq|`mI!$-=1Dy zVTX3jWY0bjM+_{qEqmK;1;G@h!8zBUX{&A_eSp74yx!zWUrSG^l;a>nhS| zdja*FGbw`VB}JkWLA~kmWzO3^5o+qIU2{C1ta*IE)i{V-t9~}gIx{PKjh?}6iN(aS z1EbYn#50+2!7@YAMfKfupLO;lE8AYB!JhJfRLDDG41gw5V zp$bO7rZuI243)8id?H(9a5db85w4bBagUAjNctvIyPy>nKK?b9RHW5>a|D8NmtF*d zuZmS(lvMrTw(Zdqw&70f7f91cZba>JnXEH`f5S zgs_UI!{=aGpmet!`6bfHrLQe*;b>rH93TOaM8<(zB5H~LFDtiLv2TMqs*B=lQpY&8 zZ|sB}A?KgHLWRzYGJ!J>{r;F6w2u1aw#JFn!I=Z}h6EwBJ`p z7tB+dk!|Tgpa;r1?4~#VlfJ^YqZKZwuvsr}1=#K)w&-woG4gMj&R2=zV3TEx+Uhmm z_zH!Ps$8ymq_8yfBLdT=!8P5K3{hMc)LNb*@g(E#13@c{XZF7+O%MtbvOR-i(yke8 z?J|$PMTCXGs-QXk9f}}GytC7o6kML>H^f|+97?*KcVIsItxhYYb*hp|s(Co8Qh28( zLFW+s8})+?OfHQfVdi=`jJy5?6TwfuYdAo0b?M8&hRBr1D_Uek1D6Km9R?z`Dh;^o zZn3sV^)}UHOi6i~4rop8{6|tAyPV;18$(1X+3MFkJ&-7~ROQq;!cjDV7l*z^q1Sd_ zqN%ro`8tmPi;G2jRxHE@nr@fpK*8-ycN5YJL1`fiQo=jk8n(68GYPKjuuF8ymEy2r z6e$r|(gJ%tzP=%PA0?>;7;i2XP9cSJ*YuwswXCuRZx9RJ+}4{SN5>K!+l11>@6PuX zw%SvPDF1kCDPseiMp(MGa0alIsY@FrqupmFz#OmKHO>d4t4AKCmHXTqjzagBzhNtB z#aG)s>;qj6%!%pSl%SE>)~Mk8EWXnVQyXcjbsz;mzd*AWT1mVhRQ!~tckf&zUw4k< zS|6b7y7WD^kBMV^%gKm~VHieD<3tp=Otla^yPqErtNDZ0hnENvuSpXdQW=-ZnuqEe z(=RqPU8b;Ot2dpZY(V{Tq`PlkU4DWDJ{wKT5)17*;nO+~x|VqHPNh^77HK@$5x!Cy zns^E^O4lMKe^Bxv0zqvtPdbo~ow?#?$@t1O$^-nipq-{C$8uc%f%$I>mrvm7H|R<| zIAXVV^%uOS!te#dAF?4M2svJdjFgxKB!Pu)2D+21h*R@8Zb7sX`!{3DbHUY-qNKHt zQi$rI3sS9Ou0v(b-~Whp#Zv47k*DhOfKTq_7l@xmt7#W@QlT-MDhq_A(wjQ$n?~Rm zA_SV`!zA`L+?_xYImsxDYw>tlwU1`8AeLghDtq&uB|`Ttj{HbPdn$i+U%C(|Sfd1D zgMg({n29S&TEpYjmz7K76L>3`U^Fq34(h+S1pO-$+keC3-TlNJTumPzW89-(lh6kz zWZzCv%hFQ#S)6Q@eN~>A`XN!n(X!A=&IW{uAxG+-PL<20mbE5dXw5IqCaj)F^QRub zcosch;*P6!azfs>-szE3>?Prpb@>)LkS#`6g9Ha2`N`^-m#!~epB@$oP7S*c7FQ>}cQB64ebhGX2_Kxn1?@M$SLAOHCe# zhMpD)h0FRr{S$3#APu0VApH(9!Lkc(1J!Ss;o&!vl0jLTqRKzQTpKieQ?tX)s1z?A zYg9fb^Mas`I{L6&H=K?14K4ziLSsu@B6CguUN{j4r5>L$?vtb}AEc$dVVwSqR2|mA zj!%oQ&bY>H!M{P~?*&PyMl9{lKqbblMi#G?dFemR*}}QlC0sY3F)DoDm&$)O{cAK> z{6;vP$_T~$E>y!`b0nURwz!65lT!w4gXy(bup+ZH%hXLWN#G>JVWvO%p4tR~B89Vv z@>}oL5WQ0CTtXTuQVFfQ$XS8}QPi^rtqna{BSwtp5}uotGKm-j7$ z?cDU>*SWQzn9+rj4qA8!e4h(SP8?IkE#KMbktMrt5@3h(@WNqfs3_x(a#2D6Y_B?C z?)5ykO0hTV_c~K@M%Se80hPn^OVfaePWTUGLy5&2vV0%a@c`x*S9^MWy%?n;$T(G0 z1Kn?75Ri5v(Id~YO?#~4Q)tio^28Pu@YA-aTspW;Ji;Y~KdE^NJm?p;7g0;rpISwS z78y${@X3F9;&FY$;-o2K>=<~hL5pNT4l62jLYGQQ>P8jEsp@Rp(WG+-B2|K-jB#@7(4DiM~`%}EDARtwA993MzEalrY{>b5DPL z{=?!%oHO2m1A7|%9S-8hOo#Iw!I~o!WJtqYs(k}ZEfs7qyfV$hRBvCIP-0F}dtpp=FaOnLISB>< z-13i;__2W(HEnCn#-upSGxZq>TSszM-McuI>W zEk2>1&D~-imtk=%)z0ApTr2B8U#rTTSr07~4*ap52*3%o3uFdgm2Kx$l zrru)3lP!?Wwi0$eZ5wYks21R1w^>y2ErB`-l{I^|`?)q@j?V33|MT5pxvycq>+Oth-#NG8N&>00>3tG_?GD$* zgDIv3@ox@wbCgN0R2rdmb1>c(&5GDuX_6jLBkC%u$E)WO;=g#1YWG$Fw8E*dh_{~g zv#PQV2ndDi)r!hcY@8wg)s(jSoysi@K`B&9q<{nS$J$s?=GM^*Nc=9IAJX&NH%9G4 z*%UHX=%!?(wZdU)i|H?r<4^;@FzevIhf!;61+Ng<0TBFeR1S<>4FM<=q6C)GXikT| zP%_mzqz&s?-GfvNL?wMUiuDpWc49FS+M^S~-fSsXO?7Tf0Z1TCPyN3s#6ShL0vEf< zb2O;1srh6Ijz-|jlf2ZxKRxR2TK#ea>#Fqfw~i<|ek(-%!!UTLdI?WD78=3XYIzze zQ}=Kj!1=Kul-c6Fi{Jt;bay5;wX_8Kwjevb0w#k5o|gt>ZG5P5k*?QCT4QPT-9R=^ zcTVQBPURv=rhD`7-)gp@AH6E7*yLm%t8c3p_qxS-PW0@_>q}(U*NKrK_ZTjpY6d1v zo2f^&1DmOx25Vl%GFrWH&3@Xn|8|=cDV*8_;d+bp{P>?%{tWG{p04{akN$t|A3@3F*~YSUGK3N+z?(H8IF_gS)jjCp`S?pVDTO~O-W@Q zLNhT&?NUpccDUubNaY~|PkqOEAn8XmTU`&!uO1%Z8ef!iW_sQM?Mh&KHnInu^CNyf;8r2UCrJh;3e%z6%OO+R**{mFlLH5Om&7|4dZ5j=E4wx>XLB_@CU|m__MTl~HtBHq zp%SD^*zH^?AY`HJAM!&hX{$8>U(vn67lS(e6 zV>!+`^>6R)y>>ck&Y=``o^3g5n+F}7!Rl&^kM6Ycp75LXN&l;s1*8W1KeIu6pR!6#i;*mOoaK2prxGYAVl!;O^Fob{F(^U@M-%Mg5 zyO@Ca(%_I2BBlS*FdF_BsAw8%CcPtLP# z63#V!o8z49zs9CL8{Sy$;q1plriA!%LKPfNNbYV{N_O()Vxiip)H?*0hbt;{MWFcJ~GQ5b=?H0jA)zGV3kfVGJ(jwFJP5UwaWU(2)c z)jEHYjfB;L6%;%%Qo56TRalCKGVg+`5R|K#%K^~VIzNg`EFv&gE!|cP{t89`f|*O} z&C(7|r1ISCo~f2^w{AofE1E`Z(B|3-PLejYLpUv>F$^cigZh|;hoMf+)0v#)@%hZe zH%%bvihGRia>GdewI|l855i#sfMjqj)= z^Vk&UIdC5Z@SGP=4;D;ol5bUL(3-zPqwNB?O=&kZK&J4#K}eptGpH)@3YuaY!;9HL zNmBEbr;)B7YK-()DrtUwR_+K~n*1g8vTDGtsiT8S2~@5yj3LgVk9DKxWF=X{NEfKO z9KOUrz2jWOR}ZmmO+Spdh?kmeV-YwVd&U%?XCpIVhm_nUb+{pj`~qG-I6G`c3drdB zWPjG-X!mHC`u>KC3G|*PtMF*w#)=3}#Tv$1o?-v3GD$U{4feUF{Q9Xi7yT?tNjGgO z!w00Tq5!x-n_pi^6la`-A>08$ZPVi^od)FVrtWQ?toUCEihbtwbOnR_I41ArEWaOZ z6p2$A)T|Gs1A<;B+Ll$elS=KKY_w%if1A3->c&MiERSr;g*Cj6`*jfGEbJoLRl4D- zv)oIbN>#7d8lg_&cs8maqw#t0Ir?~>n_1h0NZCKzxneYTZ5XcP^IOpBy51wrmC3hi z%bDc<6a_H}xM*59r5CA`mDQ3&ZJLhD%FQCPa?Fg5awFRQc5zc`$$R_ z?M$6B(FrCNpixGhP&HP{ZB?&~xtvURO7$#U%a!Tfm4s-7N9SdmiJl&CQLOkb0Xyi+ zV!5k&LZDD0eEc`Wf>Fv?)3l2o9!bJ!7ER6yBiH(?l4Pm+>PIjk)jyba*G8LfGH!P9 z?h$$kR__tmt{0ls+ehdlI+c#$cQx? ziN;ILvs?5+%q?WC!n#f(H}r z?kox;9VnA}cgCZ0R87PoZ<(Rahat^fDbHceY1(xzQQBO)~NsaN9Be<1Fg|7hgqrxyVXmdrZ( zY!~}Mntz>4Z+-L;*I5M?IP!+;=Yd5+{=>-iIbw$VXB+`_hWer1;0KPY<;!gL2L9*l zUen;^`5A|#P7fFf4|m7AgTENaa6ip$(-hbm$nh13r*V2o)ansi+{@m;I0!vsN2)dV z`fUfAW+Z9aT(itaQiTne)Oi2JZ)5rZUCS017at7%SKAbYOf@f~Rbo2sFdRgqp^&gjuf0o;dOkMS^{eDZ-9H&2?w`bkV~Kgae)v03{2M?kH| zgNatj?BuNi=sGjRq5W2k#HIksBpL}+i(!}h`l}CISuu4pXgnJu8lKLuE7ygvn}hwe z^hAaR{RZ*4i*}c^8;XiR^Sq$G7ilpaEvmmCMjDbQfmy%;9ah|?U(rU=Rv3=LU)jmn z0egiuH4*>4fn+Q@Ib{{A(vqpVcOZ#0pGOK#yIep3F!5Wt7FR3QDA^LxlgrEz5%fa* z8Dpy;GOMt`D96h~ySb_vfgi&mcmxUO3XASYzISgx21wN-7oLRDtt!*TI0*%PVS}eH zZ;1zf)v%`M*i4^}#P+#S{;d+(-!f_`%1hyp-6Af!D54^p&e$l(In@uBW9|t_==HcPF1@Xrdl30tUjU^WUCgNeXoQ$-TGuR3=J5r{N` zjdXL(JCQ$lZQ2e4s|62GrOkBS#hehlzeUZA61KY>ROUkN8tw;X`4rC^Vkk~tMdAh5 zeKV!l^)%QeTp}f;e~~fKc$<@Hq>NWKthIjY?FoB^VlQC91~^4WncY2arnKj5r9ZFJiyZEtzGl&5|agWYWLu*cuMRV^8JVA<3ieWz|%A|V( zC0!P*!cj&J@IG!$E?HIo@le->u=JoC+}?*VZ1ps}u^G5>#VCv=lR>^9&*4^{iM%V5 zi513u*2lWczQharHZ&23+943TksRdngYd~54~Deb>6{O_&zxk<;7uiP&r(Q=ya3?| zd)Ep2^Av%N?Yvcl&5T~JS#oV_rC=fx>=!Jg_FwPs2!d5+zH|)P+cC6IU8zWtUMz_x z4>pg*-aFmgvCogYD$f@cuiN#G+ zdkio?pr=uA;iZ7E=5Z?wN8Vi&5)$KtyL2{| zxR9CfubKS?R<1HpOdTfyJn)oV>j!$r!ku|60iMxRV#o)C(4sh|noQ00{v%kM9rQ5E zWub~Ee{=qqBuHjRZ)KjaHAi z`rVAL;@IS8iVMC#*LOwL-T8*H_OuwZ_LLP`&3H?EE2Lw-nwC zUWZAt0TnXs&z#i_l+f2;LZabeKZb_GMMGK`e<%5VOCnV@Sot0QiDS?uIgO_)8G{Ag zrfKrj?pf2i8jXhQi7q~cZ&+IM-)g#lMi0}VB=X2UnQOVS`v?b(m;uD$BsVPA0W}HZ zKu>IW8t=@Xbaw{s8mdcnS55h)s1mnca&0au%qTl?F{n#Uq@istw-c+9tElpyu6N*F z9!JXB{{FNZ;2P`M{7Jlac-I5PWhK$YQN608;~8b2n+zZ|c!c}Fba4GYsh`ZJ93nT7 zHkqw@bGrX%PpWW;`r8+*WYBNT*kzWqkaFZw`e||{-6X5Gnua(%l&Ba(0djHbrB2AH zGv|s=#}eTy9>zb_Pj|Fqtq-~OrO+W_9Lz-HOHsY#PF(Ty!KP0uKm65(7_A2D<#ZDc z1UBBfhQK@e%f`i4AiAFZI0Jc( z{d!DDj+Hg#@5N)IP=dAsmIgM`Dz9Y|O?Y)UAGnkSYUS-tcq3vTQZ-q^m>;8b4Rj}D z%G9e7`d+Ow-Tq8B^*Z)3Jj-XMCm1m*LE%p3vM2|~X&LhUPp-1`65rMhQ_(N|iTju% zy*qQc$5b$2lsSJ-mR5B&DD*IdI-5#{?)))RKr>g;S{6m2+Z@^`X?_n!7qWDADQc>d zUad}@Rl`y;l)~j{wANU*VS8;Jw%?l6qcNaJLH}$w-38VX55G7rh`7dWi2BVdSb-}0 z#;Q5cW2~)2qmnsp_SdhtmD>TbEhR)kWhrJn=ljK`%M}K>Bc=hPl<4gg>@y51*S|Zt zu`t#R7!ZI8yy+R@O<{UY*=mHF_>5U;`S=E_hvQ&w@VSu_2Yg!ZR-}uUlY_rBf>+3T z-E&$Awecqs2fL>QL{xB3i;al)Jo~kU$s|sRiPfP}RPh4kf&xYp3@`V|3!!@i#V5Yl zFuO>zNESYalu6cA_w)k!M>kx#YlPz)OILg#i7|Iv#8N(J`Qu=aYo5beY_zG3s;6OY zMr9`MS1X!H8DD^Hb@cU;6sfh~c4|?Gn`)>PMnG4o-(98~#5z%9w8`%{)oMVr)L@#B z@N5*RY@WP2zDGxWY-8zc1!af}&b0d9Z~KZvx>_Ho7mPhWrM^R^VP!$p>{NAB&!JyyTEE9S26vnSt`|$B|2Q0n_;zZgnbKcy zBT;?tz}<*=V{|E(udfW1hf}0Y-5FVfgRgT%3a!~mwEX_-8#eHBCqKia>>D@bg4Wfi z^s{K`a~TR+)cLFibrFC~xx#R3@d(Nef$^f&Hk8gRRFmde7d`N&lQC8O+U!*!OFI%& zBV=vL9g2D2^0JFxO-M}T&Y}m$k0%Y|LTQLjw?b%&`o%|VeN(#8R z2xgLg;63^LSxw!>e!T{J;Ea{Rj9`yK2BX~uF>go z-yh$Mv?g$}n(=@mIc=lxLz1UOQI)}M-hzaPTbc3tWE_Dq&BmnMeaU)dq`EV!R$i_g zsfeuP2EH)*GsmFRPk}H@^;j0>Hcx%GUgMH3p)SoSX;v$3ydh}$CBo3AVT+l?=Z8Dm z4SMwPKC8yuqGNm;r_71*9D0&vBRz21{qhCubax;~W6pj!TC-qHqWl2Wrs^=$Sn3zx zHjhV_8Iz|-@vHVY(Z+~DD7jl3;A?RIZapzZ09HWgkF0!dE2QhZz$|0(Z|WOY9AyI- zS}3;3in6TP{rmY!(~E8-9Wz(RIV2}$ao63|JwOekOwfS&)w2EuQf~YQ;@dO6<;lO7 zsCAK2r}q*y%4=Y%JhY}fM<@ctv`TUaOL$HVbJ^)^P};*4(d2r#h`gjwFJ$Ac{=;Xi zwxJ$A2BW6eC(IobOP-%U*NAY;6g9L#f7w&*`6S)$kA8QdMu}6Q;MRV?NBuUOIvSJ` zBFlFeIXr)nIY;h;2neSLY9XF>Pg}$AaW(@W>V;g-+xX=3lg1Bk|F=L=3?A=D7gpj| zn4S3+m~+g8qarCr6H~gQ&}cfFfW*AK@kAt(=GpW`mTmljdAmwn)okF|pE{97!L{Zl zIHTZx<_pYS@(3*+yt<6fmd{hCPV926=;*5m$!;**y!Mzsr{jNvi0dr#JEdDp#GZ`U zyv=I+#f-JSt8^Iv_ETK-PH`>8!0+HRlITlQRvgJx`8{`eJ@nAd1S9?PQi}|2X-eYM z!42B0p*FEe?*z%woufDAkqN=Vk1;KqJoqX7N~Q4XXy96Qck*Q@hZFU$Yz!eVR?4Te zCnH^~IQnCm^tQ85u*sQ+^@oiB|49k2zP)0L9Q$1VDlbxD#o?3)}K_}XfV#N&0{^e}EAf4wnM9C0q=Ab%w_9(BFsN$6)2V9p?GlkEisrd_z+ zpVn2g{xf33T0$#}AgqP9fQpJ@>2>L!@VG$-1fzZ=SX(5vwzoqSuKfFR(m>!idQPV>#qyH-_&-xP zq9;#!_K4%=iSuQrP>7+x4K z)_+sWNF_y%t1|@z5#e+7rjmIulRK+@NErC){pooMVV1HQjRwLjHUUSlh~F{Zj!KHD zEOTifb{~{vLS5gXvlXNimrqLGDhi#JS;K@r3?YzL(DPXTle~n)^m}!RK8xb+Ye+TZ$Xf z0JUI^UqK$``^m~LOGFsR19A8nuJrjR))@e}Bbe&?$DlTNCJeNgn`-squv^6ba0L36 zE!Isd6sd=DB>yV}ceb&w?V#{MN!Eo`m|98r1nWnT@vIr)NI~mISVo1b1y{zR(i#P) zSW89RQTG&E`UbGzVkGrwa5WNvjl3Ugt*`mOUZr3-KVF!V58eQMl@9cUrpFp9U0+>s zl%s&e9Hd#O8cwSKahJWNk&AG@ooTfRPDiI+JpI=cY+kMaOj33|Z`y2~fxof$ZviJ2 z6SQ+FX{0+nI-NoARFq5wYzl}J=d+Ip2nTFV){g`x_F~~m%w`{GN*m5doUjM2Rq%H; z{@}v7XX@*%?5f#{SFJde$`J&$#-^B~pH!QQt_KH8Fy2$VpWFPIY%=4tNELF5*amJ@ zAs#PQ0v%3@NE$Y}(uFi+N~cChxK4zHw8Xg?CNcepujJR#w zjZMz=C57aj172@QpD#(D18eP{_0Q`F=Y$B9-z^o760Cx}nQ}?pZ!lrYdh_E_{WykF zrD4-lUK)VYzDX{ochmW6?fVL*G#&vi&`V@kdiHwVbMc)FztLyo8`%pmu1tWm8X}cc z;XOkpg0FP;<2EI;vthRpl&x}-<|xGI;7kVu`WG$*@J#;q|1L>< z*zX!|5~cNd#F#2O>tP3lo)?w329~7&$+M~Y7H&bZ^0zOTN`b^tX_lANq1xZ@gZHB} zNwXK8;s1<`**;TW2-`X#vQlwI_v5;HX+(58uIo08DW&Dqk(x*qo>M6q*$M4sw>9AW zYRhyG+5Ov!HTRJyd7gnjVl_V?;AtjcHyU!Nk6<+>OKdX04ZItF_>jnw zxm6GE8)2zEa5?|w7;t5Ou~=1GB|fEXpue}yrp>}XR&QlSsq?H*J=wdm&j%$rb|n7~ z0CGT$zl?Xbox>tsbhnGBOot{2Q@t(xNq!gMZ@$(O{rNmFHk&xtPw27+XI^eJ`>&lp zz#2I< z2Yg*vU3!vA?vN&WiyMrxQo*HIsMg}JQf;^)F{D-Z^#WDxb4x!dTaREUYL)yJwKteM~I7civEx{Te3a7yqPZWx^Y@~ zsrN^|bYryb2|npQ`KXWMtl0o+ZNV7T)zq!AL$Kv3C$MQl6U)ZDXG&HrvsP2`z6xb* zuH8svN@XbV{`w&pVk!%o)GN`#D|y`M4J(Vhb=8qhw;S6jGd2~)U1`h=-3alpAmhsq zdbc5|zfS`gK7!|(EVC(em8CE7`|nKtMLS?I_H=K4?Q;g>m#7_*RCjPVurN-DSDo7h z9wzbPB0XvVN?|VXLP9)!iL<-!>`=r@U!rzjxgpeS;=K2qCQ82!?Jzt>Yc8$iCZZ1~ zpJL(gu#(k*yf$GX-CT$yT#S@9gH4*h9Ic{cOFWBiEYCO|8ox^wX@5gX%TSBDQSDFj z`W#M9fyX`)c=UAQd#9rmo70^@j#$gu-{%fI2l109er$DvX_)uJ>p+Mkh$^cl(XSo6 zmD;$d;$S*ZmT4&3?M9}%xOMkT-ogAQ?@QWz#KD$wFB9|Q;rZ6h!4?Y>ECOaO$$x8| z{3YT}4DlWLA(Uhs3(bq~QogI5GK|;G`yj zQthBXa!_HxpW7u^NUc>RwN|Ct+3bjK%cQN?WD=!yRSaiHLo@9yaB1z`ek)u@!D96B z`5Ao&7%2^9+>gwsRHrm64(wXw7EHgjZ!Pz%nU|Vl4bLZ#o!DCo9!B)|gZGdULQ?4u z_M7#vipI(XUFUY9m^4E*?Ix_+!zK=5!;Ezl zJaTRm+h|M>u?_g%OX;xDyGWKWE|l=HBOQ|9u_LsmUo!5$vqR^P ziHQ}rU1@I6Z%l%xO1atj$)+PU`8JJ1>{c0v4%R8}V@fjo?0mk~3GKtXO{-uljAa8m z(KZEma@dJ6vHIYB2M0vrI~{`u8wtd=_#bJM2tpiC4aQ&E8&;WMXXVf1-#W0uBgt8h zwxg**o7f2DeIM`q3JLM^@FLpp4gXSuO4NeAB$>eYXV-gJ)fl{#m!ZJl`}Vvy4M}ZS zlT|w_QP{qbwlU#s8;d_t{|$d`XCw>j6KU7O&l|L9)bj2TC7Id9!9Lqbdi-UM3CyH08qdyC$Vj9$Ij2be=r5+ox zBS|B&a~j=7Cs*7(Hbv#`@T@0^?N)&Gyfja_#3;9xoTvt<06zhP2jvG(wA*$?0_QhU zs0Kv>;6)S?{Uw0830S4y$p^DiCp zG8>*~TDw#nQ3GCBtQ{n|=enO6_F-P=w|2*ReEsZqKm7Q2%NK=5jOhI-N2Mr44R^8J zry38-K9n{cQ)e-6rJ1ck@4J0l@nm6F7Qu<=)J_R-E|yCSLBtSA8e9htYZ!xy*tSXa zC;&MsWx9@1W*CE}vFP@10l$OMFBkg{^zyT_GPS#=eoQG9al25oOQQpSRD?p0b4pWb zx?CC+Z$*xrF1W8@K*S|9c2tk3xbU{{Np~4tKO|C3dK*-8B9E(Xvt8Ia+3~eQB6E`V zVaF{1fGj4XjPJ_2N9L4iSOyx;oKGxFjVj}AaxW5ro2F4UAK@^V7)0x}q}uTutcG<` zTQfVgd)8rA(RT?paK^E+@42W@4{#Z zJ~Hd{rJEK@Df2#k19{he-Z}r`I5iHDJh{nkzI4M^A{}B<9~$*wh#n@g87jY#ABuep z!Ed46nTU?I;u^2XzTiv0?3e@=RN`3_6Da`w8TQ#MjM&j7`A_H)B;P3>B{fWzd?z9= z)^)Ee1XTWIUCIOKnQpgi(c{)T^N&8j!cJ6@LTxzBYbx36nTlwL5BGS z)@v=HxLyADr+@X+zxtc+zW?>F!=KlmKmPt7zyHZ!|Escpz5L(dU;W|7pa15&@7G^` z@%^uV{`)wZpa0ztzy0;wkKflSm|Ga`m{>+E#bP502FL=A#Xi+3c9e~aJJp9JiHsIu zJUW#L#raY<#?B?Ts=@b#OWuYcSgmOtjvw^q4unOd{+OB0>m1?0ONF?4xq<`!GO=bb zPTST)p?^mb<0i?CZ?*3ly;(b}`DG&Ae6SK-z^D}xZ00ay9UY$yTQPYO8o(4q*HhcN z43MA4;GHF~%gTL-)C@6qu=>J)R-QuE!+1|4>i}7XW%6_j#%=_)9j6yE2rdbbD0->2 z=j9?t-50agtDsiI-Sl`p8@2PDKAq}lbX&|mwF0eZgUP=UNGIwoN*m6t&xgaf6*fFa>v+n1RBK>ORh*+8&ASY@$>Y zmtVue$I?Xj*&h^WH7$)TaVPB99211m#G-!q*%`fuKn1rezBe|po{AH@w58Kp{EOMD z6$b@$mQX^6VZAo^!I6~JCUYY1eRP)c>!do-oL&!?dWs;8sRQ8tu8$LA60LfC99H3C zIB7LWCZ(89qxF$Zfl#9T%+-1 zI^m-+5uvU%bVTUY+qg)s-^W!!TauV(9L;bEo8n(k+F`7BaE6d9xZdNCmNBtG$Z6!m zDAo|eO{yET6a2_&Nl&GbnX&m@<9=0d7FNkA4l=b%$lI{SIkGi|pPjM;I?|?X4qZp1 zWeCS&l&3cJV>uniIFr)r?7Fb8v5p$vTx2lUjZ85HES^hbo-_G7C2X&c7fNklT;lm9 zsyVY~WbBZ13u_hquW{~>J&HIJrD(N=*eB5Xn5BvNVK-0Lj*F&InK6yfw1d}HZr9CZ zQ*}lRb4oT_?_wOI+uQNeml(bKPSf7?_O2fqWmh~kI*v>1Os@`U_@aH;km<9&$V(PW zKH+CKD~z?{%TJr_NtFU-T(+o@Q^%PuVb=W|>4C$~c5*X@%8Yg)E{O%pIYErX)G7;) zTdZk~9Xv>T2j|TtRc0}k;B6jAif!nSvq-_~6nxoXcXpFnO^u zj~!q3-V75bs(6M;D8Z7Qm^#??U95q-8%l_7;c)=_?&hihy+o4fb~-=5kTIc-+3n4f(U9hO|mu z6fGLkYm_#+mqk}>*o=f?b`XpPotQ=NJD>0~4)e>Z&1UrIxP*vry;xzgsWm{#m-IFb zgcch5(LzfvjDE$Ik>GF*35I8&EvMMMpg^inzTjf5^RF@La;i$ySm(r(uG*zBg6}Y(MQZ_=s!blFp-Fxj z%$NqI6H0_d6jf$S3vq8+D!1Q_4Oz340^z6wLKVDZ9;DbazHQ@KbsYTs$2KtUD!QB<(#tOA3`8ilSYq;-S{ndh@!6gJOt)xbU;bzAYQ( zJ@1`wZ|)0^zqT>jowj^^4e7HLJFhH`JN=pxm(phRu>Us7ByU=Lnn)PpP=ued+os6P^lTC1Z(WY(+9VZRe{0EFqz)u; zWt4}+%+>KX)qE3@qysYVB+S+h$q5cBU9AoglyLlGlk2>S%C~;Y8u-3-i0>gXa@xPF zwj3FmL|w<#N-n}s&2B8v)^lNJ)-N;AWuM!rDFGz+<`vb^mam(e-;jt2+_1s;b_TX! zXk#d|{9)*hp?470RXbOu0F}Hvj|_yRyXjr2bVbBS9Xwa-7|?OP{<#a{z8oyOIb^o0 zSuxg1CmRB*&5ETWg;;Hidd6L%S2peJ%5gY$NF%fD*-yedfCJ7^nZB=^-38mT5PZ<_ z-9)8Q={?MKb5Q)opQ5b=bdo2l-uFBcsD08yEQ14@vBk8<6R(yx221^`6_Tb+UOT?#(12^ z)QTnsg!qY+@P;mC)Mc@z)ZE=cO!7ABSnl(~hB-@WiKu|((^hU6Nw^}AOLqHu58yQV zVX5-`j4{gLAJF!-Ac%fW`L=j&Cy10gDv3{pb4%?{+zhzB{4AcEYPmE*J%Kz{F@@)2*DwqGLpTeZU-vVho9|HatMJ4LOjIBWoX6_bE(ZQXYjA>K=^u38q2Eu#D!xbdZN-={A1j#zPD8ug8dU18fuoGzG$ z3AYyH97R7r#>?=Z55; z+f5~cy8jh7Oi^=~Dqyu@@e}<%(|ResQ{$$il%E0IdreLAHnve}*#&Npjh?=UYC3^YurWsz?2TSBl(Z)@>L&cATlpJ3K zKhR0pVi*ZYfMpB+Fa1R+RbYuDMRj2F=>DV(P)GH9QEiLDiOL-ldi*VX97^%6wz0uV zckn{T$&e`5`p08);nfi_zu>UyBGKSdqnsF)L%<#%xp{kpI*Orp2&&pcZ}zd4Z~3R6 zEJR$o`PC!-t|77dZL~1t3Cl>Hn7Hmt&zB_*XZ*SS1$dX{g1X&o2h9bUv5iGbfIlf; zAVELqx{t)m*IIp#!}gNChq36Wu66^UPL0;S{IEI1i9F7rrNy9?h!o%|Sk*b$N-9;%s|D>yZ^hEzxWu{b zS(GT$wfyW7G@7ZTOn+>zI7PR1n3;JimitU{fix|Z83FMiaGEKk-NL6w`j!r@%s1sC z%hSB7vF=TThS$S?@qRKVv4H89_H;^9cD*&e+kfBOjxP>glNqQSv{CF6N5as0~Yt z=fIz8D3R>++5F9J{+qn6BcfByB_3k%DD)F2b^7sV8@+%|E!n7CJaAK1{IwlB_GZig za8~9@28HRQY2&A$idz|TDuH-W@gK%Q~jl0X#fQ$vhQ1KTf zkjtQGf;TjA4TF36Br`coJA!yen(C9K9d%TfUbNgnJvJD0wO{!{sC)$kY==5&JmOx1wKqESI2;DwSqK6D1{LO81;CN&K~gz$cv% zPLtEGoekLQ!2C{HUHNuioM0{;t>9K?rKR&Us*mngu)`Lo6&vkt0XNZ2^DzC+yie`8 z=eNPuMxc6B{vjo%#;M1RnGacJpm1 z!PJJ9U#Gd9b9=23{3>28?ar%fy7~eXBue#zikw+73!uk#&a6suK~EjYg>Z!biZXj|lTALkSg#XR>ot~KSvgV_M~V~-A$UyCc+P8Zc^?Z2cl#w#*lViQ zJTHOtidMEa-b7t+TRqdTK^bI&`zB8qx`)e zYc1%^qPMnCZ$j6$4i@hhrn>NrfBcvK`j`K;9#S(Pni*yaG8kn%M_)&&GI9OVO#l?N z>n%gBvz#_f;~j00>9AP8J3C`L!UZ(XgMK+{(xekNn_-uWCJptLGuYg+Gtu~lYiQg1 z*Om(%slc~yacfC3yvVPpfVOCXrWSrFWifHn|e1T~4c( zDBt6+9YW5A+I{^-@u}Ga_`$q%lyug*qhBFSZQA7%Hojm3{XX^Ru4089MVW|qTmCS% zLq2&*hMVZ)4ClEw&{yLaJd1r*%cMpMai>SDLr=7;9laDWRq7Z*LFdl2%WW*5_Vg|7 z*~34?ChnxFHt(Ds&ftb8B4X+W@ET0fM-BhdNm*+{7CE^M(V8@%Sx;T8f9hmO#HHu3 zUXg_(L@DieA&kM%sn}?oG?u}G8FH_9wbH3gy}I&OKTIuB##`ThWLaK&T+sWmsOH9Y znivLI4lBk|>kTbqLuWy$h;0%*BYRuS-wK`6v6Q}uMtAwy)kLu*rZ%#1%J!TzL!7dD z?zBJS1d7`+b~|{Vo&zx>ASvQe;VcTfx7Q5WfV(j`G`lEPr{G=8B}7_NX5b)1C*tvz z$je2dYW}tkIPSYF@SSXwoYtFy+iGG2F}l?JAnq;#uGEHzB=($!?^Eg7l4@9`c0l_w zlS-4`h+2w~KQ4AGEkDQhhhcQYD(^a2zAcgrFDJ@#Uhp{47rTl#YW7lH_w*%Zr=_}~{tzdqQvk>E z9P6)3w|6U)Dt$@HSjJyfvvtNssl}Vx$J!t|^d)W=dK%XrBBz3>+Nnkw-;Ujh zA%+6tH8wruiaP6+Qbd!WMq-{-#%AWq9UQ`^^XGbPW+1T@={MejA zSxSQu_3Zn}2Y0--6R}&g_CGHTvq_$PqZ8B8Nv)v6h(EU*p9OWWqLNT6ThZ+BTiDmZ ziDG?+0yi9%Zq&oe+7u6LiUTRu7PEJeQx>-PZDT|yTu68+{aE2|v5=`zy1*S}H_Snr2F7c?!WM zfL1+?bSh+1fH8}B@$_2{N#Qaki@wV-g3p=}FUCjC66ZGE+R~iSrj+~QcYsPK<3cz< zW*^_e(FW4n1xAXIM88Jg5u7c)b!6W+wuiCDlaRA_?Yc|Rk(_1^JtSKD6qbl-FW*f| z_@w?RPD?DfdA&WX%rV>&E>hTFUv}8x-8LKuVvWCa+%z9I@5wu#rbLNMHdy2SQ_@nE zk^#;|Z_>FAQu46+Go6&V!|w>6CO*@S++d3 z@kHa1K`*Rzr~sZ+vCTfbHXW(O^d)W=UN1(b9e3y>Z*ch8{(Nnc33@j&-<3$EjhSE3 zIaxX|`je;&$;8VR388u;)pUFw zVAi1`&&ovfIB)}68p#e=hw{HVA!k^E=lxrixiBI8eDnNg~PMAAiR@^D&GtwP*w5xyms zWz43OD3%+G1hWJs7{?C2@8nx6e~9HKW7}i7kwjz09^OIcZbg^M8hvlI>%0T zGk!a-gP$K`$jP)beuu%B7=v$=!W+u5qmA63V@Cz)jo3=oq;KnYgb_?}B(94ZB7)Jp zc{!J$iz_sqHV))^Nr5JQrz_}Yh7rYXP`{BwZI^csQAP0eq7IxIHXPyf`Ku!D^uhxS zsiT%sI8-Hk;X@2}cYK`9+w(JSg{U>P=3PDpXwt%aLnceivQ z9Rtbd$-S&gZgD8H{X5#>tBaIuRnkM{Xc&HlfVA?Z+@ZwANBg(KmYjG%YPOA*&lxV`ES1a zei^V*>tFri`(OY3_i<1^|GOW4`|GzKzo#Mo_8))#RvRoU4WCti$~Yr$_4kkRj9ngQ9es7VyiQ1d*2DaYt==8L2DH z3{&qC;2wy;u<8JP-b!D7MQ0WjI7LaZTFzjA*Trd) z{H`}gP2!XXNFXs3s(P!bID9ojjRj_h{4h251s!4ag)!^rKUt-FyILLL=ruiADcv!h z2OaG5vZtx9hpg3$D~(qn$}=JS;f!&4?UCG=EiOAb&U4?36;8f1S4rWvg{BgA!&($M4bV&Bsnj*qlX^7)B|s{N71y6iKLmwjfu*ZknADOc!jUj4>I z{R18^9Dixd1xIF>_imW+TFYyvhdD>_+2=l(Q*l(?w5EN&W)i;V(`R3{D)@P(bUlWOM~TrKo(M*|9#LCYx?SoPf|V zH3T0rtWiSvOfyzOOO;(?2OLK)eoYI9pYUs+Rm7_gUwnKF-@V=NKMciiegmZMfWk|L z&;Yokp*#hc9LLTqB>Ora<2VH|j5&`~5E|IB88tv(u^9y*rO%8mJ>SCN8*oRUzJmEw zdytr^ka4^8bw1{1IOAsG<ZNuSE09wJqjCy=ioy(@6tt6n<8bw?g*{0n9#>rG^@*H9YtbBsUb;Y+1u zhnC-Q$caL1haFjtu{7xduV@5`0L{i!F!NNrGL{=1U=@2YqgrYL2b-@wq{T0E3v%Uo z&OXy@0vn%oZg%A0&6QW*<|*$@=+L?PV$461Eeu>7vUy zy!aY$4-SaXC)F2Cgi|Z6nmwp?xg33QI11dunENi}+Py(rxw&`s!`T;uC*U<5a*XA( z&;EkR%uXkCB^(}UhWA0xgXQo@(dr|zp(;E3HOJRy@7y?DAAZ$tiUHHeHM0dz2uf=7ZVCe7ZqrQnR0$@$;MeWnL|**$4eQpM6-OT9^n28#~5NUvFt?<-d{^Hjpbq z)XF(JU8Z-SI)+UNC;E)*?Xwb_{!f3oe)z>NfBEy@y=(mc<&S^-Pg1|RG>etA>z{t| zH(62G=W$~E?|!rXS2L9z=Cvx5{fFQE`X83>TP4Gz+MptbWRY=R|6-W(ZCyVUzuZG` z1*_?x=A1RMgirtYtN;5~zxf~E{r&gf{X_h^|M{z5gm3$s|M=6t{ppYY_NV1*<9Aq( z?il`;=|BD7|Lf1$e`+Da&71f4zy0+u(to+E#82P(;6$~TDN%O)?)&e4`_JG1?)N7j z{@ZoBVT9`+k`zuh8~W_BB#s2F9D8;@eE;LyKc+AK#d{<4v-x$zk2`QBe|kP2$}zT?|+VrxldxN`yD^7 z%HOV*$HX18i99ZTuDqImyBer5elE4AWdGhf%M$-VMgL%;(cAxnr4KHAeDQ;&fAP35 z>wmwb(&HZrQ!ISYEDd<;ALxS(i3@S>1o9M|xIhZBT*?Ai&@i?wmGdq>BJb6L@D2*& zXG_t(Gi3TKn);?<1ZSyG75|8z(8to_DHV>vY9CM9|@=(ddYrTA}os>l?O)xA_bT>$~_p^Tzh3CO3f zUS58pNYU>ktWyxXX-Jn& zxt~*>!VDYCudKSm7%hiL3}uHQ;zI$)Y20jRvendOU*Bb+Vrq2n z_4U^&NF5ynw+V&}6l2*EfkBp8ac-j{mbOW!#XFQ*E0*YEI)dKZC^e)!6ubkRgsdx_*yST zEzhaCK@-4bE>D0nRVdIhPPC6&3v!au1AKlTPzBd2HJuPY<;LLDf>U8a zN8>%?T5;cd`KiPYm&NIa>CuLLf-}#D3DLMEuG?*pW|-kNd|Ja$5nUdR&PBV4u{$vF z;MPLu%iI!$NOOIND2@%yMbJjdCuGDPmxA&7Nf4tRklMESBXq83kzmDmgf1N9>LVm( zxx+PN@B+Zsl_rmjT8?Q9#(qHvFRhj^PTEF2$kp$(L3!~XDBV8YC?mJ(_Bl3t{z_z`L<^i0{^~rRBCLaG>`NbAZimYx$kbd8TggSx`cQ=H%^OI$$XG@PSP#|$IPHr{ zt?8va4nte&P=OB&OAJpB29S{>> z!J6O>pswsHcK~@yCMZdr7pGIW0`s>`Fn`(&YNW!x{p{2h(crEz|P`P08hXnmXdt**iR73N4u|*%DKiLrKE|d0pNGJEBKs%BOIl z3{vr^f-|L8k4g`1os$5RWSJgoeB3QV}}A+oB6s=$wp}Y#fB0lN2F%UD)xG zOEto!^6&c_1(#|`pRIpjWvq)X)iTcb4^9OKr|`jn+;uY*W>|8moI~g2SojwUE|rH% zMSbkzC-Kk!=U-o8xUV-mNONoe#W6a>p?jwMu+K=t0hk6Ej6U(2%`C*|UdsvkEDcg= z1a;ZWO@avDGYc`75-7CT$wK2pc@{*w#cZyr=-ZX=*~~&p14wSw=0w@^6Qv3#s*eVc zpblc?^ds*%pl4;Utb^t+r;6wZ_US=l3gWYr0l!lpP=&f3?N8jL0KC9mH$p5cgmmD1 z{YKy#^URr^>%vBqz+am=T#P&N+WW*Ex?^UV+Jd-o5_7H~1$d-RE<=#eVxK-E5T-dl z*5XDQ(1(xvW4%-uOH+W>nrGxb2y1((a;4q=3}HL=KveP=NI5gr5|B){?11>G1&}r8 z8Lc$UqYGusYcYLYD4R|i2gg&I=;b2zBGK~8%yNZ#u8b5&ZQ{}hiI84uJIR@ z=N!%mTmYy_bdqs}=Ul9>gtRPYqZPetAEgjcS3Da@@$9l=!qMXgDxQtf@E726co+#> zUZITxgamIh`*>ZFVa7`ni41e+<2mAZmh&fQYhlS2X0qz?P&fWjYj?}YvE!-aQlgRJ z@aP`y#BedCNyJZ&)Xx2EDXxpBkd=vQJpKrV7-G)41iktv(pY)RVV~tR&uKB3f*Bk;7yGFVAn5XSmR! zBlXJ|y@))zrNo8xMdM>eXh7iTO!$jl=__d&;7R>0%h8wOVYwgba1P2Y1dMC2wirM7Pps}tGMWCF#`fIbp^X0(!8@H#G-Pj#CHOf~&A2tp)tLqAEW+8ro zp(K@cxYr1d83A0vBhW z{z8AO_g_8zVtRpF{7>=eue4%GdDT-Z)_@J5vr%isBIzNZ|57VfuX2evE&heVui6d> z9XU&0vqP=dQXfN2{D~d_r?{Vw?$H%a!1@k|)rX(sJYWZ;4g^zTat8X#QDTN2kcv}$ z`XhEi@gwE5=S<+S3R95*MbQNrN9NY;RTfyMAO_7HssQIHbifuFsseiUzGji-2uaa$ z56WU;2c&+qMPI|Av%7o?bV9AuoX`u=0Vc)-goc!b=scVBC3goPd=krd zfhTs{yGPZ2C2-|3h#Z`ccc|t}V)ZDPMdrRm&8&5$haM%(6w*-d;M~yI(MujaToHzx9Y8* zyVgE%LDewHrsr6Wj4q!Qi3G#UJ)a5Gvj7k%+sDP>5xZ1o+dkZ-|te zkfJcX$W#}HJ(2E3uWtB)AHCfGQ*ttdurppSjWp z9`EKN~`KfNnuM~=f-TrT*w!{T<*vK}bUPx&Lz*FhExkT~mi0AGSiw35W{X~C-1wdn*2w;**f<356uyCRK> zU1EA^3!KN5G)c{m7PqegE)6LQV4=$#qK2MZgF9pBLAFvB)Tp89{L84J8B7C7ctz+RW%^b#SQ9aB47|!;z9hJu zWiiMH1b5@!t*s5e3kQAWJ#7|>1|$`nu@#RP1T?^+8%o2b3U>1zNb5Kc0unFwL@Y6u zREGB{si{J#j8Hglp}%4dYyPD4A%*V?v35g^`Wptakz{Ha{-RJ$BOo#=brs7f)#fZqA5=CcR$xvJB*epYb8?0H)3;z9Q8b<{vt zsw(wo{ZSUPsUKW46g|tH(MNVjO0}pA#e}S0ld47K37`q5|xI z7;EbHm7f&&R`^%p2jz-4-F-ak6vXi{2<;C&s^yv~r0zAx)IM`(TY{T#grspXprv5^ zWWq#Uky`8oC0aad+^a|pm` zIZMk}Ya|M}!MQunDfPrzpd@RFtn{#{8MJm>T17gn7wx_tAn_vxdwx(otH5PUcI7!c zcSnD)R9fcqi5AW-u`oPNsEs*)hbyQJP@$q$!Au3WJY-_pJG=raKSt+F1?F&(`;as1 z1cX{5^WDQta=;uMe_1Net4fW0!FQs%65n)7N|r7a$BxXXi@ZiO)^ z?G!IIqZrO<_miM@j+W@=ZCVeIm|H>R2MCSg6`wT2_Vasq#f)C+CD-42$-niIf9oax z)=U26Uh*?e$SROd~%@r>3|DLl!$zfbB8|ug`(??~ERwVIg9? zM!mQlF@GVtE^`5hYRx$(-e%OoEl;^LGk}1S<*gjBJCzJQyb;c40>*w17FIVOBcC6m z3WFOIL%D|@dBR@si`b#voPcZ(ig%bHW1Jb_l6P+hu#`oz+)g%CGW0#|WK|O+b;liO zz&S$!KEn$*YEBOi{1=^zFYe{Tx|w|OKV1cDbAiP%k;;w(Yk5^dIy_n&(jhT^;R0X5 zYmDEdTEF2n{=)DYaRaF9K|WnOYd#H{pm8eEoNuCn+-2`S?wxYXia-vsgfMNm5A z7og%1ekh~U+}a^N<_r&&ay0{HxLiGEW~*xoV%!6?0W$nftwD-+fu&th>+8+SNV_v9 zbSQ(1o4bw6StYsxn}8ag@J(3(agRH~oV>-N+@s7o<1Em_ID-qwnOc*$I4RI*X?+d{ zeaL&mYYj~uB1;G_p7rG22=i&ouC8249JMF z$BJ(DKspJ~lyEbGOA?kvHzH-TcYk4eIxv=NAm%y#Z0z|t%YYX4Mp{H6uj4jIMe_~^Z_Zqbp|>GQoS{0X zEpe%}!X4S;*Pno}rPTWs`oi)AKByrLQ;=Hq2L8XkcBuLh5@X1pzEbH{1cC9ZKS@hnA@F9pH`~Bo&c2xk|xRp!N>`*Gm~Y zOfP-)E@Mrpzjx`Uihn^37Rp^DF?mlC8bfl8ss!z{`NHZ<|*%Mq|8AC z=3o`$Ba+}S2c{|+xqgpc>E13?Dd|Oe%Hft@dCJiV&7>Ddly!^q(I!Y85>%7ap;fp7 z2F$6AB?qZmff92cwbWv5raa9%Y5KTd!WoigX*4=14z2R17^ux>kaxPg`X?7zxCxdH zv17p=QZ{qt@XJz~*};=Mex~^hiP4N`@X9nt)WLV#R57zuS&AOJ&yZMZ3ni1>4po}{ zAtMKU2Nd!z-QX*oA=5V|&u^Uo#y~m0A%9_=A>Wuh-~ZrO$mF?s45hCLvk!5C>b!mm zEEacHFrjB(0X{s2(!!TMI7hjRSP{~b(ywr+bT70}Od-a!L5Je|Ijyxl&|2T5oMyzK z1(KXTKSPzu3+lfad0H=sBb=dD#Yzi~7cPsfKVSSa z?7SF%GG$lbLiN21#B?R3kRBlbGFXS}z)biK!Wk7{XcwW;c)V}}YWQraOU}#*Dktrz z)UTPq4cQQgPx;>dC@GC$>df)E5v|Y_d{}3KxW@A77_#cwk-lJPEMnlsgtmjS^_kOu zLT88a3Vo{JoBJ!C1*(>)80sXAS$qP3OJyEw`pnq%1Z-v@ZqWfq|KQ7&Q+&Wgvx+r4 zP`!dL;^de{Sv=F8smeh2K>6x!gn0FFCv|?0%P2VX%5rN7_bK0XhNQ-{fsK^oft0BS zeNbPQS~x?^K)A3gAY{U9tcnXSpIJdi7> z#KUm*1|TV2!sp&_fW)W=H0>XP%8HaDd2bAaV=2d8M7P)*ML@u`+I)p8g{lwZ$Co-p z4{QmLvcYmbaf+jK`lYWD`DG@ZgEA<+ z^iq7UCqha}$F&UUkSIl~InY2XJ6d(ND5M)sCBN1Vi&OK;8v^gbj01%f8k9F(|^5kLrI@*Vgf z%FO!k#Tp1^f1cU$`N}2Eyj})&TuM`cI-6rnA8N8WLt?m+ zyiCe&q0U*Xy5UOli(K?-E7G6o-ev~4hskiW2V%es6l+jC=OWNK3eDo#BFva2igQ}#<4G@!6*gV`vvZX>AHS-MVGxzY#gv0X*e3E^n+9%lx?X7h$ zbCpsRL$b+#h<`!XQTYotM!KAD1!Ec*SxuQ$2NJprsxis;UXi z32)Pt1IsaUqZmfoDPkRtj#zK&vr+VAN}=FYtn581{0lF0Rr(LrSXxudxN^LE*LlC+ zE4gn%72(OSWXm1c%Pp;&ElVeGi?JqO-%x| zqZIfI53tm}>lv(z)aBoB2xT#QSr8H~zh@krx}%=9oFOU3<4N&i7{0hk@UwyCHK#!O ztbRIWc75%o@9Ke0>&tOeFT-z*)j!XAo^awRF!c==ZvmePsQIvX4zy)1(x}%m2qBO* zFEv~|+xZ=XuodTu`#Naef_)Yf-Qk!Xc*s9Lp%;cPMLIkvF~1C7jNbv=EgJqAo8!=f5+-VKej=b>wL8&!(uX=qrlbHBe7YZK#k5st6;2wuObb{B=us z#k+N4ppwte@&&;Cm?yOF5-60S!&5%~vr5YsDpIbyyzle(@f|-aW1nEjdDB~w62sfk zE^wsgDra8+0p^?s(^2$_REdocdxe?MXiZsYL))=`0j}84OHe)c4XXDIs`m}5_YJD| z)k5{8?L6#?n*+gS*_l-%!CT=sgk5AW+QZ=v^i&m3= z3T_!Q{e9o%TYE#50g@ZN>g5YvJ0&gj^yKQm>_dKprAU4ZpW@U+L!YEftyp5qj zn(zJmdg28qQ>xYl-A2!H0Q46%N`xNW##-7`EH#F)V({9Y4L+qckT#`Qy;G5WvjxpM zTHJJfs-xAXJ6WmgN;6(xKs2yeStQHurkB6E2N)3~7rFLfHDA+CqatoH`*a9Yb@)<@ z#go|Y!tfujguIlb3vS9%lAb63@=B-^sKAK<=U{+dNB0x?cv0GtZTn75!ytX&AxmjF zawBVJE5;zMSi7nG5)e74)TtVxhmR?EjKSRx)>&E3P*>$K-u|S>#GKJw7w*C!ATby`5Kt@HgVNM5>wkAOw@7W14YzBxiNeG_g)8rxeg`JSn`jKs2TL0)TKnj13o1hh5kSDG42f0@-0gwC&0@pM$-Gb-Jsw-ivYK zco6xmMk%XO^8puN?i08Rv$%xf@ZV4z-%uPmilfw^Sx0eD_UIdmBLh_c;xM@V=Psi- z-24CDHx$Rt9!nz@05gu9x8+wwo9s1ZVCHRJxG=}~@m{#ND}bAIP4B>|J&ZPuE-*e6xFr(s#$_*!CC*+uod_WPj!ibl_ciz*EpxyOQ= zE|A?Vmp(a%%1tuRlD=mS;(*JuZMkY}IIE3LnQa7iI)@^PVKWJ7yv1vnXCzH|65M&j z8-Wz%g-KkBx5lP;iy|rG@~gRzx8h*u^>%)Xx8esUx{%%Rmd%FvE#CSTZ~eu^TN`^U zj<;HTKevAvIW>8k?I}=H+Xx5ot)rRs|skxC5?cbq3_OUaNL zJ2PLflAj;4d2?U*Ep0JUVYSMgsBo_0PO!@hKmH~rAgRJ&%Do(VrCWqzZbCwcbt+jT zi7SEV@u~1wd%gnuFz^zOb-ik@%|OH=mxXBMLP`ivDj$~eP9TGq)X33Ec2i1ENnuM6cJ>+7 zNR1o@P85SKJQ%+&VjJI^1ErC?onK3Lhvb?F={Bt$b5&*-^@ZRrfB#m(6j)qsp!xT*HDh@ z2K(Gmsi<7gL>(L=PP^ZwyET1FOMXjB7RWq=X~}P79w%wZZ)6@Hn3hyh768a&rjCAu z%;mrzX{00wPtivo=wdSqaawZXXN~?s@w86gMlMOGE~{IjI<<~6GNQmvxrG9z`xXedRF^B*m^dCJ>y61d4$5&9NE})J;EFESrJax_ zCT-%v+#j$SZ3b{YoOQ~%2YYAH%#V=K`Fo(6YP<>!78M2bSLBxdV`)-0N)!8j2XPn$Bp|a5Ahl2-)&(mPA`lTf%axrF zM<7EUfm}ex0%O9dPb3X*>LnHPzJU{oqe0X;4#WdTbgZ8<`*aV?OG>KBj4sx~{Y6An zVMYSfftiuu{@;`!G18Z!1-78FK1mib>5I!Zd(qsRe89(+6K>_Q#$8rcOk9q{fZETZ z+PSIrw;~B<-jb{`t=d$0DN@?7Xk|Gn=N<$Qh+QG1yf6pxOM!>s&?Z^wqd2rlqSV(z z_Pm)ybHWV><*tO=P3aLMe(qqN__+hZt@7MuUAMP47a6f0=(IPODX6HltPZ?g`&3n5 zP_dbX^wGw;GDaCuM#Tv{B-{Ww*EgjNjTk4d_j_>#*UXP(?#kX(*D&G=6J{Z(Ygpij z@w@=-e}&}af{C)y9;u^=ve!+NZ7@;iZ^c!*(Nq8jhd8`IbTCw4ArtOEOPTD#9Hf0- zWmGwypVXQh`4RI4xqj6&!helstU zx^7tkR2zoO3BMwBTOYny=KLUDH#zAFlgKmErqIdUz5M5_9gJXJ`OuOCgZD zBjdLWUyL{fU|HwGFCQLOV`D7Tbj#{n`OQ7xWufv|#-mZwAr~POC5?4o`}lJ7#av*| zGCX39?PuLwY1M?e2CvJ5Xj0%z2@jSP?OS~-=Jey{G`~OkRp=b56AYb$#C4yRNH{xU z$^>Y-`s<4icvm_*IYB{f_@`1sM!haW&baM>03}Ge7;^UQ75cTMrMjT7v9wfMH>#Jr zO3=c2b37$Dp;jPZQ$3;LswCuh#_L-=W}ali-S%lWg%c`O9iFD#JWQw7&A_eF`NQ6A z-Nae|VCFE{>R0Rot1^uF+tTfqh~Jjt>Q{#Ea+8<~QM4X?>BHV4ob`cziL_M##+jSD z*eNWhlG!ggNc47PoZhVT=dh}>IQin2k^W_d15gT8RgyC(`LZV_ zQ9G|LezWGviZ@eGTLhH2~V1oX&{nuP^Rg? z{4X0Ib=V%{Zf>?fdiOw!^xv>kQ!6Kn^Lm~O3D{1Iq*Tz9B#XO(9JAblMn2R zaw)cwd_dxdi-8EjF6_mbEK^t#^D{fJpo*WSgj(Oy=Zi30VX0RVK?Fq!+@WkCC{`NM_Qo8OGD+-E<#d216Zd1%D z{Va%?5+mdugtPIJ{P5V);W_7eKOUaGa$Fd`7-EK;N0!&GrFr;voBi-FQ7_jIkGPQj zAe568>jZFenXUf?hOG~S2}z0IA7yxK`^kSqf>UZX6SURjcITX(ZET?xsGl8aVDcoZ zABHcENFHT(q)#r>OStU)`2q--aP-ASe=6ME3JAlXA%+Vpf?{rU@x{2}2Vq0Q;Eb`^&1%IJ-_y^~S=SOjSu>qa&t>c@pGQtdaET5T4q}5o5m|1sfDUw`Fgk zd~5OCj!eq~u7yqvjNDmx&~t002LJQltJ=92W51qLgkH{lGgNuX&wWMF47Gq9Z`wmg zwXFT8!jEpp-HBhTN3{;hRf`%LB)Y=G3+O4|h@MI>5F{&W=qb9aiJfNP>)dtx#Q>xS zp{K+-*$0+(y%;@38*oHVmEBFSrZ79CGkl{vF7Rd+&FGhd-a#eU?Ex*+j*%DxcIz>^ zaDht-#>?P^!o?KzDTY~)Nmb_ZQ*?5mg_qLO;yKjEt&Q92h9VZtQeDI$YO$0=b62nm zwbi`n%3w9gD^W<84P+iwpFg`yY}ysrmY`!Y=?_>e$N&VFrY_i0$qX7?n|K$i0` z%!EB=JVZ&XxOWr8!ox`E=8WfK`H?&b4{sv9duAbq-ypH!a%Zc2luRyA#T|tmD6Y*M zq(CU9c8A#1hJv*;2Uv5aqjbr3_vMzPDpM)O79`|h0gl?wSFS^mYvK<|GJmDBKV^@M09Dq@ zu+eVQACNZ@^QeC7aPq~trYC_r5fNPT^NwA`0}w5;4?KpI@{?ar6!z>vRLcybTE za(Vb&sm%)|@vB7GM}8&XH6ZU(IX6*$N=uf=`ci&+CTcCvX($Ia9-B*p2Ed zuY}_uj@RMBA7o3*rQ45k9>Va&0q+3?aO!w|JPKg^42B=L&&p=*^L2PmX%nRW^MjtT z%_fLt&k}LQZWC%TjD{&?5CeE7`<}C~3C%46>WfDKn@y0Wo}q@97F1=&LW1_YNv3p^ zrhLc-a0@9IXBb`t!nnFA)x#vLz*yrsaNq#b0m(;@Gu`xC#UkNN7TvK z6`5^j(Toh^+yp9Nm6o?W7q;2ZJ3Krw%@;uT=*a*lqA8cd;H4@k|G7kJ+H)PI*@#gD z59&G$!~dFjjl%H1ZdNI&g!{wlVeM!C3HTrJ=((E08H({VJpQ=kob>3Y#BQ$6RD+~~ z28zgH#RZ|6{-xM$i<8U$*)mhv8@r6B8Bqmn(u< z>Eb`_$!#rMJmJX=XGh##_nZo3I6JMnd{1b!2xmv^Ff_m>KkCH|XGa<*7AqA~dH5fO z{}C_#lb&2FeQ_UUHN(+q4sySIxp%p^_xU>?0)$nm6@3i!WI0N$O$>S3@XG-CnxlXRU`{q?NSZlUbQO~{8FFbAvNF_} z7*p)B&opm>)T4VOa%K|W1RSL)I~EnvHEIel%4MG26*T2S6E?jw2a>r{-p;Qj!n!mK z-V4Xe82`eMsLj|5sFFKt&oM2VW6`Xx#(=5>4k$YOIgAGcXyZ*9ag#Xc0wIgp??pAk zPdC!%uhb>L|H|`JP;p$Co|M+}%Us3H!*LtnxPiosZmscG08;Ci3Ri9!)9mNhc$Fml zfXWBXi**h!F`KDyC6VRO>6^96F+bt*(RL@GqBt`?^FMfw;n#N|YbtHTe%1X=NcepISj zYRey$st(pfjE2kEVSApUPHPfQert>26YyAJ^~HQrWXQ~+OS{4>;dCxwxb8sX_m9o`s6;LZ^ueU|0r4Gu=veXOcJY^!=^?0 zA=RVb+^76m9>WD3&D>1pg__t1qJi=eV#T}znOASxwN-I3wIld1_Hu<2B#l=--Rn88 z7|yL|I7Ez>xX5tEn2U@~q3Y`*30u(G!}EamYqJTTLgoeH7S329YuDQgBMx336nkwp zK^g#il*$3k1#_dWm{RDfvGAhcCS179LW`8Jts3=z8jmd>q!}zyLw1{WFCbCuOg|}% z48w2jZg`e4YEGZSx+AGr13gXbb&zX|L}V`@QS6mIh+KKI3DQI^=LN06GeRPF&W_S` z%+`AaX~IVtH@+@6{IETub1?#sMC^yAM_GEatil`0aHxcjf_*HX?FpjPP;B&Q2I$RG zIdPKnLCx-A_|2^IkLXyIuRb6yfsJ6EsPHS5E zX!OfZ?njY?gw+>QXg}!5werOubEB`%jD9*QeBQ?Fy0j*s#F5H_l9>N#$NBXp^*3#n zB;oYfR7qnZgNF5=6GEf&?lu#P9Xy^2Psp5SVR4>%s{SaSLA?0LP~{`3xSM2b z4RW2_(r0>;$ITh4Ph)i}VglmTm9~9&7;!WoV{I$-AM6lQ(oCrT^m_YZBpAI?dfu;o z)oJ)JJdAw!k5U#C$6606oGh!~OayyqKs-ky-Q0i}@2)srA$O4p3Vn`gEW170?j60} z{A^hNW_qp%v}}dbf19r6=kw~t<0I}|DQQ!dtxu93%cXXvPAH`O^Z>|t=z6NK;0&3; z!tL!8r3|M>+`01EenY7?8qbcjmZ612D;!Ppa#~2BfDy5rBm(kF{7psXqm|ad=t%1@ zX(7)LC_pD*P%H-3o!%1TtMIb9+|MXKbsyL2<(uWb^!}y(GIAGQT!^QI6F_Z0Q0_v! z`WXHbh}*;JH`7u)0KjDBN8fW=Ej#*^05cJq?)~zqd-1<+f+YFPeGu<_SpCl+^ZBrn zl6dhsytMh~D~nHqoriq%y)^+Zu86hN1U`&U=0>ym`BE=o_+lKygB~p_U;LKLN{)0L z^Yhkx^uKB{AMw#)d(C>LkYlywi;G;+0dw1nc$OOdr@dKUH5-n2@t^c&S^1OIVs;K( zsP`mv!^sy9|3ObyIQd^Q6_NJxF!51JHPSchVNA5XxsvyQ6p0ltep8g2Lh@Y-K7FQj z^kO=h2O0fvald9|yKr&E8SGCdF|N}9D{EuIc-9xM0gcKK$xR}r2UouBeoDn%(T@TK zh~uXR!8w=Vf6bzAVfbH(+Er=zt$Jw>v4_nJPmf?FZ1%%G?d+FP8GZqC4njZJ(A#3* z#&bQ}7h71&K*tG7v3L3mB2HIbcvqCNv z(^ey&@NRo3^ajje*_6>9;4dl^O*CnSw_9_40_S@m?(0J{%9t6IT5}Cefq~^CZqJos zLQ(i?4Ke0vq!2^NV0XsQSw@qy(X<(TYI9gvF?Uoduwx%0Yh(VTK_rs5W z_mhA6$={Iiv2iEtkiTK^zx&PlU(LipJFgrn*?;)mum55BzTy8;L-bOVB7lGzVz8`~ z&cA*AQ2cUF+z=ybla3x*pJlhgr+@s_|NX1q{EzSc{`>F#A%5Ne{M9eQxBbn3{ORBR z^v8eu)AF@+w&{A>lvShu^nd@aKWG0bg~JQiKmDhF|Jz^xBK?=kO8oSl4^DI%xs)|6uYVVm9>IWl6|w2tV=P{_y>eZ~vIS_!sYu(9=8T zxz7O~!$0xv#~fWx&smxLU;E+vcmFv;7W>&2{<*^E=nyVBsKUSZt9L&~fwyPo(Zj#@ z{^uxw@rea`zvIVMSvJZpFsStp>79t5E3f9?#+N>RZlN1}_uqSGS=N7NaTVephWG!$ z(g&9~K91BC{>9_MtpB~mzi4&gUwr?AW_euJKhOu8o{?rAw+Dzbhi9a@vi<<2ld$@q z!hiWe%HCG`hHH!H7%nx#UCM4aT4*t2#I(Azw>m6-vnJyMguSiY|3g9XfF!JBOmp7A zn*hw=;)-cC9)unbXGhH5`5+=UD_(qtPU9Rn0(On(fS$YY*VEz=3m5-EO*i4_i;cc} z-|l<~_;?t8bN1)~7+@=2{1zl3C*HZ_inz1;=!=1nPohDSADxyr3%BL|H>;J#;t6!$ zRy=yP*Dg2u7j)_FH~M1o&Ii4?;p~XZ1`Rz!AH|m)EJ1VY!2=L`;qZtR^`6x7BtAP& zdb7gW`I=Rbt^CD()|=HT#C!DCKA-4548OHw>j^zW;pn%LM?L{&ZpDlLB#$91ely1Z z0dH0~`eJZsiMjB@x^Akg|9BPH>d|i|UVO%r+YIr4z|jxGZ{`bpfZ5bu{N_IC=!fAq z^X)xj^_xNUU;gY9G(}0HtueteXgDPGP?4B1x!1!SRd-;_lo;`f+7M8CLt5gZ{76GG7->eUrq6;_S3QR~f!GtEjY4SU~g9+(4_<1eOlwm^At5%BecWQ_y zO{oK*dl*!Tz7gE?~Pr5KF;wRlR4>n-qac~?MF=WU=sw7kCeKDFl6;ajcEh9|LnCs7?0IGW+)sUxA^e+Sg^NaLKiA5!FwEInk=FVP?8ju0GpD)|R> z)bdbt4+^MdP5)d8BwhF%+yk2r^UNKglcP%BR>>WqahBYJ5^5RV!B7AZ7u*p*f#s-W z1|Lr{cVwWEnyK_J7Tgg}0P98DPUMcva7X+Fcck{3OZ#^S#%sZ-V#p`ncw&eC9Ye+e zFe*!hVQYI4gi|X3^7ER&iXseu|H~hio_FnL{jyh^@!(WZOlk4H8FG;9*sB6CiSr$g zYKap2cTZvj3&R&XN06uF@BrDS3c@8%?{KNMv(_nJ{0Ei!t$6L9RH+R|M{Em$#6R>@ zU%0po&k-~O*6ohCT-DOWkxOaw+39|X#HdWrnH>b}D|Tlt9iGlgt@!LbsZtw`eltj6 z%8mYoKG+dg{UK0WBo3McCdz!^CKI_@7z^c~u7qfAaTZ<^r4ET$(D?Gv#2CT{fT-GbjBu^te=jp-@NVBlu zLsd9JGtNh)^p@LyHpAV{gVdFO((9|e*me8#ewouejx?C{nKG;o-{8M2^y z2D8_NRMaBr@gDbnh@`^*^|Ij~ep#Wg2WKKp{tk4uEr;cizN$~k&4l4McYuAaNvyE@ zYGHQFQXhpyJCvdESyN{BB{1sJC>{TTsP=51Ivl1TZJ{DcPoqJWu2RXN=vaboX3Rcw zLkpD_w@}d|f1v4huK}5dU+5_(S%wi$MI+zxEU$K6R9ef%r5(Yu?}SyMg%4^x>Zm#0P!2 zD|I5C0`cQj?`Uw=-x{31H8__VoPCoadw7HMNG{H(i-z-kLq<-7cQPFWGjujMr!e-Z z4bC1PbXI1_CUi}amPKnvkrt*sxEaWyKbTq?Y+0SmTKZ3Bz?sNVv##4q7dK#h89-Sr zYa{LH0yqpJgO+gSn=^FS0oo*oRI1fT<8<$U7>oYd{lT?Tpft*R6a>Lf;^CJ7Wwj=f zkD^r%XGh!!0AMX*Y%U{zx+vVT`pvEJQ@;A2&k7$_|2|Ut&u<;H^2L7?f|7{8ej#$WQ*W-mGRVkS|Y6PN)wt%P3RBbF^{}JX3U6C#Hvl z$JvP*6>k7Py9Wf{=Qlrw%lj3}nc)0L@d=<)+Ip5XR)@v~rC zOHs2xzp@lHl~d!(U%kb}OT77uPLn9^=t4i{l$pdYKv*Ac8snMi}z9a8!Pr19+W}A zvn!T`1PKUlz<752Sz3ZWL+{o-j)OD_MLp>YMttuCxbg*_V1DS<81nv%>+KZ~Cqkyw zcauF`U!rwZo&v-b820w3S~>YJwHR?4;X!W~&c8U7K!;)WnfIWIIph!Qe-wM3$4uJz zrOrQgp!E@Blg?MTcn5d~lEx41z|?((rNf6{iaK!6eUU3Hb>JS%FDRg|MD+0z8#I8gh6Mo@VA0vE?4V`I&taxhmDJS*G@T44&#l^S~i(NrOn{R07 zZ)oUmXy|Wf=;x!MYmbSTR03I+tU_7sSsXK89?+Cqx_6B~Y=&Z*o$Rsh>GaO44G$TgBlXRd&o+DfuH+f(dZe;6mEt1cIZ3 zkqf!g!v#c!;9Q8H&#EC((v`%Qg7ule##VM2n9B)lY*R{)^`}$Cq${9#p0cW1 zjhEh$PNzi~Vj>?xU#Y4UrLq375c?{pU;q9efBvsmaD8Rh%}}A3B}X|?=DYIjSXp6$ z2y&aO%FY<#N}iFWUf|Q?yxR-;l@lTlKdP6#N(h7dW>MhA@gSNeWqP??)-{#-=xji9 zAaljRMZsEUpGkG&9+M$$0YR4Vh`g$p444__twvfYqjzK}vc30AGJ29T1s5_x3=tEd4?!%fF%or3i7MJ9{wMuU?9PPTBP>if4p#vF2&nzIrMMTNPiLW z_BU#zZ`4Rnphh}3#!`P9Ei#I`Gw{e)dI}uJv*duA>@%lRs8DiPp>t@-Gj&KOTbh$S zlpZ&vD3m9Kht9fCt~Z`sry#9l7`1<)uMnv!AdIH$GdGk0thA0nw%njWUQ>eHl%=lq z3{)hJ5$fbux`fYKmGnHm%vGdghSSkHcdo8T$6YDZ+i!*XZ-x4Ah5B!W`mefBZ^a2H z^kj450C>&{hXfVE8L`Ub!WW9fCuIOB`Vrx$0fS0<@6O8r9I_JVQ(uA4o@LO)*g?uZ zC(r=3YU^6+16~Qu+Q3bjF86^H{JeDv!n}dCWM5gAlYsr3;?}{>Go=5Cx`7??0(nmo zP>NXD>i)#PU@U*x+otHwq$Hr{l-l_e*1ADK$YQA+gb?R-8IO(Yz*!IE(L6}-E*$MM zWew&0YaA|`7%Z#<`~t-licyujut=wuP3jHvO$YGV4Qv2Q+YD7j#T@cvKgQl%?>Yr3 zD8`^#m5c9;Ws^<)ohC&j==XgyIK9f6?>s@D#+gn}nI)7iKDN=LDNa7s!y= zHdhTybrXcuJoFN>!Um4SEx7_GW(Da{%V1|p0HHr!Pnpa?YN!PoLBh~;lDKfqC7}bJ zzw8$wO@QQ4lJ4lJH}h%=Up{uFnUxcau6O8&xaRs)Cck`1M`X%f{ab9Vl;W4N{tl&Y zOYV+SWr|;zWe?w!n6&KJojIcVR9ouk8y@s8qCWKv5Bd!c`UE`aDdWA92K_{|yW9*i ztQ2ZaMa&6|g9CL!`pixJcCN`5gNo}w|JRbnkD--PVA`9bwF*YMW{bb2@xP_3q3 z%U-<@oCK%PigQ#D+PRXKKTBhX+c1G`#`KSVgT3 z6PGg=zwJ{XrKGtOU#w=ZByu7Hsk!rp=nE?&6eX3WLok9whRZ3{zWj zXUiR2M`C>OaDYgkH|Ibpg;}4Ob^ZpmdnuwVB^Y7kkq<9RgEK50)loA*l=zMgDJiZn zi3OSbDpa!6P>JB{BcS{`DfvqgZH6p~1)|N+DYB;Q?hKFBvpvld~e_ zkQvlo5}!B)sYT_UWjt$4)K`8J45A$b!2q84TXsPV_knQBl)cQAm|Um-Bzu|fNbX#v zjY!uy7n^eP_3PY1EqFeKPiXFBa@ypO2$-(Vryzb8K#Y{x_?pBDg0Cccq_dstnu3^u z0I8FlfTYIB1$5k)edfwtxK#gdt2uq^PyKIQ|Bn{g`ghZoO1g*1K?0+}eE=<}NNS@GO-7SC;|l>M0E`O=;c|LKCQ zE*Ub*0D<8g$n>69`7eL`T2MaNiLmZ0)h zDj0GFv0Qte^I|WvzuiG$yJ*RmB>qQnWzcF-xk*#8G)H3f1_im75%{za@~Pox1W>X%f=5ViZR0fC#^f>d7ZrIt?yh(FJ?^R{r9BWUq=FPl=blBewyh|kvN5Ov_|gS~+FBac z`RzO;_}=j>n9Ub#gWcyyEVBqMtGveRDj>M@3X>6|DfA7bieh~n&Wd&eKZfj}nV4U5$`%9m;HM|L42(FUKm{yZr?@d`cQfl2v)V3~tIGk}n1JY6HKt4J7*mkCrFPD&D2l6< z7!yVl(r%ClTNKtVPN8;?q+M6kL+lV@T7?d(Vx;fLp>Ry8M+`IW3SrOb48#yhpko*= zL&3{jhMFcm_fd{35h2cCXB+mOE9O?O_2ITyr6UTnpN4zvOzq{$XVO3m8lEh822^1K+#_3WMy4HFPkSHU>qO&~O)ag`u>%Ju+} z_LT<8^NS!BXrik-a(IJGY8lzV)ah>j8Fihzs&6ys>1*7_ilYE4BesMc~!tFm4Of-@Y?|T#d2BN zfSE9truVl@K@9w*1(XovqUnV@?BJMO(i1zKg0$-ryO}-|4L8GNj-6ty6DHgB5X=I)?aPk?f6qT$Yyg*J6 zG*gy`tt#b00h&Eq@QHLBqxBL9{c;w^fDIZNjI6y`N3D9y?J`pZ}!=0-( zoop`g7E9w(lS`U2O@8?na}_Kn?(lceOO4_pQjry?oapEZvFnFOdWjpJV>95$15AX@ z*0GB^C0QbAfDI)5=<+=%n^T?R>iB`{3b5lnkX~g_*Nv=*Lw`{-rC~yQZvo(IDZaz!fSD=1x~I7spSe`_hWjjq*py?0ie~|7-b%;k(3xgg;c`RV>kdIO!f57L8FR*k6K=PcpOHmWB|zyw-5IdGd3^0l0KWyL2P zBnR5RUZm4H1u=`wlnn=)Qc-+j3K*07cz1wD#r!FtC}#auR+hPN6Y@TYD}7mKh&d^V z4SRJ6eGTz(R>;{B_ba;5W`HH`U=Wou!0c}X@(ag?iE+;%bLlcO z(h2CO%MnlQAPL+9P>n zvsYodL&~td)s>wK&`T8|ys0ybJ#B&d?D;N8p9RW0SdJl?2EM}C2`D(6bFVTh)-?s; z+pI=JkSxYHk(XNbkhn+DX79PWLDf^*t;>aRRA9Mv#$HGUbFh$z_HNh&acfG};Fl^4 zl{_A>DY+7;yMt^|nnPl+XriwKD!L--iHrv;4&J2U5T_uGcJh=~Vjr*22hh3Us)B*; z!yPbWEw!m0)FsSiLNVu9-UXZ8pTzflM{#YE)(17D@wjo7##auTjY{wLzN#=?u&E{G zN9=)2gc+if2AwH5R_00r_xW<%D#OPpT zM{{)&RbdB6WFEVK<`O%=6=d!7jSci0vi2_uS^JF*^uHq;sFVhg(<&S<0T5700A*|f3=@6`20vuc0m0L}>K^(3F(Sv`2GdsZ^hi9R zaF}R{``h>?G2R(q5>U1$H?ovPt&E7~YNxSM=W?$!fWR$hi96I@G!k zQbWn*)k7(*oDi@mJGspDxm0yHIpOhq>&xbb2L*qOiJ%=@!&?qVcjFf1a4Qry7Oh3h zVu(z6FH6sQIK*{UOo2TCu=G?8N5VYO6zDT!ms6ScL5gWa?PFxi$rJOR4=zs!|-4#W(6Jk{< z#RzB>jpKGK&?;7N;t+$LvPs_nbeGbG9eCGMQ(9#Q@@80%*XkC7B!#&%jO)-a@j;3O zr${t0&5KDg?t3F!aqRIKCMCWt=d(^h8X)3dPe^^_8qUQLlg|Jw*bNYCDa(riOagt0 z;R-~rtfD`n!CQ(>y3LpL&p`Sl0BfvZS(vA~A|E|x$4D-`P&-Cq4!}%rmS43B;Ss-t zIf|=0WwlO0?BmfPwko$Rl~l<=xELXBmz>jzA2Lz^)Pd}PpWMnZqD+KhPU3`R>w6Q{ zDTvv54*()G#8vu+aP+tjkkVD%91rU;Y9*b=sn!Y!=`xZI=wGMvP@!uV-o{zVvXSVn z@>sqi->m@$Xa2Z6In+G-R?%qgg>^Z7*E=N)q}$y-K+t_r{4#tgsCvrT$EZg_RS5qH zk;Tg6tTES%8;n<2>QJzM!<_)%Kp?+}h`-7&0h(F3HG~h)9gt*k!Wnimam~ZBmhZrn z_f>%K-}YT$H;Jz>?f~~X@F6NbWK4^m8nA)p@9K|O?tqwD8w~9@K-^eRxdR_#fpCDN zxfb}t$%s;hbUIHLp%$zr^hR9SfyI|7ogZo8i;|djrjb~Bo?O|XwiJ(AI6{_c9=5Ms zv78?%mjEi#=?R$5o`7vPUBP{Ai3gU&mxh!_4LpEn%kBdgyI3T`-;pj|7GHYukr(BN zm~BrboJ;|5c73`e{NmM>CRQ3ULrKCfTmMeUo#psQUtc(zi5gyIYgs{|Fe84FF*_ap zC3tolC=iIagV||;XUEu5DN4I;K!LeQ{szzfD&W~U%=&5Y?9b(5Z-!^9uLqv}#kkm) zhfqq&#E~2lVt5EE(j=TI;7AU6)jOJ$#l<#sD}hQrwRe>hVjAgWG_egi-l#PhvtT}E zF_KQ@Ila99s|ISZCPpxqAMZ`Rnd5AXh5}=LUf1H` zV-5PmN|*C5?f^koP~HJDRLmzb`%}L9_uz-ExJfe?fAtrPDC+C^B(Ct%){jtY3yT-% z-Gb89b27NSKgO6TP!fYchxIbvX;Z+X$AeqBRfY0{nX z`9pq3Pm+|&H7V}0ZYzvs@iIWb$Z~ccy^nnjoXcI7;^$esXuSR?cZJ61he)jXko@{x zcBle+dk3&SWe2Z$2U-hNj|h(A9T2A{6rE>SBBo@nbX1YCnm9c{&-j&=u=);&i?s*c z@AC$X4-e0z!2v3*nknMZSzgJJLPBxeo8Ru1uy~B54;s?|F}rfA#}*%DIC5NQhiiIA zJHJ@f%VvBVoG2a3Z!-7t@C$N_a7a2zVged8f#fLSGS7WN+-50`OQKjM=QK@0N*iL( zNy0jVGyg@=BBh*ambkxgl+L0YsTvbyuQpwF=TQ!4eBIs*FAa+A4(cM&Cl#znEq1KK zLVr;y7;wuK>ju$=_&ao%q|D?AK*GN1X~s8&2qp^=uH+o+J0N}3xI2yMxzYAqev{Fa z&5e=RwJX9cCw=B(PI3ha7Ic!J2vXL0_$V|nj*vL(USRU7ChYo|yechuaw>B~Cb$Jm zf>j}qLbpG&cepGh>Y9Q$*FqyU?B!@$QHdrTGWNao*L4cwtmBxOQzkO6=ywx+nu3Fk zH?dc4BThlgp#o^2%J0Idlbz7@>}20^7sQGoSq|&S_w&v{LQgP)Q}(%UkZqZy(Y`;V ze;gak;%!Evj)S|@GSmGih_l!5>)0)VjBh!6&AKy~G`9uaA;BbNv`fY?>(ka{>gmKc z#Dyqao?9-0w3Y^7vY#?@sW31~ojhJiZDM55TyGtV=H>LCVyM`g}6(=%c+N=#lF$^+o&3@S8C$IijMR zw&P@g%dT-ZtS%lPv10`H6jRth{)=}2#Q6tiw!VJ7umfVYVi1~Teq99=lCs-*nxeBD z9)>Sn{V`Si=l~Hevs^g%D87O>H_3^X(XGlUXygvdUNw?+987TP{z`;j>C=`I6hm2( zUNDxYEa!*J43RAGhLQ2HSNd~KdTp(gx#`$zOBd5s5?qMp@oYnYua7EXIs9Tc9qKQK zyIVQ@A4*gpO}KN5jzvrcfYempfdj84r-!?DK&*<3&SmDbL`Yfbc1Cvlz}ePUw+zD< zQ_iAtJZ1h{O%eA)*{Sz*qmTQk6ka{k(9>ri6&GWM*^2W@4c}atd~F2qLWzb z>kwPe4W52y-D`9sDA6$c>x{mRu0Z}xd2#&pAUNi7{KZPvDm(rRhOJQ5WF6L9A?D8E zx28}SLvw*|yo>CmZv4ftp~LV`W(o>gl* zyO+6Iq0Dc9%SbmbF~1epGaLpnp)%GJNhOrwblH-OsW+Y06OsY<(9}C|LJ;Xlb|~}M z^qmU{gU==u*u);{t276xNk=xHafOg^u8{9BXn^%F@-l1lizLoReKr!Vb_s+A-FOJ% zLTSeWPmpLS4DK z$e&?DL={ulmz_$MNX%P6uan7DoocF_h_G0d6P4?GMEqQ9f5Vig7!Jbq@91l>Jwlk+ zk)>L0f;2<;yhbZIr8QYkM)9F)HQ(-w&v&!5yD6L&z2s?T^hQsJ=- z#YLjTy6i>1Nny@OZvty%aOL4#i<8@K8KnXOl3oG~xn9q6dVJCzh*MTBGmGq2oTjW& z!k=BceoVborVxlo0~D1&3^hp`vTN6i`B|qReUp!>*Es;DQZr&A9wd$~I2KFl>b(hY z>dL$>S6*NJ)tRt#xr9(n7;j)} zxSPVIKH3vprQcJxanVXH(#Z8KJ_J`skTC62mj*!jn)gW|$$zWN`tcSBghUQE@{SazTlp?yRMoHKx@)DMQ=3vZSZizF2(jv3KW z{Xqz~8aPIJ{F7tAH}Tw|qaN~NxTFdH7k3QS&TuP{{$C>1Z zxN>t3B}!QRPmrPNQzEP$eW}^pIFNfUv?2?P3Lcs@x%>GF!&-IpQXd*U;GmjiRHUx} z%jrKs+cm$#z84iKCE!R_(|?lHtPj7L;_+~CKgjM>AP~}P`y?FDvi4#?Ef9qV#gNa} zKUH4*;y#GQXjy$Jp7Yby@2XZ)8ZbK4J&|LziTSo@^TF{B^v{s$UY(0C&RwBO`{fmv zxIW>xN9O^Zqt->^}gH9d3Y_MC~c zzZk)avX0%qMKy|gSlz?`hl}6iccwXredpvV?_Enz6>8`)M+w~I zfQI#NrO0^_O2CVi>p;)V;GJ_%2yAgUc!@RV4mwl0(!s%#J_kAsd9so5lb1c|+D(uG z5a}&)NB~(4Ve(=a>?n@(pwhHt&RkzTb-4**GE>inCriJT3wbxhGWEAic%3ad2o6~+ z-h=XWwp2uRh$E~eYTa&zko6deIVzHx_0@Dl3W>QjEZ1u3v`FGceJ~@y!fzOuN{7jS z7mKx6lY~_FvnDRg7j@MuDLKdg3E5cW^?Jc?;>h6B1Djf8AO*cWGBS{6Cs5U5H9~F` zKPOgZeh6e0dmOuk$y7>O$H`>Ip>wjl;!z+bfEU7?Z@1V{DXIfmPKMywQ>rd-^)XtJ z=?8TeFeRO$MosGkih%D~CZRkq#Uqz6fb;+{BsrV}FOmr(@N?Y@iFEr)8 zPz7L##u08J6L8MdhcB*gqcqLX2-LSLGKL=nObNpm<7)C0qt8L-@2ox!52se9yH;Nc zOetcrDwIDThc1vdz@#!CA07A{P|k?aM*U9y)f2YA30b-u$=?sMXpvYZ)5h0hmVIbf5MViDzhN2xKdHtn`wN`AgOv&xq-JpA*mE7>T=-@_qr<5~*;~;3DHQtee{H&53K0FguXj zl&BvesSRoh2;eip z14LQ-3Zc%aQ1B@2GDn}C%~eKC`8h*K#(;#4lXrt15-WLN7nr9H`1|^Pu ze3O`?4_GD5o&E#=MQH-uJcFDBI&kJYIE1A9WQlD-=dW?mrAV2e?ETPvS`wIoI7OG( z(P%i}uPLI;w(`ciaN=5M{C97^{N=lj-5&!FssEP2K)n?U zDIopxo7(Ebm*&j55Bty&dCs7aDtt&$^fD+&aoiQ>;Dfr_e)z>NfBEy@y>A=;^l$nV z{^wm=DOcYM@I{NHC{O;HPuzcU}f2kqD z>y;uE5;er2P7QPZ=&c`$U+&3Xjd&^@z4qSh-;D6-AAj|K|LQmY_4>#`+4{N{^V!V*wI9BJ_n)IIsGsxC;h!sfj=<6 zA{E~MgQX8HNrZPtT3OKbzqj}oIenG?i|>EXEN;@@4k_=|WQfIW1ORz(uumDp3T%S& zOc^2hHLcXuwJ1ogtj>iVrtxL2;#UwYP^@A>cgG?RS8#kKxB1Wi=U*2N&ET^QtqpI- zbb49F5@CK~X#mKz+dYzIQ22q)HFRqaGzzLL!jAB_tDv;mgywcJ_WjS>#T=qwxF(zt z?S&(XvFQ)eVcu+lxO+Y$&`CjX1(^jLDQe*=;ru!`L5j?Jkec*n6T}%&oPtA6x{`?) z_nVOF2_1!*%^ZYp6*pU1=0AcUNJSMA`5#tdhYCtBjfz-Q^jV_VQfT+~U#5HT#Q>I@ zGb9G-CUo)!#Ai9N|N8UmU;oel_Zs~a4u_1XJApO0p`PBY zP73{SGQ{aAoB0YKEq8hjzM7;EN|=R-*^=|5fcYNX$Vtq)gLA|HPq=cGb}-N*va<@q&`|?hPSN9ek4{QQQqHdw z03X!aDy1Vp5_5jeZq7bw0DMfAe>qHjx&h*jDyX2c=K@SaxduNSpd9YHCB5)6i&Ym< zLuF7FsE5nY?n7fLYk7CS2469A5N>j_XyJi$r@~QyN6=-SzwX5|i351nf8kOYxf53^ zefPGPU|(x?3(6%xpvT@ zxp{#c3tcV_AXsJr9S)Xnc^Aa2BY6e_iRT*Y2vY0JRDzSt!n<$@3!=VZLB3%@zF|SWVL_gZ1v$&vh)JM4*AU2_q4A*nB!az=+qWEw?svd_ zrBrAM*U8Cab(%ajK%sJ>^DeCgX%{Rrxh39p$X=D*LZHl(0`omBXkqx$0ExZYgS1+O zOaQSqmxA!P_c9Pkdtg(&l0nl5jlP6LjkJaI^9ylT%0Egh&zF<2_>{mY`GZIcXpW2| zX!q(Sh!e-q*vya?Rn6iaVEK9ZM~QpE0jxOW?#r~cNXu%jBLer+ZG~EMt`87++-!na zG8YZ`9H>Fbru>pQ$7@cX@oZf0nT5Em9m(VDW>%$NvbHHsE_CA{r43LIQ>qj3ODJme)G@oZouFF@`vz$y~e@6+*Q8wygrUI!D7-^S}W^kLX4UEY#q%C zHbEMsFgnCBSrX78W%uBN*j6@2TAVzEk~j+q(4M%mZ3Mm6gwJTtp<~Yp3Y#ECw}7tu zvj7U`wS6gRSfV`3Whrer+8t9FlRkrDe{XGH9&bITw!gUtQn;PT$m7{%^OgEIa`x9X7>63$(fZknbcLiRm_B1TpK`-<(42qEkP@~0 z8-V-l*D@x!14q8x1gUG<1cHY<`ysTRqx3D{_e>!0g%dO9<$%8YXSxUCEU3w{75pMp zaO6l%9JopE;7}T+_6V>iZeL_quWVFtlu$=FiaIqUu+lEb$ded{&*XR{hpVRU7fLGg z1IfitlOwJVzcu;xfVe%K1~E-1?xf0%blJ&dg0393+uXNi z`OiC8%f(!;Ot*j}0uY!L0!`nDZn`S=0#8Mx=m?H19tA_RAOVd!FUU^>pt%6xx3Wi|`jD9T&ts>njUNMVy?8)!3~JK z)8P-YXWTgQtXZmy?4Ipw|J7Q-E4wb zw2SW606-Y)S6@(VXXpd!zrE;AsowdM(4B7~QW8_JldaGu_Xx{Z;KLyUS1Ij}kb%Q2 zK1lNX!b2r|Y?JnzgF6N2W~E?_L>y{kTxaR!>XAl9PijWrY(guIUW>|u&ikNy7@w9c z5KE^$2NJprUkrK3pR+Gl(4cIcl)>=xk57FEnrU`Ft0r@jw3f2Xweg*i{{j6*?cwa- zQOpq2g*6d9p`^qQ@_2_GU5=3SC1%N+yiKH>a{pkWCo$O>pjX_r!aGojdI9}mjwH?N zaKjYDz#jze4B(rx1Mv>v1o$}isgZW=K1AZu_GjY{>%*6lseC$i@B9Es-(m}yHyB0k zs6FlA-{`+P-o_iIAg&6}d!v|mdCGTy^+upSpY@p@``pv&-%dV%tho2H{qlJrA9Tp^i`s*1Ly}!YM{?@IiL1k@_o&xjatWi z+ISUJ$mw^Y14?2ms9g6ZqNMmnE-M}L#FaAXhfy|&(fUlT%GrZcKKc)=@=B4`si?=p z$4Y*Q8oLyn`NYm#Bj#B~?&csgRH5od+yT@Qk~!U64N_cY3rZs;lKBKpcbuTjCbS9- zjdSj|S0bmZ|1?ETy~S-QitY2|80*8A@_jrA8L>G-;^;fIAJT}Bz^Tk4mrCG@=S^G< zS7q3al;fO}0sYPCO;|#OY!+un%ClXIS-C@uoRNBrE(J|RR`eN_$C&XS6`n~ktC{`o`7&*HyjyCfpb+GLz`(LrBW>uosR|k)s8tND6I+YzMoTU}!O?Me4;5 zqQ5}Id`F#K$`_Ti&CUKq)U?gfC82VlTsO&yxX1HBm_6)()TPjnlFsu!6;lMXXkuE* z{lSjcOv;)Dx_|h}Nxr1`nkAWG++%vvJ1NI71+jPxXkIgc?1gsXEWYHVDfgQ6fhMJS zt}hjy)t&<3bBwNL2i7{Ppv6`6ODzdRIH2w=y(hLa(-?L@43~LSgt_iOYYzjw{DelT zXcnN&fr(8J9rbtnOUY#tTNj8Ahnyz(9iJLPu|w`t-$5>OwHq;S4&A`^@`7aL81d0_ z+0m;^;RI_kPHuSVtNBvKA|N^#a=)~`$3t}Nwk+KQ43l2f^g(UsLdPyO4^5CCVQ7tXIhRiwB2BE>PMO0WO`d3XmnSJGQU z6{Bd`g5m@r@~8+&aZEO57W6K@0{a=#)2P^R+7t2-SJ(^dn;>p#fgsbNOSYV5fEZcw z3nU%XS2jWV6d+T>GbfdaH zg%g=RJ)NxdOFhdf9HO;ndAbU_;(JV|8VMAZC%r-ecxF_DPt6xVUYzl; zPENnv)zn}<4fuiSFM3;frI@rMSvfo7m4Xk*G7dt`-NP4i;Q=ju==G?i_t22Ty&mSS z;Y&lICMLq3dR2Y+pV0^2m0Uf~28cy@8${1E%8VfFNZEj!d~mUFsSF zmBOJa-Ru=eU|x1+K7`A$+{sZA-eybQk^>CE63Le>m#rMxQisHbCF&H{$$=C$LD~-f zFk>Wc#&02|_?W`;<_w8BIkWonwoAG+3v^e2N;PF^djS|yL|319Nc%-D4PQ*p3`T?l zD^5AH`av1`%^4C)M$jx$%@Y`;3XRr~&tkqKcG}EBTtou!qu z6pr`lDC<&MDTJ`XxKB~oq>up_C}phrGVaT*6ekz~2a(XMe2kNilOS&W`RwZV1y5Pr zlingP2B|t^p)4x49bJgaX_jsPO2^^hz!rheOv>sE_#sq{uW2y%uEA~a}!QzfQXrK68enyq; z3U^{0nn}8c-`rv@QQSH3Pr*a$C9qx(kl10ovy`fDV%`zU({dg1&^d6S4yp5d+^c%M zZiJXmzo#I~Yzwy(gqN!zPUBz)IHLqSekJ;wc!qaA2FviJ2@s_9RL06#mFw1g>US=F zN}ht)auhEfUdYnT52};eZJNNC9mX6PzU^Bd&Bko;f`L zwCAOkBL9FoG;Asnu9UCzl+yLPq^G$h&nzXX@2UYQ1~1ZSoGz4QF9Z-$RCkLy&;-E- z4uVn}%sp3Htk9k4wKK=H(&XPG(sX{9#BJFrOP1Z}GPUeS7A>S)`>ALFT=ADHT5L|z zSIky}jS!PCeRj(5dGK@zXQ^XZKm!@$l&>$GV$jb-2$)Dt*8e3=S!M z4sEa8=av#c%~7H`h_NQL@E%YHK%9NivT|=Hu)t|+x-9Ev7Gj=OdZUvd4(KZ?DKb~M z(^uL%FYJWSF0pguM|ev}FIBuHvP*E)wFgypcj!Q~5L3WsIe{yt$$7r~WSXE=bk{7T zIRWqndag~PxL1)!MOK!P2?7F-Vy1613F#|=dTOdCV4cfb!A=vl56mT(nvIHWWz_%V zCjZh`n-lq7Ozi6I(ysPOrSHN$m6pp;1@Ro!AlEMF0kNPc=z?%;W+CnP1Q{tqcsbot zEbb%?W4CwYI)%_SvuLK4Wes3`Zf)|CkiMlvmEr=if=mm`IO+(Tq+v``2fy-W4$_P^ z)1q9bt2YA#r8&nz&WOH=3Qwv4f@H(eu1ZE7GD)W;PlHwxRy4Vy26br`Qf~-_0c5nb z1sJ!y7bFj8nJEI^wYzH;;x{-|EcfQ8(%fRf?algnAXmIW06|L5`S~D#OZy;}iU(HZ zOLJdu<{-{QX1;zB^etQ1F8b?}fLY4X$pu3W)7a@KZo)?u3^4_9jD~!}eA(mp_yUhu zQlo{19N3H0E``tBk;9XcboP}Nz#@jX`$}oydpGMKT4bqgwgVOStg18a*aoJ!gxm;@c<&+C(I?6o-$OaGms3Rb~-?b;fDJxuA4Wch_GDd7267CO=n>xvIKoe)Z~VW>0KG3mH`2;&;i|w%-+nQkud(9UWJOv8<0h? zPj+VWaLdcp+BCu>7UPA24e~|!4Cjk3J8~ymAqJSo)8mjyOvFaTOJS)N>+rl-3wpzw zVl$~YP)Z!~r2scg#Uq`wOTmr0H|4X%`Z|Cmvybfa5xw(NfDD5<*YHT*l!27X>;P1Y zJSqsxd^vN(%5QioRD$tc^5|-G48x#bSF`dwARH*zeZ(*BmXxTNGZpDgh@`T3a9MDM zyA&B<>R^3(EG9)OVj8>0^w?nnVvH}GYDRo~v83+iAc>rt$mMVrYw}i`tur5&=WLu#ht!Jx+f@%$U+pbNOKCx08aN*yU=;lyfOt zkSRYo+Tn&P_cB*|sq^4_R@uS0LUnK@nImS!f)g@xoAYG_gw(AB?5e#52`%McdZBlm z$P*ithG!PkN-zxMIXlSYH**k6%Ry@b;UC9?<(*)%ApqQ5I$-zggfwZ%2ItVXeW9j; zIt=T^UWhs_WL~vc|E5?o{3xjWg#b`WTiS#pW`Rp4H3#+WEj`0hjSeOq65xoLX;o$3 zhn{XKsfbxBO^zMx!c2DH4L5TT!^E;KFUU=sGPXW7-gA$h=dHQ;2EUhgUEg3k(j%-d zE0G>5J*;&$u+h5Y!`Il#f`9ljLc(kYDsvidNOhchj;xpl1@6z8{H)0H)WS6pWIEi!?ZRkSK{Pu5xUTJE#tXc&u>7mCW%SZ1%#yvI@4vk-TE->N&=BYqY;iZ*Skau@MX#39`enVeNtbQ~TF&^I^l1&?Y)FCWT?!fu=7NI89qD!{c@l>MiChl~ zRmDouhjR8vd6T|CDeUZIn_%x=?rKvg=0T>?0w`gq9%lR4F0iapj5dxbA8j&WU#@%S zs;&5PJr`#J+ID^^seZOinf_hQy3@5vNh~R;C|e9J3vmQjzHryDteO1a_miUdF;9?^y%H^{Zy4*7hanS|?D8jzp zF|tXFZGS0lAxM=Hjx@oPrj*jmrrqx!OIcqGPKCrj24@+!Z91e=?!Zk-DLUHrz_R(> zh3%bf*qDOU-C%@#rb1L}rux|aUnyw~=Z8(!g;b3Qd`CoZb`!*Hg2I4d9(a`c)&Di=1Qxq5OSPpr&g%9Da9JKq#jO6h0VeHQhRQ=DKJoC9NZQ>I1A z-oj-ye^6wqgfd|0W8o7Zyl=tKNr^)YA-WCJz8Qg13CEbn3n3hW%D$eL%`C(%RKUae z+zBlwL~#Zkw`u0`nZ2_R^Aez=pF14SLt~)pSs#mYUKiygE65zL)XQD%>Bm-3Qet7% zihn^*hq^+%aq7`Xo2frP$~~WgG%XE;;SnHO;pYBO*I3Gs@C9lvMZa=|!i7j3P&g+8 zo*6QJ*0TIJx$sxIE>2ML)~h(I@oEz(FBYWr7*v6Vl3R{!bKCr9B?V4=b}4(olX6RM z^B@;iK%dq@D5VT_Vorbqe({dJ!tZQ={6>D0S?2ha$9%MM7H z{T`H@Ty{X5n9QZl(Qa9>K?c2w*fkbc>^?wJ$tgZSe!u!v{uB@y=G>}RsFBW0s2IKi z6N<}7b?7gbl$@lss#}wieND%2ewM!i#UoT6K6m&|*%9g^5?XG6)QJEcgH_oDu9?sT z{v#u1vnh8SAZhBa=MgiUyR>|fdUn7`&rhOiw)t5o%QKN;;*ss|3a?rH{Inw08THH$ zzy&zZ0V&-DN?LBO)PunLWpcWJ8jdGZLQTiNZ}pwr|G`CMM*uN&8LYthj~q49heBEw zU+g@9U&rO9^L+7B0}z~{uC&;4^u?sivJ`eKLkL2p)Ad@+65bCN#G(HFaGcyg!f z?iy2V9?;i)DK{q_{iBS2SbV9&z%T9{4nz0ii@`$=db7gfi>sGI!PBo8eWZH$w)p(E zv<*L)ZfTm~_-2il&(3J_9qS&xIL<<2bDk%h%67+r>Xiwf?is$5pPdK2S>fo5JDCn~ z)`b`ytsyySLZ`pu85cA%ASc66U)8|ynDCirHVk-{Nr?lLY3&F*b zTlT$@U z>WU^4PvH<%_xAZn^|&9^-dPpC|f#9hRoF7hLKiclnu8)sHJjq?Q2X&S> z>FC)4KE&ut-L)qr9m3hU&tdoieKF$EkKheH;I%3`=O6TD=}{i6Ct~kinwH!4_UP z&r-uktGbbwK#9K`m?D*()U*@T>^(an1q_b>|7OCO3tRBXt+WOlnjk8^A{=~a7UDn; zVZxkQ`ASb3Q{ULkU%b*4QoEf(9Gt~a;ir&Thw59+WhCPMEWpPw6t;Sm@)$xkzLpU9 z?r>B#M?tLmg7bSI=&h)}5YUE^f6PR9VGb9dh*H6_1Vv=&RX~9*KoP;b%}~S+xYKva z4^jemO<0elRK{lSf-o|3ZsQnrP_b=xK*~f__EySs;GP`J8|aU2Haz_m6Iw3A7w4>> zHGFBc=}BqRF#J|6xhK>+{475ETy4ToAVF}YOw2rZH}yzMN1u+dz8+|NT*W|(IboiI zaazuf7~lbi=g>8D-e2zzLJL>U&MEP>1M{{JMsMj%TO&NP)|0S zHaDBS-~q9}!{C8~-6@*Q1d=Zbv1D}{usVHU;?xyFI{{|Rt4bwB-LyhaY*y%2JI7-&=O^nUi+Svv$50}ihB z(#;=n2)NdJVI0L$#!?0l>#)%Z7|6nLKXb(tOnD^+pV55;GERPkVS^5>zn|}dgc~S! zL2!mM1l<>azNRY7C{BigQ|ut+SKb7a;T30I$QbEfJJQdic7Ly!pPCtzX z`8US0=pBe=%I`4C(BmDJ$k1OvxsB2|n^A6fTFET7q1?K!L2H)R3$naj45Js$k?<}g zm>bT~6_^_g4{sX|)?HqyIM71UQ4l5?&x58Lt!{BC=5Q2S9l8e;S;O$ZVrs8t_>U)6 zTf*G;B<-o!&<*3uUG1n~5IJQQCOoecsD)>?cRjyimaAp>Uo%CNpT)KW8M9Gmrtkt? zLURG5#sI74VAGAXWeN9*v#;<~dOK&u3);XO^MTkk^EGoOh2t!i#Vr<+Dy#odg(Ugt z9|U6vi{DHa)=)!W`@;@y_t9@I`u+K(FDEAM#Ebu=x_4N7F=N$(V(D=7?{e3^1kp(tz8HG< zAcAHmUHpf=S)U;4`(baE9;IA{Ts)%!=O$t?uYBd ziLsUpkN~m;Ih+bh7g$>i<8t6bcb_4#H1UJ{hH!>jLv=~c_@mw~CwG}26zqoO5J!uj zUCzIBfu&U_Xs)7vhA~4^`2qtqf}#(Dy5^g3Ig>Q&gJQe}u!SolMW#bT7r`<#4X^;) zr;r|wQfu^;lpa60qW_xT7xuv) z+AT;fPa{6kF_mT|pnGT-tPFAN@=*dzd**kIrZsnOg4i*Aj%T;o1Tn84USa0-Lz{gC zEl4OY5TLQY-6wsxnT1sM7!XHh8g#j%aC{SpjLFHJWl*%J?Y{)skip1CoA0BX8O4Y5WebB9;o2n9<1i)LutbBTRSc!Vd4j+ zox{e4G?!J59c7B2U z=H>{AS6=H6LIN!Sz|G%oh|)0iC;s5ASWw4tiY>EFzvRWOxdhVUWFuq1Gw zS%t@erED)a{};k9spKe~Cw_Pgxox&ws$&sq#z}+FZM)LbEFhF`v$yn$$p=J&A zm|14JkAC@W9d#K@FJSHYA&t@a5h;rgtP~xeCi9S1UZ1p?gf^v@mcE|2-Va-=IXkKNzGvDIy zgtH@d^=aLnP$_rPC#%iqn{K23UUBw6?Kr;Pgjd%R#@NB`Um;onISVr5mi%YiDa018 zZZl79R)xFdE;B#&Jz&#t_{Hw>mwC3z$47X3EtUS9HaH4Y02+%Pr}g*@-FQod>>G|x zD>X)Pm@j~RbG+S6B-7#ew1z96CSq2q7{%N5sOT$i(1y3*%{uZFvoGnkKEmSzj2u&~T|kO!dapN_0Q!D{29*A^;TH?S4>2; z4FBtW}x!3{O~-eu{*5(XOJLqzT|)l=t~wl zx!HVBsxzD&ahm*)th$ip|FF(zsnvf}XLLCFpFrVsJaBh$k%$-n3niLMi{FZfIg&}2 ztv;Wf1FWGl%P)Pi+B}AY!Pt8YS0df|#cjffUY8D}~b&G%&;Pn;V6U zz-)eykl|%b?x#Mv;taXZhJRu484;78onG!WxwmFZM!)Flb67R7)yxC?=EWB$$Q$S| z(7%M{GCn)q)fKU;|0Dr~u=>sV4F?Quxikv&_&8@CLtj%|IQq?UXac*#zOv4J<=)!F z4X*x|OK*m=)7l`N*F~$V|6zJ)?UBltmz@YlU+nM5t$y;AZ+7*=*=ZfVVP{#Nx@#Y0 z^_|#TYjX8rW;i29>CkQ*q}bJe*qhai$2h!MW%&344%rWB9G4 zHBYemVfbIS-j>+xKWz1zEzf7I{(=U0ABQ)Y*P9)r#CMj^3p4NlmTLRu2^cb+OqR#& zNA^N?_E*38=XWRY_rLrh{9i9K4lj3=KLWd8(LjI|)ntLLqq}NrDh0<9_mf2ntD&gG zmerap0a_MinfMn(PGWs$l|N2FTB-%P1~Wl%M|!0Jo$dl33r+@Jh@$|JKyJU5V=>i^ z#W=k#tA8=nDD~sf?X=nLJh^G_<8I=TN_8DU7YPTKZ;dJY=al@_tovobhSdq&ic=6n z=a3#fz*mX~JMrUW61$z~4@^PqYnd#}U;s-Q|HNrkHrO<$E6wp|$4Dv#r-(JlF;gl1 zMTNc##H0!UF1iQ0J1ajnQ-t5qveYf;GKyJIo&#aQ0TL@BB50oXQ_vOeE^YSDff_wq z*3KOegNPmk1PQ|z7uB8v!@&U(_uC@N$NAFp1Ek=xV+SVsjP6Y|i7il5k`kb6u8siH z*okG4kN!ak?r{9YGz-tMpJDOEK%$luYwkSUmSPnTpJ@sXohKEV0=gVb#{3Wr(>pB4~T4pB-^+q?DtqRKztrjWIhrsxQGE&W<>8`Rr%6Mv`g#B<{G? zb7D)4e=(u!tvmyCwX*uKNtv_0)88rw_k?FFoc?Bh8UeA?$LXn!%}R(5V1x^+FOJ!t z0|?>kE4803U2i<(oQ-Yj)CUspz>^%GaQ<5bc0P?*?bP~619j? z_zbA@uaG=fkB=CT_AKA0nIB7F{Xed}+@5lKfGS_OysbTxPoTDQa>w&oj*ocwLuv6p z2+?(FIfa*(+p{eb?`Z4G(*^TAOE{ z=HbI~9$;A)FGsd+f`ZZsXq!EPa6S55pG&OCQ9r8HV31$o&A2UKKS$jN9%0K0X)0GNUluS8H}1M0d|RxAS!Axy|B#*p-L z7jD9Wq&4z%$U~Jv?vT4hP0^dY5P)Ff$Mxs)27m2K$!8e z`rp}zF5b3J9Fb6pux~`CH$hxP0(w~IFLJpp9m!vlDcg7EvM_~KpU53}ql-=jGrTKy zL~a2v4-?Ck8-c3K<5mf?s7<^ltv*aEQKU z7R509ubIft$t~!E>M?#65C2&R(CO>uu$;sI)(73(aCpS87pegdfPgyj=$}-~b5f)K zs9Hui`QkF(gN%MS`Qp`o5d70gU))E%Sx#>AA9QcS(P<`86uh_}Mf@9v-%Nxju=yXw zJsa#!vn=WZfX`w0V)V~v`#bCC3jw=(y=f`EgeJI#K?a($v!)WTqv;)=@gO7U4pziv zj3<%*O8w18ISs+~G}li*i#WQHty&Mi(B(8F_GpmCH=1t4SWNvJ@{|l7>m#pq^w=EgKB4{_4u31{_yfRu;p}{hEbs?8ZQ<-R!&bk1@g25Dnk9r6!&w^?PAZjC z6yOc#8lbk+n`ZkAaoP`hxv&A^JSWZrYPcIcjAF6}T3jgDY1A(IT!&zP#PAtd{|7)n z!wvqL<$=TMH*?p27W%Myd&Stv2G0Ru%h7Y_Epx56SISet$tSz_1g@Z0Z6*+WL)hL* zOZWuuA>7_(I@-_j-OfCJY10vKw3fNv5cZZqKQmf9QKz1ubK8qtm1liE+y6PuI|!dI z}SjGvAk;HeiZwoXBeGlAzTh+l>rV1@{wv*Z$vP;=*$`SSi}7=AOY@t3zhg!0kS z%rk)>IhaimaYd(@?hU^H2AARv=&)`j&2fh)xRQbUPz1V`vKAT2cqa5SRFcZ~06fAY zeVI&^Rajfk|$T5030`-G@`d#aJO1q20#(xP(>=^-MD2o7hJyrT0PqX zvE(`hW1c0~Pf?$VZJ9O8VO@I}OJ{K=x<$nBV+bs;15$Uo44EuX0m&?lDS&!e_p-mu zUpHs${PmL#YpFlP*_=ZsQaK(2S>2@bDO|Y1Cw%fctkBC`Ee!B1=poXK-^MlQ1>?z$ zN<(YD#w})O02B&)k?`|ZOoRO9#Eaih-eOjY=^!oIi^iM3Y7$yyv)|pi=@C2q2m0L$i~lj5uQ>kFu6stj@D6}{q3Aep zf|P9*2aWwWHYMinN1b2gIJUCijPcG)_XIM%z9Lt+zs=3lDu2Dc1PDwVH$JF?4hKkz zTVU04pm#WFRh|L^$MBg=<*suFnqk0S{sF)aNXfP|iumk}ji-mm;@RdyG~V(GgdJ$6 z;r;SJ$YuEA=;gDef6iV2DFrxT0S(sDXi$KcJ-7*NjPUx%g*Kg9!(siKakK}*zYn^= zC(t`1O#K*wUNRwkN-R_wSyaCM^qD@G38o;<9cI=fW!5TwD6AG5F^7~7yF11>*69RdUE=%sxHgUS{kTsx}l8NipHab@gyJ-tw0eCgSlu#nuElGw2e z!%uAy=nORE8;@5Wurrc+Gw7Fh7awAFF)fc^{}n|$K7)oCbITOONZ;hz(iDIaKSyg?MhB1CXHJ5S_a`u_*V|Rh z2%-(z0fj{NK-N)R0Ue*6XlW)MIyU^$%$onAn8wJ>I~SsEAXJbx@FXq-E~VLXyNGCs zOKIqX>~U1+I7m?x+|G`{*i;=UKK5g_1+X%%Gn28-Kx$Kvl$diMx2hzw$t5tzUm_!T zr3vrs7KoF14R#%GD@RN8QY|U{?lUAciQq^`t}6u`W7(?1e_%N(IGI;cN#z|_$1n5@ z478}AjBBVf!&X4BuvEx^!vkLOCjECnYDf(%NM0JK+yOjFta>vFy7o>UrHfbKmPhGy zF~!-7T;|*W`%n%bNchX5EYA9xzH79qkxFQi%8VmIH#%X>TFB=h;3^}prz$Mr5ZZBB|Y2QaBOIv<37=zK0 zr9InQS$ZLrK)K@&AecKc##S1fYq$_Ye$>ha%b9Eom}s*L6Zrr8ua`DDyc@D9BvvIZ zP$DoaPeG+w(wATtdRXb#11-()bmc5PJ_CZkTV6e>7X%*hfe+D;*{d?Nj&V_(ChCf1 zaEQbuP3U6aKwMy`MCoc|bYRYh)HMZZJO;u{hPI67_$Uzijt4QV-s*`sApZRH@u}Y- zbYLx210CFHOMa1^%6%V-aiK&TN+HFDL=y%H^Cn zC1)v(hEjP?<`pxLa(Ql;M0bgYTI$tjoFT0It~+5WQUohkiA4br*m9Um6?CROHO+PS z(#2<5X_J>%%j9_qefw~c0aNH}ou$076oi<#V7aPvT1$cf{u1M_5>3br zYbxd$%ylBU;ja=IV+t8d!=1w#6mR63@V&n zsbos&CMV8WLAm>U6Ixqf4ZjD-;-Aa-q&GxBTT-tVi-A~NF9z0^>&4|Q0MUeo>r2QH zbA_<&%od3O&c%X#IitZs2zbt>1TE{o1!6EC9bk9p$F0yseq6!(Ds%Lw6uiZdww8i7 zp>8Zvp6n4_!2q3|XQ@@^FfxBzr^Ub>rnWw^rd~_jgm;6F5`B0+^}OO-CoHT-#kcQ!!QsyLE8EMU#W^?u!<^D9Gt3p z^xErUXE&DLT1%A3$$1!ufBl+kKSgrLIP{JqLn93T_FB~%8A{U&ubNa}^+nbjE=wxp zv*J7gMKGvY7sop+=YW$cOtl79^-s;*W9%*l^}^pg&XBfzUfed=%ShclG63s^e_Mh& z)p`&o4Ml$lcLlmClB`G<7N%P3h5zbwVgWKj%x9X7`Lxk>j`1XX6o3w`vt7CNpz{Xr zd!UmFr(k?O$cXrLh^AY7%uprQ2svH4ud%l0nAU&`NlN&GBS=cM1dWaQ9@AC|s zFbky)y6&L^2+@>e)Lj9jm$J4!hLeX}ud0v&YtOWjD+npD;@&7YT6ubM6#+5~=7TOg zTY>1w?Sd-84dFA|bkzRGKm5a2*Xu(?y7Kj#0b%|O^uShfz>cPjDUfmvGH?g2FcP+* z3|R1G9iJm-HV~ywY|w+S$+_?&$dWX`%FfAkR%ne4nE4Si zj4x@J2y+GUNH}E5BaS8jVFmmbMML=&5EHD|flRx_lPI)Xl!y3c;X<#v4$84q<~*ZI z>XLMKDCC$H(tO1ckacOo+v~eDmKIz*Qb$gJm7IM_B7^3)nz#ru`33O9r4FYxsE+a; zlBwHzow5O9U)LUbnMd@tYa#P-MuOQu0y!!%T&avTB^l8X9?>#)Wa|;VKkEc{BsPa5 zJ?emuAiEmCsd%F=wU5|aQjJX;Vh>AbP3y)42wY5w0I%V!?ZVvffF;OT9omN*L5{+8 z3~5WMz<7R^1D4RL(mgV`V+>U=c!YdL>abgLCzmHxvQAk5@j6)BIkfrq<|op2}Tdo1xch{0$A55}qaZ3XI$ zK>6V@NsCGhYpj3(=&?Q)?WIL>dFI&`3V(q1Z6Olj7!$sOcAtU#7CwN;)d~@%1KGO^ zzo0@~XzXbI<;Lt0TbSj(#{-(tVrr2`Ov$I1- zNR^}M?9(^1(3KI=hJ}s|bp&a5lnY%%ox}vJ;JVDRY}y!bRvdvH7DIQfTl=r6R_uYx zhUF}RR&GL!-8W8u)`$65#E$|!g`bhLc2f#}7lauw9JBE7H zK8+{V8NXaBodzQkninc~4$@K%<*M^QJrzU}F-v5aL z-gYD1mLO|tcPvoDhMsw*vmqVdsefKV9Hf|*AWx>y_Sh)?6z2OI;s_=yM@aa}h`T=S zf#)QvE1>?Pp38HtXp=F(66B!>mVn0r8Kxl4-lK6qSu>yfroDS6kC-v)Lw3MzUJ%Ep zwosSE;3L}Esw~^qd#OS&l%h5p+mItz$|~-T1$1*cuX3aST(&nk1l>>}OygJ`%MiOs2|Hh1w}O3Z~FR?%22qiC$N8 zJ?$kq@`Pq!JN-FDF4P?CK?Jw1PQv#LXnn zy60p!cDOu=->+-&iMYmj-MZ)7WGJ8Q~5#Gmy7I|C)qyc64V4a$8&7)Sjq{_InVK#ETqLvjACtG*o zf+v*|ki&eM0|`)iA5+c2AmzhPAQJ@uz(HJG;+2)%Msdvj97}5yO3P%_9d)j}~F(uq2 zz2y?%8IXN9U0zw3;kV_{VMF$OpnoMs03&``J2+0ls3H!NFtIs^J&uv^I4)(TyRs+1AyeaU8 z^LN0UAnOmCjNX?Zk0OB8A$J>ng93gFMMR(e#){>=EkUMz0trp%5a49l!ahX%I@jCDU zuoWi&VZP?`>~lIbAO)e8%6!B`OUCjdd~P8Ed5wLFIimMTEanmXTNzHeAe-E{@D!*K z$VCvs9(dpfEI~#;;w!K`!>qYLA;u+^imDh-^Ew8QMc49-w}R9y1~GjS!fY+{umTyE zpJ1fFA0jZeLIg${8||}i2eh?Pj*!&?@b(d8B6`$V3+EI>vjTDtuIY(8uscLBc1Qu zm!CA|vh!cO7KmYo!c-#v_^2`? z`W_YxW^{^-F(W!9rPvhEDb#Fbl^luS#@8=16}#kBQh>ei`qNn((Ke>p_x0)3((+90 z>g(gn`2R!qzDXJE*5i`>irFehx0hq@e5aADKqlZ51DNss%bc`NZ~ombqiihdF8tS@ z>->U)4Q~uu90PNFM59#p2<}qJfc?Sowm(F!cf}2`$JL#k`x@rTFTvI=?5Jpl38gRF zopgJpa$FqKxERgEa+%hK;X4VJ(%vf_u6OIIwk5q%8UdkD3<+dcqY1sgPvyxRrfeI| zPxi85sZLp9^ge555_`KscDn*3W=^AZfiVZUwLU32CTn4NTS6;Pj0SEsLzRJ_Ngt|| zI{Nn|i21q?7x;jDJyt>4gwcm59w3|VVU$vD1zq|IvSn8m>Qk7`LBKSXGN@@l?Jq%| z&OsnzeqKV!J&<}x?B5Q@IF0@J)dna#g7Kz>2I1JUzM8=k)z}FJ`CYa<~IVv4* z1U&m?Ol9^D@EdM06=sKW8tw9^A^WEIQ|td+dl4krZJfBGEUa<4&!vYyx~Vp|WkA0q z0o|wjq50dY?O$ZsyxWI)FSbQfRi9)hwyxLuBE?EMHlEXwMyW_ZYU|RIWlL?ZkFTb- zJQSRj236fTn^{HorG(uR8}`kQuNqGywe3^ql7h+@`CxP|fy1VG^R#B>(&Gb+$zJ2c zzr=PguG1UYdOD;TJ|b{q0iV4X;jKZ1a!?g(F2-DHzJ35PvGu`y%0t-anrw%uL7wa% zTB9C9Iz9mJ{cyzite2?_z*!M?0%P_4Jw$my8Y-EFnCll%$uKPKDArgZWdxTB4n0zt z(Dti+_Tg%;odPmn#mFp0FgZKwM75sAsf@5j`b1X9CxB!K*o-kJxNZtL-$O{7(pxFJ zDX3R*Ar1*tjEcBeO06_q@-84bI&98M_!gbH1$)~Gtv-W8lKEG`G+MZ?`%6%7N#GUy zrh8b(5E0P01YjJF&;n7-b02+XpEu`H7)F54)uoZ~2sH%NzPJe+$oz)g@N|}Hh>5Ds zeJF7py10=+QXo+S9*)Zu$PTk9)olr?CJ095bLx9ou>JrJn{+ewPHnU4+fZw6mWrnu zByPz9OdN_bl!^yf_z1(wS%zsu)zRnfXkn@amLkO$al<(~(XJLA8wZQwl>?;VLaU^x za0NJgYC7YNpis~1K)^%)U}HU-&u@H}Y$HlF9xl`sw5)cJ5km2#9GeVh$i!qM8*t>qLJpfWISDU1bD$|<2ThioGm01A1Qf@1e=V=ONWIgbkQ3W^=&t625iK8hk`EFS zxUJw5Kn=`i(1#)b%Vs0}W4@@|C(czicJTRfF;WQ9P+HT2UCYG`mv!Y>3`;kEO||l| z$%u(}8av}QF6;V2RpbjrU^nxYg7ZOm+A$@+){<5V`}s7;7nKy2oo*Dd7bw|@*O=?y z{gQ0UO)ulc2MA9arPBN4qm>@N3>{G+)l`|9&~6Ut zyDvy$2SslW&rKJ$p|`1|tnXhPFwH4bqau`S!AD2f$A*LFe4tO94AXq$pgl=AvGvEC9>Lh`qX+HiwbQ3syez8diKV{^U3+iNZ{@HVeda1T)o+#kR*evW}M3dLT-F zQn9ZBZiec`Cl^9Z+qLu>HMOfQP|Z801%am3na#}BX_QmDrw}}eYLXVg69X!FeU+`s z++AwxO+AvvfP@^e&Fnyoqtc4C9GQ%nPjco#guOQ=r zM|O>#3eti?5q$4FWuWmDYJg+=OOO>cfJVMNU$3z(^a5-GU8B_a;Sja>Mb0WfW2+bI ziUL3EehbDA`%6&AX>dz~koCdWEy;BXl+@%> zhhtnyl@nrfObC*+%Ri$~G2K zQ?#d0nxZjK(3Qe4@+w;pXp->7@U@0ilx}~5M8K*MO zsjv}ebKO8P-#cw`ROtJ>3np}Zd|AQna zKS>b3BqzZ3`0*RaJrT5M{c{>uq=<(_^ei1xKVRb_WJw_a);GCOVf2fAlbRBnr8Cr0 zETW717&}uCJQ>hxunynVS`3f>5KjGm zIE6DVuOj%GId(DfL|FCX;2be5L4<2GLU z6+5W0hZc7OnWlvb99cTqsZmSgfXhH0qW~I`e20>o9$~);VaiH&ylx}8jTVnza)$H3 zYtv;=&L-42`rvY!bhHbl2jGQdK}3BabLk=G?gbC#`~tv3aZ?h7YSf4;l4Nx#jxh+4 zf+(MS9&tJ+h*MVZgJrCL+e7Lkr6D8Th^4ZdZUuMUcahB4-w1>4!yxAGZ{sp z*B~U@R`p3|7zE0Sl+LACBy;Z*r!~sxAnrYe_G8##ss+^i5xGI z39$VA^Cf0%C1VNdDduo6Rlq6083x=Q9LEW+-}_6DvmwxiNpwuz@Lps?VU;tbyajUP zj;^(z?rofDP%SGG&B1s>*nP9TdOP8^WbH?GcD^;t?lBhtn4nb(xcmB{@*#LSBd-Q} zefb#)W`%TBr2>i-DWbAYqZ7*}#r*iBHhciN;gg0(0(T3d2q_tgB8yh2ol3gSV=W3$ zk+)(kR0=`VvT^ANC~#W=HcbJB7c1CErRJV$*nfR|S^of9iK(>TyR;H_uu?L8V@lX0 zY^4?243z9ZR!8%4tCf{X4Ly^y?)v!hcnVH*o9XzQlp%M+j|>(BP5$zyNklDpOTb@b zBWQKR4W?$tXfLzEVjniYg)oE0H;8JjRz*6Mmiu{I-SXqhdTyukvQ-(yXEK9jlS)TR zq35~*{1zIWIk>z18>o1}6X9ilT!XQig2InQU?09~TCqO9Ok7IcqXJi=Mw0hE2q_ih z<~L~$2(VV6!wIh$U||4* zFslN}6##pE8!y;G0+iZBqG+BiCNk>*=qGnQ0M;5H%n{vKp~>4PH9(CbLwWzJEr=%A z48#{;lfaR;8SH!e1hNnSx`5;|58NWzO8`TO?m3Fu6_WHi28<_{W)KBg@b}-`Z2Ln&0*mPv<(?jVz(qukqbR#p-^1429PrqncpO4A})4P!~0{6G{IG)b^P ziraWlxJ|vpxQpa@Bh+??+klHt0Wlu+{KIde!T169fyy7v^XCePaWqvn{5dObLzfE+ z3B?AoT#--^TpM~qzGh-qzRuLQ&o}9l+@>Vgwn^u3znM?LZ3oG1xj8um`_~zrj*$mO z0-!<8Bc5X!O9}J|lOj0uCJefP}-mz>XS62rnK1^(GM#9X+t1lZzB5*A}4@jeSqL>qr-1~uu>@SF}s0=y}X zHk*4U4%$^1KFm14inn3-7>Q)lQd-jh$< zZZcV&&GGalm1RL74=owW(?e&`yn*gXiS5HEbBrcv~P^t3)cY-0f&_WTBw{5 zwcZ3>Dk?qXw-L#(KFvVP{Hj|VQV;Tjs$U39=ou9(kU;;T0ZY^^Jzy8fBX5kaCn2eT zz~n~w)!>wY1*rRI@y~lACdvDy4MrYO*8K=f@gQT0(W}EY!X5oCx03QnmU$U^X~P_d zLnqj#&qwI753(ykxDMvwIW87^z3&00|Clc-Q#eAOFukAv<97i6R9%Gl;!-cO`8zb0 zYR!?ixakdUu^IB9ZTpy1-bOT|a^>V6J0d zqnq)@!oYk4Wb7+s#=2w=eT&SPN(}ovbhrHYGA}7Btn_i{=)(zCXZKL6AQqPf(*>3@ z_!~5kKyGJjQ-?LkZV(CKF-qj*xk>B~QTiKVUskbOnOb$(ObSrh?++~7EWL}5uaf;w zWC{PUhh!9yOHMOyvVxCdTGCKA#R@`JiDaL*B`-F&noWN(e}dl)Uy=PIQC$%R zi<4298()%&>>$C2hl9|noxB~H?OVlleHy_mBeVD=)JqMuLF9Nw)7$Dc5en>@E?32+ z);#{MpF2fEPh4s+^t6HM))Ou3?rlJ&6}0&*sPw4AAWzR4EY~iOG40Z@dQ_FBawItD zNUF3_qRj+jc}_5_48`kKl85+!`0<|V_8#9h`KY-ZRA?O?m(cHe7|iC*!^W`;{Vw}A zXr@^X$u}tF7w^8H)hTAUm7M1{KsA}q@c^?%m#ptGgfe&}Kb+-eL60Gck$&HdHiB}( zqHLg?bsx!+Lbw*Zel0jcNCY*N^5d(?(UPhiaD0|ej@}-Mn*Dif!qkKSCenN3P+EPCES zJHsB5X-`qqD@m{mw*eAtFkL9iUGe^Nc3Hps>T2IUUOw&Jsfym$@8$A7P>~%?`dEnQ zeM3awMH;IZIha4Vkw90>6$^lG^c=Ahrj#ntxDzx{>MlHL$0HRwGKE-f_P#AdFz*=1})D$fF$uYw~lI#d@Tn}Aofs(RfHfy^ICRnpkiD9q-dB!Ps6 zG7(3BltwkbLJ+5vD(5VDkP-nhsP;J7GE{q5fZBWli5vgR>k%UZz z>=GhER0hi5s!)DmGQp#L$QF`gDRSJtIv7Pgob9+OYg#Zmba3eOu(oHCjrCuf-^X8Z!)s+ z;S8kshfg45OtP18L5Lg8nPU;d>nCVNY=6q#y!`kw#^jX2NG^_{hpYgGkxop9rxS8E zggQOJn0$jNH)}K5PVIuwGe4(vMNh{NZ4cHMS-*kI0&8&=$F^fmge_#P?$w$gDnn2y z2+9!oDJYtS2whPb;vlS;YP?45b}AZOgvbm$>iYDd<{-!;lreK76#7I{Y|K3$gc{If z#iiVek=eHJ^RO{sgJ!Y{5fLiZkZarq2RuLaUt=x4fyWc5m(-;>X*c4;#A~cU0d-f8iKCCM$3L2x_uS6T&oUDN(K8 zh!(kj1bg=fLLdc}qfdTA*wi8RM=IVTo=igO!XFfxpoGTHNd%}-)+}!%S$yL>(h2M1 z%RQ7O-9c~W>{p!wc_f2$r+YpJMezsl{b{8LZNDSN8I#OY%h-GrGd6sPO~!VN{D2NV zLM?^tYmT*HHuuL^2?Gtxactw^^)SefKc?F#TD!mHQrMZtOfsaoCEM(uOMXB&W9!Sf ziR7smgadFj#orTGrg zB#XO9%}>#|T2h~5SHP}CYpd{G;Eil0C+OMqrI8kqPF1}hWtk1G_PKf4?{;tBTll|GO`K( zoZgfgp`0S5>c)qN8BOY32^vyV#Q_2(Z!;Z*b2v&{7z@l9g{+Dd&3(f*8g|1dO6lm% zE)Wv-zk!@jV7A8u6Z>kPKaM7LoZ4H-%cEQvK<9Zw;xAa2U@k_fcyu?8(B_+D2b?IM z5te|CS0SSVtjQ%eh?%)8L-{v2@)`|}DQWUSD$Yh9Umss3r=7|B=KAL=w*}#L@Wr~d$Wi|`6GNlhQ_AEv_FbbEvzWtwb6Wc1vUjVz^H16*^K!UsLhF! z9b;LG#wEMq6vtR5`l8Xu601Uk1F1uo-eguQTNST+CMVU0k01vlNO2_8x+=-^jn=(Y zOh<<7Hi=5T2Oz=riVBnH!UX1p&}_c2fq&8^l{YqOj$gllEJl;cgI0*qn3C#>`%LyQ z(b<8qoWtmRBRkUCpit|Y$i>)LTz(%>S)S$hQSRWmRw#G}AoH8}8;l*Sy=t|x;g+7$ zZ}8qW-Oha=`6h2C{(sW#tpJ+F`7YO>e6hCtM!yHInIWh8Z`{a7rSvF%drP_uKm+;;i69MH}H zYL+1_FzUrja^HBHzLJDsOhQ_;AB9@s6ixXlz8hU+j{)P$fP@hyW2hXxOCtM=?+{X2 zHn5S>I&&zMJ6{lO;N|*eEufljprR_mP2?bl+jr1FD0Hx4cZjplql0~fbjhq{cij4H zej&CLRQeEt2^&WUHgGzy05!AQOc5w}Rnc`}Rs^XleB9p2@76-9IR`Su3?Pb4 zUQ|#Gn9=}%?AlzQ$!O*9_))3y-4cC&{^Pg5{r}CcSwYwT+gCsO?rW&EA;!LN_gCsc zns$Ip*_zTgnX(mWIGNPWB!;d)AReE060srvkR_;{EMTFd1S_V*1z{(!=r>bhyCBTm z+Qee-VMceS&;Wug8M6f3YKLTNGN&dTR5DY@ZlfqkGPVSl+v$7-UHtp?Y+kR-uYdlR zKmP02TuX~!cQBP=WUI{zp;6flIEpWncJjb0oiP4nZo;;*&?)56=wfG^1z?Syz4#(E;)M(hU4))A!X zEa}zsCatpUc;bKk>sL3AUq3q43oKqN2#Ao|E|@}61DARLA(V|)2#pFRKAE4Kio-pV zn_t{Q>crF|SxFUV3cTSS78m$#Q0u5E|MnaEviHa~NsGLf>@k1@0A+>2pFb)f$xtl4 zqr*wNPq&k;2#niF#^!F?A-F8^qekpF*QJStIjvK>YQ(0y^k#mOMP%+Y#4jN8x5M6# zD4LFcknQK7r^Y4PTYG-7vUH5|wZNr%y)9w6?AWzkVBP*U$ zlSL14DKtVZUq5;^)r?UD!}B0GQc$&U7XbQ* zo`FQcF(?KZq8EO)(VbM&ye<^rSqz69SL4(O4UEl8ho)rs1>_0naa> z?gv^0>}-ZdG`gw4HM9(mYHn(E5f1~eL?The)b!A(RKuABc&;z8ugc1p~Pw$P|n0--fF<1(KHlK~oxx4(T1O zTSyiO#e8mjKE#D-vF$G2Kg9CD8)VV$IU6eVq-mm#-#`$io()pI55z_I@IV6!o2Xiv z{SH1vuK^PUAEa{qToFw7(rT5d?4wNY{SU%9@Lj=%6cZiJ1t(r9wvDs~Be`!EV^5M+ zRFwHcnpN9%LTjlYTTB_{mO&3J9E22y7S6vr0OWc*2r{n-v+GUwv|y)!2F)g`IKoC? zQR^Fy-&3dZ{C8kXWnwg?EcxauLH+_!>Nd&i3P|<$&@{tImK%0}7}i_D#twX{oj%(r zJnQo}t4aYl0Bg)btByvKIQ$?BTOfTn0kUE-Kr`&IfDN9%3}&cv;xYha%A8f)mLMw@ z_sQd++$pI_;pFf)f>`KYZmm|BL%d7FAEX}NdALN(ts&T79Y_l+5Cf49zyS}cfD0F# z_t`cDe*@5(56vcjOm!d=*?^tj$fp-ZP!_87ZY)&`1UNxm(z`XUIS_Tc4%dyA)n`s5 z^mzRMT?1nV*z9%M^jxA9>KnoV@CBx$h;OR$MjT@j$tyr%Q}GtFa<{5|lFudHrBYdn zqFn>O0fHY7=*!r3$yR2ua(KQ7s%EfjI#D_S;y3Vs!A&f5LvcLj`2pd(c^rHfdSk0W ztZ@s;g(U{9?)efZqFSK9MvfEo39O>ms@g`=3D^>E1q+aUEW7OIjK*k>PAN;{!Wnpv zT`3NMyo+4&87e?(;8yk=eWO=>41QD{oyAg;dkHQS`&_Ho-#f(H{9!jWn^DxGso$e4 zh`prZ5a5IWX~gR*2;IBF1asku3C95zGgcO>tTKZ7c&lWPKMHPmJ_@mAVGvY{2SFae z>Xf(m1sIUR$*6@O``79-t0(m`jq{hQRm0+@jxIh=xoYpM@(`+QOFUooJ)dYOlr-I{ z1PXRJgtgPKq|$2R5bkD;vXT!-*6`sYd8)8Maj6oy7e%*@iPSQNa!S7NH;Rv5H?`Ws z!Iqd3<;YSimVK%&Ig_^93HwOxqoJSAM3y2T`E%(YiN0ZsSbQww!BkT(R#3#g0REL< z!0#mPn;U>G6KqWu}f(!}MUW(^gCI&=8##8=Y!(tncZ3?dE zStgg`QcL{eOHJjsGyGMb@)m>Re!!56-sVu`HHbbSCTYrUQ8@=~+0Zubrh{s08CHv? z>HNGcN_IeRVzK?`Cbd)V!hYB)mFE#K@P>ZDkF~S)@4H$~cW}-&fRO!WeQYPBK2ElD zM_mK_^&)8}nnq<)|5PXts5~{3!0jHvQY65&Dj>?h;`kv1=B&Q=rS zbJ6j4*IiWk1sEC8s;12#{=hVRiW^!sat8wlhw_1)m5q!R!LX{(A{T?;KDqS5%=fT~ zjAA8)RhW-uvsPFl7+q5IAzVBu9*u+KxRc~k3WIC{#?imd3)8ZHy+NlPLr_+Ur4)kQ zO3D-xh4N_hb{T4D zMw5F&e-GfdtTYI9zRJwexg__gzW+hnhQJ&m3&>%kb6L>J8x}LziS=VH{rbXqBz$8o-}Xl8}8TkRA&LM5u9T zKmAzepByBUe z$9D))3!OPz?^?iR1jr5P#Zds4p*h%s>)!>g*0!Gx0j}1}1_qh+2YZ%{!dT$~PZ>AI z&4!=MJSVevTM20+E=L_IrOqftM5r$wTjjG)5$nT(ATm@wj znm!bcg`hx12D=a9=vB4+2W~)kzla&TbRhx=$RV$<;&u+Qnsd!r{C1%qIq}73?pe#7 zX~)q+%b{=-;Q5i_=zVo9k)e9rYKh)``C1MpPjp~U;*ngq`hAV|0BJy$zufM`Qtgw% zS|j!-LifF>UC62+cC@8h#TJq0l!F9(Nd8n;x0+|NkJ2-Tz z8Hd6DvY>A{fKqYL%(<|;8DQp$=?4(Iv>mtF4=x{YzB|HUcIRdgvo;^@)dxAo@CW&g zY zrq6_IhXj#hd@NL8Jp1okOnD(XBHc+D-ZgE7{Z5nEx_hYp?tc&_99@4@fvRTl9Cp!) z3l(c&*oCGpQb?k-ty+)jsFA7EJf#=r$3fX~U#o5D9xdh#C$S(Inl|IX8W{|J0&!o< zO5UhvX;s?u53-kj_)P@x#lh<_he3wGp>L5+aSMh^W6IJ6M%&b_iJM7n$BzkwiLl5# zglVf31SMW?zWLS02w8NgI(h{b8V8zlV~e$6JPVqp$OongGSi@YjxW)Ls6ZFCNP$+g zI%Ir#nEX5X8t=Q_Y}$})2iw$LuG?BYl~iika9ay5$d-h5Kg73^ER+z>St(`9;B{by zcIwk>%r~YqdCa2<-<~PRgSB+lTG4I7>8IEf$b&WwwL3J)$>6F;@d!_YQaP{c3u%5z zThq(d3eZ+3n-Lq?CD&7-TS;u>rQv$o{Q#|cpu;6S2L%*R>Nkuc%4``U%UWMH12uF8 z3aq&|vlLXSMHH9E`y>lDW*j4uLwB<=Cl9;%Grz zd@Htgh)?hO0c4Cnl4K&Z1*wUR4WnIZ;&uq+$;q5HTBqbxzJU2(78zX2xXw5h60TaEJqwH6IEXK^92(;o z)xH9?OWY?Cd5?l~*|a*O;$RxG%Q;(-0P6}`C1b`py$<#r#G0f&cKAB7Rrp5g4&iht zjM3jSu?j0tZ)A_MiXFrXEdku(M6*xH#w)F02OF)zy?mH+_!+~eP$>8*y8<@>1^{T% z413}ud=69+a}zy)i>>a|I?g&(p3MYx9Sc2z}TIXRs0cz-& zRnU>|2sCnSiTttt;i#gKoBV3~*WbaaF3>f=DjcL%C>QQR#4m`NZT2AyS6JqNhyV zm1S=Qq>#{+ite426q0g$X_e{j8{*6UmXI-w`j+72FrH0F`5z(fEAzcK=7FSJ76|JA zc@wn-j;z6W^C7s%pCP2LBV_{sCC#@5mso3sL>gFeYbn?@%%CA!5FHZ8z06-z5VanO z0E~X32OLd}?`k`mRdsQq+cFBgWAno;tl?M$9MV9fP|dd-EFr-RZu?JujZ;Ox-AP5-j#iS4PVi6em&uM*f zNGj6|B-&Jb`BVlq|F+aPK%q=_V?@?M6bQOq1>T)QMB$tVJQ)*5B&Ph^OJa(@=}R6%YS0OULlP#51<8k2LO(WY zch>&Lx|dqv>%2TE^qzOOg{wJRVh%mBiVL?Q1640LfS?xSZfyS+%;p{clMu%)Y$n*h zLaV*%;_IstDh+Hf3`U>lbph)244&QWlMr73rp>hraO7NJK|0I`FS%Lk%A8&LKS6V`OB(4AeZNSUxFKuctT~)e9;SLlTxqFFJzDUE2kCbC(V;RA!h# zR3`BPk8&&r1D|Rdjg!ny0mdcqZz$@e!3-_YwKZW}@EMMS8s!J305OyE3Qlp2gTuP7 zyD_gZ+RwxAHK^JTp_u8|CJ7jat_E7U+cH$!RZT5JfUQnjQAi+p*7 zoPkOUK3=8vn0*}fXXdJXp z2P1=%^&vjQA@SuCAT&m8vdba-a0L2XrvS8|pQ15FSV9O%&Y(6FzrxwjPm>z(Fth14 zyw}2j0bLBA6gx-xuGX3;Y}J5?SE-=PPRX}We6{y?Mk`+BZ97Aa+%CBa83d<%`NBrf zk&+tmoi-!Nl$eK64@H^LXgoqiS9KI_OA8Y{Pq|D`^~Tq=^L^y>(yY{hw6Ta2K|ugJ>O#$T@rOfYs`#HmJDh z?H|qKKpq8JYX~Ov!38n122Wld!>A4n&cgv9{RD+elaNGIoUqZGEBaGA&#z{*xS${d zM#b@hPzfxA;}*hPsiw5J$+o{b1VOgl^9ElAo%pI6x_w|8pZ^W*vByViBAS03A z(~y*-h$bZrj#xpfF$a0V9Lwd=V>56B?*N;~dX?bXOew0cWDdEpHWLi&cc$#JkQt9t9uNd?gcGrW*Wx_Twn!U|M(!X8jD;K0I&(dXUP2^DjscZZ=%75Z(@U9P(pW|yzN zw!TY8?NB`@gu`YR5-NBVRfytJ8*_%;kC!)ua3E!wURxK-*Qu*O^?)D5Dvb`0N!zZ8r6pwrev~ z&Tpt)1#6+iZ9Y1d9n^MJb@mBO-&zah@A4_m_mWnSeN?6b{^F=?LW&*i5DTBd#Qfc_ z-c?ERCI=QunPLqSTDM0iW~hB2O3p`q-7fAD)tx6{3!@=_L?38q{R&DSh%zNzvV=pJ zzqKX*ArW$Ynv7r8Z367CN9?Owa+i;kv@01r{6TFUStp$?H5)Q=zW%~k1U&_oGrg&L zd02%CjhrGbJA7(r4;I|9p`OU*R9d&0Hmf?QN%aoY%~}dymDLE|rVH>h@S?lW2kUB5 zwf#uc>c}NUA`n+G*pZwSZuT0U`Hn^I^*eN5t`_ym ziXS-LKFN)aa@bOpn}OtT$kzG*;p_7)-6;7=?{E%0aof?EQKH zmMu-43MdPLZd2;85joVg^nlnx!DnC(sL(=LxRIaoFK2O<#{$&B3_=MxOys2J;b%OW zF5O246)-G7O%b^75gdWS$sYs`Na2t2$TmFYAjrBZu)U(qDs)xyS+9#k?eH7u$sL3~ zR|Tusv|lJ4hFZI@ir7C4#~D}eLA*p+I}9hF+t}phXYiDgMehsRev=XUH7?gfAy=`W zl6C2KC=e4NXfq_Yn>*@E$hdnDR5nHz`5q)#EaA6Uu>HuF&5EZit+F^fwI% zmhb^&W;iH7DRsjYuI8lhj9dv*;dy)is`44sNDxLNJUQ>#jYu_6a%*B-wQQi<2T-#+ zHoK8E(_$oFFTBkM@Ae7Qo+47qIHN#zSI|_&_;!=sRY#%1HxLi84=9)cy`AU?mL1Oe zKxY_$zViXP=4~QR;Ty<3#l)W{Y9$q{r!I^-;X|S^X(U(NR-ooXi0T(kDfXdBs4Jo^ zDg>bp=_y0a+_W2tP^NV>mSUl25~yWfjQo3=LO;W-Cd#fu3Bncmk2;fIvhLaQdTO6LbTyr!hE@)5B#of z!);f8g8uqoOq^7@HmBm-Cuo!@KTD-MH*XgZh|@U z!~NcUG-$(;Ien-=_Ezj?O~c?(r6{Dh*v~cXmof^y>$^J)eW_*LXS>s=FRc{U+b)%5 z{L!MK^-_Ifj+qu4bEaYCx=ZB=6L^=1pmZ-S2**chxun)G;ze&CKt?||^cCMap z!$TTUVz0wom&=mlEhqp}$Fk_Zb>Ez_#t9M$mI1MenJoiSd>kNrHmuu^=^`f*#EopW zP$5Kjxh-VibUz$OO~o;?*VrrbNsP9{TlGv5)`h3x8!pfa!D^ygkL<9R3n{X~Olq*a z3H{s02YP#>6xW%Z3GZi8T?FXI3$>+?+!wmoKrUe6bd)g7Gk=VFZjTMK(E!aqJ^9sK zF{X#6S>_s1)TEE4$taX2h$x8#NR=2^MMM$1?;CqgkIsboJgOrxprEHqx*76)M+knh ztpnH1nuKYm@hdw8e7x~nJd5&X_DKc99(n;+5DvgVk~lt@QR(R(4AmqH=I&snKo8az z>~$W@9K%NghS$`&Uc-r;C2LM5e>S*B<*|E~9KL(qv zTU5cDnynR8l5D_(442pxyw8H|8)!^y#ijm_%|S?Q1F?y+A;A|0;6T@t*>^}IYdylU z^zeC-`s*DmS5&wG5=o>|LfJ=+qNE3B#P;1zunLW9VlcgF*f8pjxaX-yVgQoqR~Wss zDGN8M!Xo-4jX0)}y9OZH2?xXbq)iir!1MUl=+T9?uDMYugIhmc#q1tob=uDmssQ7l z-Ek~!ETloQW!nbYw?9h?t?lSyfIT%@F9G=ge7X>;2|}{d8MIsQ2}pX*%N&3huu+bv z0Y#L9kX#8M2+hw~Q1K!_^+1UFoWxzr84y1JgZ_nuVVF73g;Fd$u)93(qB^1aVi=X( zfj(V|6_2pIeOmIkG;=y8k6U+tYy6y;#7$uz6yoRmY5)6J)V`y zJ@=srJAh!~!)4^hJkQF*Asu>r;VV}8A?#1G#lEs>l3myitJ_6mMe8?R`T~JGk$Rz% zK#hl9F_g$y*7KAkYba5#q1@25^!RVe=EGT3qX|_aH^`oRJp$RZWlR%#TTgS8-~Wk{ z$@T2Y5*E19SxrF#F8}gVVo#iLnLGM&7IR5M&;IU*OxDz=k8hjEjr!A{ryI4teEHyp z7wP6S?iU_Ee4m-q+3}Fazixeb8idD36dd-GFdDD%v>$bC$vN1GuC43KZ|#En4e0pu zIm7kw)fNIfesleu^{%jaeS9_N!60;da=+#6%M7*?o!sl=w{{LrvU${7tLg2JhPS^D z%Bj_X;}<~fiAyw?B6Kw)+49?LuB9(Y4p0~e+K%F$MQ#7p)v{vQj0As;p1&}HKk9)* zMOr=(k-gA~tGMYZ_M1qKd*B^B@3;97*m*6ektaB87oXtxtNM5qk0Y|t9%{Gnb^y9P zKq68#AZ;S3o8({05D(Srvq`$+O$7BHOLb)K(!IG+lFQ#N+gzCO-BwRuA77>^;b@KN z-JZ{^h=HGy%dw`jyAQBY#a~`nt){ca7XTZ8a#9+Cw@cy4)}|lFi54wmLQquofSO)VY8W+jJ*>jPf2j)7a^H1Y|A@ zx*p2hCdW4JOvz0+0?jz+?+5X%5nbkjHNd!C;)ch<-Sz<vdlq`e&hQ&d0gXs3{UjfX#Il`(Yao(`fOGO9zm<(4b77jm z(743dEkS){#7^kt)p=%^;TBL)xF2s8)&wAR6A?;`W_bmaIMCv~1EC5n7PIVxUYsBT zeLn(fJ;E~n40*!_h+CKjLKtEL#5Ea;-;RJd4m?%Ad6YK~L(nFV&gVg_ z5Y!(F1ihZbW@b@KR(~w0e?5sZv*2+ zmx2T4n@Gl`oGY1>tvgPYOv;pyhGf#gQr#slzoyGwlZvU-z#cG#&DE0s1pbnQAhzml zA?SJlT5)A3NyTI=&ZkqcUFeJJzq&yL;~$pqEeZqZS{L{Aj-uX{(%;gNl8x^o468(gsT zV@3v6Q-ZJknB3Eg?To?SU*rh9%@BML#=JfiV*ap%6%Rp5cm4F08BE5r=my^POaE0} z&7!Fk{0JO^3Hptz@?3L+Yki@zU=~c|fWm@JX~AlnlDx3tqF0MajXt8GYdsb=XlP*D zsB>&U->+{|HO?smav-dsu}EO?aSFCKo_{p2^IOn7?R8+5Rc+9qmEIzw)i)Gnn9`wdj^I zd{p)i1X>gre;2YzpT{Tou>U=Roxi|+*4z#Wt5BPo9H%jl26VBIM~B};=%TC7qszmQ zK?UPC;r^gxPuw52pB4WqA7#RH*b)DT&_{kiJIEG}4M??cQXE0cgaMm6$`8jtO-k8R z-QZjb#v5oxGY>~SW@`&B^1b$XPRA|)S$C-lQ_g8f4{`zV2p~JJbGXwV09YHE)%ZUJ zSSx*ma1K;X1V_u+(D>ps<2DBj9QLO*oQR5~QykaAX{{;gEFa5O?9<1%N);0m*?f%- zNgy6wV)rC_FyXg}ZG8zkR3Vp<0 z=3Vd+{pFu$V)qb4W&8+qH-_gsHPXcLg(CU3Bb03oK{SRSl`0tkQ4S%ahV74v;T^t3tcM|1jIpxb2FIRhQDZ!5cve3X@GqVKr$WT(=MDld9+#w(6^Nn*JW?- zyMV}dKup97!Mb^5KEZiX&=`JXgA?ExhWaW1znRlqsI$Vb<)P7uVA$~+$o>vt*E{y8 z!XI4n8ws;u_QD_(XFCSrAgj4(2P`vFiGFt%;SyseM7Jy}8>F^Rd(v`7W`mrkoLP^s zjKV@$D*(wuXFWbXg~iPcIT`-hkVU`ETtlw`KAiyVa^h+&mWb>LDbI+0E`dhoFw(5= z`TZmG^&rX+{;W_(L7d=C82C|t`cnz4%VFe9bed7UK+kpswHj-jAd9@d{AL2e35wWJ z51QvXxANnEnmCkgLH+1wd72nPz5{Tm56M^o{^&vj!C|{e9>E2?wyb5b0CR&92w<*D zzWafG_d@mRuI4N{3&6bC2Q2Ix6jeYw(w%fPNI|R*uQm-1ee+XIxX&Wx z|9-{EFJJ%sFMs^kuUQ4lkD#1mE92UlD=yH|3svi99HE|WjnJ>mdXz;NGQmz^HKPGF zw?4eeCEq?gU|9KS`1aw4`iHOKP1I^>Cp{RVT1fP%k!>%%O|=)Xq)0+;h5UVp`(qiC ztuWP;!{xIzgtIkOhUd*QF-C-Y!&Vu@fvF0;iZYtKb^$`lA2Mcn1el{zuhqIPWBpYO zT$G9q59DS*XR);ptEs(Zw4AhbUOe_?W1j)<=bR<#{Wa89cHZ}6u(3D+CAo$6R4T`bL zca$Bf2V}AYYFN9V>J4==Ta2)g)CwmcWaIlJ`yl@fNY4ZfFPv{T)^vn&)bO~ER8bIE zz=Q1V!zS@_9%UOoo<}Flg>-cFk#GMHAttqYdyvk7_~P;nX>h)xdK( zPA6PLuCo*3RkU4?h>maDhYeex9?S^w2#&|_n%20|Y|yc>k<1rH;S#$hZ0QYa)yvXT z9Hc90it{d=m3^$5KaRXo81n<)M)C^Ug;v0$ig<6cwt$5AlIC^Ccm+8XGqv>!!XIS! zQS=JJO%RG6Z}UJ5aY%NQKpT??G!*9hXp4?xQVff1kfTvP$0r(f#e=NgtR0wig7zS} zANT|WlUt4B85Cg&6i>pM3R?+Wbw{%-$m*JtA~~n) zULWLd@P@fw1zhikQEc4H-t6NDaPRc>ONi!M-bO9w7dy z5H~Ui7|*Xv9y$~5THicptOV3gz)GO6uLR!5|Bx#I!ms>uDqZ9-V!+4va})(rWqzEA zf_Xgv&BOyG|3N=xlm8qblr+lnyPypu+ov=(C2xh0fJ1mI@d&F)9EV;&TA)VB6Tvy( z0QrU^Z8P{*P2yr>G?hZAU{R098vCtBSa#Alk(12z?aQ$F6A4j_^4@7kKWUk9NeSR{ z<%Gz;2A*C2PhZzuaQbqy2#A@|b{2r1HJ!CxLX8&*HN%*^AIz}q`JoDnJX3ynJzFxZ z0N<6jOGTUVP5Ka{9vMEJ-WE`lYL~tZMVUn9h)}jRmYV4_pGvGsuUM^@m# z0+zXaXj}a-KBlQq+&MBA=+hTs@#&lWB+d|W)ZzHCA;+ACw-TA$Sf2=X*!KwpvnmlR zy?dF*bRzzQT1J1KO;dXKW)jw?c74{P!Y{Sal9?_B*a((R2z;fNDD$a4ePxy&{v(8y z%?=ODLsu|aBZ z{lqf2?$G^t5P3-j^G2tY4R$R%sbVsiUe8s7wu&7gwmAY8zr*81EUbxd^6)@v%Ep?> zr+It6{XV4qg$0%)rfm7%z@B8T0BDnZ8sH1^9TE%;347^4gSGO0RI0=0=N}b_Oc{d{ zGG`A(af0aczF{uhI}a#Mc=#OPoHEJ51ubhffv1oN7063HFTJEuWw^p0zJjXBO#&0H zzY9e2^EWvXZR%)}EMP|GPbvY6n&KdKUSLg=@A;rl%uK|^vtf*=x7M+2r*$ssl6=XY zNx*55ud{DpQSOqKR2MBD5NRHSYagZ$e##zW7d$?^!_i-cBZBEeFp_~S;Uz|e7oWV< z7tNH3DQ5T*P~xn(mTT@c)ekevG#IjcAsf?RA6+MVf@K*i1&D8+u~N(VFI)?1a|o#W zjvP3PL7^4uE+=?|x^G5c`S*{m;+WzCYo_Bnx3Zj7Y)t*at1OxN1#JUc{6pS^EQ(etGVZ97pHT6W#P4sA#~x zO^91R04FMv7P;SdDzx>eZ=FwPKs%i#5vI^a<&4@^p}Pqxr+K1&4?mxvBGI86P&Q21 zjh?dC@Y9@zp237ce)xRBSq(@Lb0i~w+3Pf5a8qmjHz{Hb;EA{^30 z{MpmwcKug3SH9E)YZC?U2MoMzpLeP57M(FWyoQEmF3-o5N~*SlCZ_meJ9PEip#UkA z6A-+jEOJ8!A$M#M7IsFY(JZ%lu>${Yzu=p=0v8JF~8}biG+2KowmK|Fp+$a3O81B zc1jKA3QU2`z_#Kr>?7%FLH76%uM% z328HHTJRig?6m13*Mcqlu6N-^7dhSkJaK=Ar98{NyPsw$*A!i9ca~?MOV2=I5)Qjj z_N9POGBPyfBXA-HPz*zIb9T&y#e(B2;G(QnK$5iqFgrD`#*}K>fJ_QxYqV}RgHS7d zL(Z#7)jt9Fb01wJHyJN2yvPw%F!P$ahvqRf= z3krvORjd*}FF&!%tCd}+$*YBmj0edvf2%_Nw8Ml5p~y(RzedGY*J%mX4Tw`pYO8^D z*M$|WMIXb;(hye4ar*S0eY=ehb$s@5u6{+m*G%}MGw1cn7FYs%RcCaFU}*EswJ zu(8=lu9de!Q(o?q5U!B9vb~KTomvqMn8o2OQjM?B(*SXs?d{MkHEJmOMHYzVO}J64 z&0ar5{yU-|0E)JfDdmiuCDEtIl*N7D?6_H(?DQJaVy6+2*zbU?tp`=}ptxTXl5rtc2;-KXffn(e{g@?z2 z)7#1(GMgMy?`(;=FF6Etmk+V$nj9mw>;s__-zYu>Rkd@_+63zzJ26E7gNaL`R48Oq=m?eWvz7}1HX0pH*C0#1?CIx zH=iuP2DJ?bSZq?cpx_ZhP(Tm6IX@){!(-3J7I0-XO{%hlfT|$SLzlh-dIcJ?`RN}) ziIka*)?mgH+$x(DZD+qN`3d_+P5s%NC#w{|@d9fdssk=rfeoh}R-l&cz_mu@rvRTQ zSe|z2cT)feJ4APN&N+3-b`BSk%bW9&92PYkFy}N{-rT-Gvo{&0vg9MWN!F_6WOpQvEwzaX+u6xVFV z5MHR7rO{zB$umpZAA}`vB!|Dm%zjzaDy7L11JMZg6D=_+a-4NjzXHhx+rw*>w0y08 zaMHe}R^^gh`8K82hkYT#%#i!eN$#81NQ(4QW?lzsPt>yHV(u8eGGg{Osta8oUcK|r zgkF8vMlzEJcitu468X%9zu8Ru4`A}tvZ8DfPe{*>mw38CpC(z(2ZOAQoKL=+V3PBx zxcWu;<3L&Lu!MN^8=D)qU7_|Tn}lt^Ipa+1rkjgIK?qKWa?Y!(@^7GIhCndP9-eCL2|fo z3fSl+F(P!^tM7tBGN%rm&Lb5k4~^RxCQy6WFidRWe~}wD5wN>a%r8Vx$P8@yepaY@ zb7iQAer#zD0&9Awj1dQApBHl~B@eA&?|w6RFSvZYi(?{hohDiv1_zs5?-+CKNj|=K zohfd&kiQX^oeAZ*8$!tuACg7b z1eezvK*mmI49;t?quU(Xh+Mn{QQ1Q4)8bpDAgUgLbMck*5(ba5(RavW&Nxv?^&N~A z*eGkuHgr)qyCv+K)DNS)ul(-M#FAX9JK|^5)(C+|g@gptrBi60sYtmOj3qQ5J})e@ z$i2f;iGy6@rkuDzU*l7SOHJk@6NSU;i{>N!UhpT*!6zDZ#{Sj%4dl$HL9y*k+2=L- z%gRb;BCGzA)o~WR<@s^2PN88XBfO!T%!U>GVG0PkH%X$5vczT6+zU^iqP&dF=6=>^ zre~sP|DrWT)O7Py+4A+Wl3)Ib3a#tLm&YudF2i>cY+Fw)-y`Vd1ytkvYV_vEPvKMV z(sC=FTRF)z$dAQ52gQLo;>%6)^GFk{dq>T5m?Li*%-z5F-Ixm+J#9!;D_Qel1kRy~4q2)gK{`N!XWRt-B?tPE4X{(p7r zK(jBfl|1Su7nn!B#+AMNMq9NQPqHE8EZ|(xM7~DP6HS6Zi;8mrJr_v9-UESMKq|~^ zhldRXaRE~z5LTeVtud!%O3N`}zJfv;9F4yK)HKc;ZYz)#(jrSR$tCmsRovuG3NXSFUa9k#q`YA!- z#SsH`l@&ue$ZT4{!z)$0Ee|#_$#s)&SafNvG!0yq{X57#iyXP6WL=BO?eZMp53sHc z-$AzZO4L;1Bj!ik#J(cPQx)$J35HsJ1CM98E{3V$c%5v1BwOz;!-C$v^{f~e6hcD;n>mp=n5dfH}m;)ACh1eRI zYhexE)(~B)Z=5qCPaZas+F(I^z7T$UNy1$oy6rb|W!4$0dPjX8z_HKZoYjp)HYVh9 zfXyEFXQ>BUX2!#;UQ#H^Fyx|~L6_H_?IOeEDG9DxM8zegiUougEe2gtEWnCOQm_28 z>3H1tb+bn{&*uK5_f1BUabL^e&P)10F+a?vkf@f&=ieNc%By|52Oouy2m@VS*iRwK zM|K?=U#2J@_mdoAbO*lJZ~{9%7*;zYM|QfX`NHQ7F)C6vHSJ{DOHDx-Ock!GR38_* zcsAJ2!)Z{}?ph2RD@Ito)lRDTIwKZ3biBanOs&QwpC@sS*qe;yOR z=xwzo-J47Dy^bqInw7&8dv?IGUGny8K=BpB6E%Iv%U>3XS@=k7bKzfIDw*_oVmlp;U@vc>I<2NJ1H?>Os++yR8saUo#swu^NpVzg7R>46Ul`#+SK+!2f^0RM>Ur$WMQwOh+XgoQ#66H<*Xa z&UsgwwqusKjF+!!)JPI~9CJ;)PutjYeV?tOeP@W_*T-*d8lNHex;}nu=6jOIx9co_ zCjnnizp(eaQ|$fLOLQ}DF1h!OthAU?kUE*b*6tkq4mQ&@rQos5f|+qv64!CAe~nucEv{}-NL1pkHhd&kolj%Vj8 zdEXfwfSV1nchgs2D~rMO3VArX(LN1{4KF9cYisFTcpSQoZ&*a8=6Lv8@`NSq{U}#~ z{%oF!&QgI08I}IbGywD@W3A`+425X@d zy3%DkI9<2{RQ2>3&CK4_H*Bl&&9NxriFx=wQUv1aND2(>zVXad(4x2a*vF~#lrDg3y8ipHd3ASMv;_!3gn1s}%D@C&=C70(cPis!9s+<9!rd0T7?!|Vx{>FLJR9*P(%EQ zFhp@9HN!~#^VMINuW_n%NvTgYor{{PN(ECB2Mub*WfzD{5f|`jT-aG_m_6h)d_*R~ zJul)QnFz*Kc}4`7LTam3*iAgZjK_xv*Zr6-avq$6)s^z#cX=W$dQE#W^__5-KLmtN4Bo#=U5sU122y8l*CdBPFn@~ zcLnVedu2(EVWH&=Y;UOrPYtB^9!FVbI#;?8fvy&E7~h^kN>a zF3 zMNBxQeX{Rk+18T3#XI0I$(N~6%)}W$=(M4jF*o?{cYqo{eJrNJ9=Y%da~BydKg{`1 z)QhR&TrbpC zR@2Aqq4Tal^Xdw8k>Qv~%H}_PI&E$$xY1zq;1Dx39b>t$$Z9Uo?BA%lAPY^OS6~}MxH*z&4p=?)efY(7kv z2fR)1?$Le7UtdFLs}FNXJp2&;z%(+~c}iy*v0S5%%!~fCJ5BNEwyM%dW$j=nYj-pX z`e~fLd~Ji7_b<>ENaxX}AB?%oFInX08VS`&?U`8S*P|!{x5B@C3aOT6*x3n^cw9p& zC>##4WFaj;66Hg=hbAT_giNMwrTBIG%VR1elAjW6DTSV2w#<@TgAlm;{nJ_OewEjO zB);QxD#9wWyFWtw!tTec#EKi0i@=5?p7u)1q2XseyRF`CA5P+5pWTlNT^-!QyuN$c zSS(EA#{MD&-hd5 zgNKOwz{OI|z-_KG=jJ-YGTsL}*O07HfgR|PsH|Ne#rT@X+H22?B}r6E2%nk#`hrIS zhkiiQht@zp+x*6^J6m=N`E+dA?aj!pk?2*B3J|#p*|RyOJrP)6nY&}nO5e;2K)x#! zRd3UM)2pg8MaETjW{}&HQ6D3`%qe-9FIqH6gvTT^Hui?EA3#p*KfQnQ0rd9z#hwVo zZxDdoCH1_?x8@y=?}Svr;#?aRpcdsVLJ(L$H>8U`$cSB*f`D~~W3m-l^tn}VvWO`& ziA@LSan-^-;zojs0-gp_`~n{d7cn{#(4aD2#9;-kNiuPK?0x6IfsZ`A@Rwk4cz~+g z(0Uv6Y?6RfRp5jn$t2hU9>Nu8p@M9#suuJhCK1LpK$vVlp_nP8(lU35^)SoEN@%N+CXVrI{#Jv+m19kgq}A42 zQyYU6D~WCHZv#&M81+-2BhOGCa4r!Wv&WQAqJVy&PelpmK9r=B75XGA8J-FHv{cuj z-=|ZN$FHgl;#1*QM}6a*2Xz)NBY8#z@X&? z|Fqy-IrOwjZ`cs7Y}UL2P7uUBxi4RSIK=AarKlgn+K-%*bG&)N&0SN?$;00YglmIot=ff^?CaV-Z2`(#y0U zZWB)LkchBXU8Xy9qIvondeL-hN}F}z4D`lE*QlSy^W^4hFlpA}-elzkb$tsjw-iho zRVBJ56%zjj@8LymY-PpnYG9KaR-z@V4XDXZR+R|(X;hU6fv^BuUC@~$>oYSfLM3+KrtPk zgMSfwlaBn^q_9)OI~f)o3%>9N;4kLC0j-&7{0IoCm7%QnnNJk{uaDnMus%Wbxjw#J zwv5T!fA<02Bj|Uss{}l1&;S9G4{|uZ%3^5m6R3?( z8l0syz>shH_X(jX*2L9iOePRbUq3ok#@h$K>jC@(A9O`m)1=H2hQNauK$P?$5DoQ} zSYaEfE>9u!4=BVu$ZGlatq)r-{>I(^z}xr?VFmJS1{muGL6Seo)XYoEnHsr`-4&?Q zFVI0iuHrDbU|$UBK(O@U;W6Rwa1hjb9(uY&VT@@(yrKc5!@WD+b$Dy%>)X|_c2 zh1UQ9lL{Dlig#C{omo+J4t%4IlyJGes1%_2Fulsd3$gz|nGd{8{Qd{RNmIgYUltwuK< z^bKUvGZ?`Ab1W*^cmvMiHxLk0e+wtMS%Yj$PjWsr;%I{%UW~@99p2j#noEQ}y_WNe zEy%$cz=$WSNG!@HK~AsX5k`nC^sKUv?=BDva7eGiakBYy#gm}k%08ULp-+;8e0th< zpy{$O!lcRsiHnO+zXWEIBlV!-40xwhUayYlm@pZdrMG<~-pSPPqF8ywtp+&rGBn(3 zJNRaQ8HekKsQd`L)-dDkk}Jw6T&wp@Hcf;#Gnn@g`?7r%o9EC6WcMn2Ke=#l9bob< z5Qn`<58}3?)kL`|M}YAe9>2q(wtND;>+^B6Tlv+iN+Nvqr0u~^ya%Lvv} z+dXH(TE(-gcK)F*Hd0g)5?1?){Z#pfsN`e>nV+8SRJ=*mrawZUqk10j0EL-j)DsKe z0$tu?L{L=SekeJ61{0vC3SdtPVp2W?`gKO&$tM{u(?)RJloN-^QLp9?#L0KhL8yR} zk9gdT8M)CmPfZ-a;E&?-$gs!(adPU#)-N<^St0 z_iVcB!e{C(_r#;SY*c=4Kf3?rV@TvDv^a*4T8-{`oOb>7-~H}C{qAr6{&D?l!{!d3==%T1za0M4|MS29nEa<9vG6hb`^&%m z>%WNq<@zT6^u-V75R~Vh|Hkis^XtF;ufO^If8Y7>pRLPX3-a6laOoprHQ~4GD+wT< z>;E7B?H_*gcR&7f{EPqM)f0{(GZ}eL<7fCMUjA$dp?ZwE3(3Fshu^&X=Rztm#$%BD zbD7_T2Fo}uxqt6>FMk)LWJY2?Hviu1--Q|PNXeGui*Mh``l0-KR&M_zUKID=<=^I? z-O7sT{=2m&|MK5^@mg;G&e}w}|BuW+nERpQlMb1Gar6{89GLJ{p!n?lny-YR}Z@Cr-m z{DgzaBfaM_@-~X)s2#jigOK9*6`Oy<7qbd1e6RvK7&?u;qh_(_?@wd8ck*R4T`*GT z`9sJ9y{se(wlHlI!*(cGufbu9!q@;^)F?0Rg&dkFIQ4tAR4={&@r7=Qd;{3p>h%5?#xVmiFH=NHq5=hS2(7nTsvPV)hn?n%uK0Ic1Jym$GH-K94I zvx5WR#FNY|3HaxjXTc6N8(V11LS_a?@--)+kX+t2k$OR)F$x@*3*W>I1$q^zCCI1; zcve)T2)o*@egEZankgul;!`gH6vdgEw;G4U2WNcH}i`z&Z;>8JW2#ukGGZi{$ zm$Yk}YL;8VsfwcE5Y#0HvtX(Tts30R{LNs+2Fter`~wN-fsACKeZyGP;qk+IhKhqw z!qLe2!6ig}5o zfgs}UQ3Vv(vSg`Be#N;diFV%!e{<2}X z>+X@IGjVlt1@ms7bBdtbWCCFy;Hrk*O#(FJks$r_n8e!_uzmp5S{f}u&tj_Ejh@qx z?0@zMZ7_6scmlq3w2!G!?|Q3TyKI&YxdaJ@o(jM*i?v<=w(k zFoY$`4WQ2tKVHPtz@WSDhe6-}v+e?z+p+{0^yY7Wgh7XBKA|%+%s{C=pRHe=3O8mBS&StSfQ_pjw((D&mwF6r-fV9;T8V{Aay!JtR{ zE`@9F!JzLSZj8~g3I^>iKqV*e=^YsKy1d)};H14420a7KyuAJgb3gPQo^;Cmiy0X7 zDr*+js==UFC9C@yyG9taIxGG>47$|EUb84wUV$i%l#w_Hl0gBF>1;BDKc;3JH(70O zCWG#$AS#j@W&jg6(7yix2!QmC+a!;MGD6%ygndRFC|cC{1#td{O~>5Dn#rz5K+VOe z$xSE9)P$#iCPw~_#z56B`tu*Z{cTR*;`)F4y1tk%U#l5}4>1HrA%^45U-$>6Q+axT z`5t&nJoc-afq z+ZNph=x_N5t)kDv#_XlgGDloU1OlVAkRev9nEejQ4``Wm0F)Nc4?t+rUJ#RGgScM! z04nx93_B^Krn2fPqU z%j}{~V{mDS@H=?$S?vcRhXcHxXqS?SGmv2v_5mib`jRcIKuiREU_uYL@~MQJ$LFze zvq0D(G_(A8#3pL3CL*g0)lNZ@9k>|GkYgus%#TDJv0pcwT3d3&z_2O@87-PGeLdLqd zB+l(iiBjPs@{11vTm-nFDjLJUM$+5R?|%fOJ2;sSvj;=!b^8Qz>V?#ivispip9_7W z1n$UYQ+<*AiZc24w<~}A`saW7h_b{f=pXIYKyHKnpeE_V}{qc!H)TJATYVc|oP2 zo@lTFjAPK<=vZhWUgP4ItM$aeR|uJcM?c*K`k}9PCMkjxWqXw_!8k>A?Lv1vYzz9Z zleup}`Q5gK8r&N|_S`u@c{tn%Apel(JeSgVk(X0t9k3%h9Um3w+x$Zd4lts>mAiciy}dEpA5 zKbW_{pXGMl$N4Wcp~zuJ_cHwWW6xO9cF zIncLs7m9AuhwLAjikf@_TB?r)6Yq2Y!Xm^5L7z|0;;Fd5WClsY{l%R}77TcZHX%B- zS^atR&JK1;7UHJj1n=L-&di3ODG5P1_r9WcQ_LP@EqeG_2-yQm?oIY!JiMRek3CgJLoM0=J57geeSRTBJm1pBI$3)!?i>o900ZF2T}Hk4KVDd`~og=r{}ii$0@g)VeIZV!!^OtK-uwr<<)@pzA@eTMH5wS>4KW? z04^M5gMsWUzz$uqk+`$$qW!7~C{!68Fz%6XT~K^YMGbuf%G(ou8Jva55BfdS_AJ=c zqfxriT{o7DzihJ5`uf$9g)L?ly}*(eWT(;M-N;TKK?0E7e7nssai26!@w%i@cZlwT z4i$m!!{!VWR?zW*pFe_4-}c9RdTP!tbldr1{u&k$y6u3b!{{iKj#XB&`@32;bHGdl zBSAJb3ZVW3{?Yf4qBz2j!pj6Bn8&B$=u3WQxU?I^{GcMXl&K-I9fgbHC1FQ|+qNJm zx#@-sh)za9$#ns;oXn1 zq!?+zS!wGh-FlO)U@ukIiZkee*t1=S*~s|b;MJ;goNQa!PiP+0szhzDI&2<Mc-AYz%pCM|s_+HoYrA?I{|J8}?!5Yd@W`Z`H!@ zA5JI06xsL!M8MXqy9UR&y8^Wce*lOiMR>tG9J?L`2;efCBG;n1Q!dDF1qhDnBiufM z+6rOCg>8+q;qo5=6<16aK)tpC*wky*MtSgQiD0?VZjY~C1`YVTh`}Z_Km4YqTG_qM zWcX}?<6`bsxXuVRB8v}=lw%NtvW&b(R7qXX#sXm(Fi8Fe9jcm)c?Sb9xsa`0YFj89 zbS4XUXq;CE$RapUmANOK9rvaW@7535mWU7V91kL#3nk5}Msz@oRMQ0ll*!Ah04S$M zuVX~|G&e}cf5hdaOMjF4LKu&(x(I+M#>6wDP^-t{cuHfIT@Uga zkuP7qb}L~g4d@7C6&|B=tM`p8z{aG8W54hRL!k`_89(H4tX(S-`x=b0Yr-gpSn`17 zeT7jDv)tuoq?*Sl-@|)%&3^v${s(t_OxKO+4Ex^%Mmcm-=4d)EVU!18lzsAuKQYQD z#VB__G0Ja~evj9iT%U#Pz!4AzkX7M&3%A)w)u%&vTtAjp4kiJP?*>Kg$VyyqRsS{C zGSSjjD4QldgwhOJ9RY`-IwWsoMYm1vY_|VG%E-7Me(}Csa$_IuzlE7&=%18qiGbTU zR*g&_vOA2Z`6_p@3vafCswF&Z5x&g!D{P^{Z(J&ZSHtQn6zb>ao$T#?bvO;FXwFlJ z5Gb@sVk_>s0JbSIU<0XF64hMUyF}$xuwww;c6>fJoo%iqBQ!owv)O^%Ew64=B7VE&HehPh?< zgWf2ebK67e3P!AeV9W}%I>-T-DvS|wVgCj)lo3*-sbRw$A$&N<;yrl!-f+s9! zKSW^ebI#-qRm(auSGC4CA&(%Iky|92Zf>NK0mVGzAgJUYnBQFpp#xDcAC6GiusKO` zp;hf!zS7bHkVWHIxRtX=Obd`So&j0#5cLM_%A&ka_EPJUHFR+GF@J()JA!)L2p@lo z|l;|wT_h}_PukGN+z3mM2UZA@1_MEHn8q z2U?PQd4q~9p?t$_7pDZ6h*W%wOOWZVZ$jVP=HC#8e){ zQ=l#)ymE&!lVxz94W%xaWqC>`fLcVM+6N;6{ZdJtqk zO3yd`eBTzvlhmf4k}RZ#c)TBtWQmMyTAB~2XxI&e8Kl>^X&1>@&guDaYM@9%k{CrO zl2LPL-9~Da$%!=;oB$)p-w2dJW0Ohnm)pG&?F)w7N=x^gbfVO1~-ez|f$>jm?nXK|ru@|&% z7C!KE@6YCT7FHl5Zj$M0Oc3%-FWz4iu7WLUMRHxkx${k&yReo0rfJ>6xw{WZyDqeo zy31X4r0aYdAAWM~KFhgVlAl#_?uN|2b{5Xv6qc8x6y`X0;os{r9Jn0k&NXuG{4a-d zm;2!^=WfCp?~mFVie-#_I#u2W|8}9NxdH%^WZ0M$-Y#^xUzu`7-VJ`-%SgoAu)uN4 zrc~RKiqJv3+P0UCGgGh>y5262!RGV2bO9#sF;EIz_vLG)MfgZSsi9=Xy6QE@N}0C$ zR-qB;SuE^V{ivEb8AL0_=68=J$d3Aa_EqjX5P(-p!Jg<>|dz;U6>Pbf2 z>4@+~jao?4;6A`EWcY*ne7|%I#54_Uv;od+z7MU%ydMGS1^9B2USN);vN)_~7<}H} zKA-EG)b;kEPpW_q4UYeY@9$sbr1!u~ z;CAi0eW$vH4`Y-B$1CB=oaKUP3t zq7#a2oIBhI_wdUZc=zFl{haw1a|7>7SYsIYHC>15DCC&T9F=Z$ zrz1aWRhz~r@E?4Ly3jq#cL}M9sQ)q`7d9)3J!{cS}A3JL)qEYR z>oK@}1a%2bP@wpoLN_k_<_PkJ+L0qQZ>GoruD=4g9(akP$@TY-AakQfhVyV`qSaFn zDGbFf(_fqfX9Y8@A3+Ai;X>NzvK91{Bl9i%W?)R-U%{Sw@q80h*39S$`NX`1bx6&n zx%*O^$!!~h!+ehueNo5ZDH6ydFm98q=akAzQ4*yfqcW%cX%O~I{JbA?CoAz#*qll{ zVA&SZaTquY!Y$A?kG=+eix8SPvjzja8oef)g%LAZ~0 zP3aeFetbE>PZ8skBP=BNXhU9-fLjBIF7_o?_>wA8fceP)X;B~2t|5PXeK<1%j;{|F z!eAr$uU|mU?m@F=c8|SWaeRHc|E)RD?F*>!A9`hc9FD5<1^^%Yv@ehdftEvml1i zJQ$sZW#jWbT$Mua#UNF|53M#RIy%r~3V<23RY(aK9FLLl{mVe~AVEg&C@9S4<(gc; zYIsK!Zk((bA78Ekkj4?~)9t2lh*>OqIF_;sq}(=8YbNT`B@}J}7e^*x2@vHp41v}G zxtc>n;W&bhQgY4*sQ~v6pd$8A$xzjpX;4AcIO^3t^>(Y$pz;wg7h>E$*+kS9LCcRX zkBdS8BBctf>WTn_O(zAQB0xkw=Wg3zDu)+LL>BDS;YMit8s|B4KxUW(s(`%?bDexeGYBC z-0K&ReP%GIy*%H+1r_i2lmWLBEUS2LlAwrj`EU}nzI@q=?(&?^^McB^a$^ku#t-N` zhp52!vY`N|@yH?wqbVqc0?J97uZicucm>FFcAq>xuxh0&;yuj%bpy$xJcRPZMxewX zG{w*I+n4pn0rKTu?)OUgDBO>-AKmqu{Gt5z=BUl-{A(}k{hgG7&s*< zN4&v|t?2s)XoXvi$v~#0xa^R(Zp`1Uz1;5WhdL392{0Ax0qQ8IoQ6w`a_e-(sW`|v zG%Y?s$H za0-mLPFrQZ0%TO%LKo%=Zb<1fV?M8e4`~!~M@P|!1ztCiT2_td)TeRL<-fqj7h?pc zwvsbYI0Th>G4^xt%<4F+3PG}ScC39jOkY#2^dqtMcp!v`P;ypb?e~D*v;1|VfX?$) zD*?UHdMB3$pWAR}V*#=@`9=ve6a^)E+9lbZv~8(~aXWjWzy8BtL#>PoQzslU0#iWh zKR|0-9jL|&H0h@o#{uYm5)hk8Y8hgdnu)S@#0OrY)qM8W02PX~f9$LyOao+VJpe1` zgu-6{|Hnx(XJRRurLcq=`uq5k{{EBx{_l$ZK818)>P7l{XoieK_xg+f`=!5+_~DTI z7c=ztHICf=N9YeXIWfsnY_yuHi$e14A zH&f+ylv|c%`s&p#7iW8j<{lTA9Wo~ix1cf4WPmP*`8WEY$?=>@*Xvs4HiVkh13bX! zPyty4FTy7q!YHkr!9m6R0lvOre&T6Je zHFs)Mc-jgj(7q;q5?dD{#Ddxic|>W36RIvL5h_47N9Hg zJv9Q4{q%f*eHHG0Pn>BQ$FHGQ^Bs=_byNs%!=T8jN^pIn^57JrIvZ1qZ{S1TKu=DM z>Tq`=p_!^~1#2W!FF43-9v^bAHcK0ANX_}wAT?c-i2WrjsG3-|u^Cc1ErLqSSwX9> z&2|zGZlZDPQ@^`gOa;~&N7atg z1V$L@L%O&YPA~w-RJiNv>%$zd>UUp#?Ze~Qhn|m`{DC2otS?c`PC~=Tqh;a3(e(L= zlN<4$AU_XkA-+aEvK&a7U76}>A(8!#9@&yQTQ}|j(fl@4*B$p_;QDo=Y4UiF1#+4P ze(sb+*C9`EC9-i$UZlNFlbekg1hL|UNNa&wiS7nM8HHV~3i|7XB0GMD`=n3DUvnN# zH^sv8xm7eD!R~PKtsV`UCN}N=2M5wRcf@TKRplaz3V6 zvFm`gVPX0kQeGMcOu$Q+gu-t7zft7IVR{|QWT`Jp(eL5-MyTfE4T=ac-KGMEGFpi#GVNc;LRBWLdMDo;xNDdqfzll9h zh~#hqFSlDSk4W~nC3Rgm=G~$cffP+((PO3P%m(a zeg6Y;5t(TX7AjknL(-~zkq+WF$-vzXU+BJm=##_n&L@)iaGU3SEkXtDIcu4s0D*l4 z>h#SI$(A6vqCj0lLWMLK1yT*qZYL#Ir{@$LxFlP;&&wsySdW@rc>W_4ADrB!#r&4I zz>4`TAieC;hMs2)Dszwjx~Rv1Mo0JvFoZ7gUr|&O1qKrB5eHe;|3sAuBo$G9`Dlts z3-O%r?5-i0@1XJ*xikeg6HP`kc=H$r)D;x#AWXkH66F~->bS^|w=5!gcy@r(w$6Rm z3qkD=K#XqoX=E(Wu4f+hV|$eMk06VX;TWRjg@INM%Aqzx zN{45>7(x-?%?!SEW2i_e;Iw$*K4HAG#Te4;l8uNU5Zd&6$~evTQ0@k+|K# za43MR9L(=1wF(7X^J$cM@f!;@Bs2xLb_5_|gP=HOe*3cb3^Xz~4rKYqH|_l$0)#Fw z3C7RH0WN=d(O4iX$j9u)`2J;4k#lVy)xZvtYpH>3L1Hx)ftx|l5e9a%I!B>_UBZYg zG>|C;6X8(EhAV--ef~Q2Q~x#A3b5mWLUrDeSQ{#gZajh;EX!&#K5t}e^D(_kW{Qzk zbwE^68+l6k_VKP6=eV9#Vot5WZlh5xvcsB0`_0h81>efxX(* ze}8L$4a?U*|H~i$^=t8!OJTV^CLeI&saCs$GZI`$Wk)6afbB9DNG443OU@XO;6VGHs|NuDbjaeT$oe(8+0YD zP7`vego}@FAN$$wrYo^yM%U?z?$=&mS5cfa4Bl||3qarqGI;8RS5+G&6uFwKL>v>L zL!R$8do2M)&Zi&iU;qC3RU5!m92nDdtIz<3w`EHMco+8JWika+Kj_i`GQ~dZBh47Q zssU5+49l0moIDDdZTmw?YVYAMF-h{@&VcN<*rNG>8OR1>amX1^X9zx>A)p4SF`eEh z6;xcqa!zREuSy8Yz|H~QC9KR}9Ez@3G-ORNVxl50qP zdsmq1)B{ftAUzzk-3mISZvxJ(?_UOua2_nA(QZh=o9Cx;WwBiTYU=G6{tW_l&-18T%mj?xVTTOk&UCmJAOr- zm&8;v9p4wUJwg-~_g0nlnmLVHQL3+>H~Chq}(QSHytyOPu*REPx^V`!OB2o%AnBFU_g zIhcRS9Q>3y_;)39Fox2498-h19hrl0jgDPL1mNGV%mFr~j~I?RnF9xzgJ56Q0^v|a zP~%`VwM;4KxU$%1pE0^w+pj_ygaU#Ps~ptI`7s$rYkjIYX0~W>DzSKkBLjg3Xj~QK z>CxzAhX$po71S)tZz2&%9VUu?O{r=vX2)h!u&VafVmI}ITCX6OijUuHH#I&#e$j3U zp2r(Zw$-mm)f&t1{lb9qjeB2q3xYAmkjBoBzx^=eQ-v9#m+^+Im@h6Vc}=icSVDgU zuvQf|cmZur?U-MbLQ@+5ha-BW>n4(s+1-aD(9WD#RRkcSM~i>`vH2y6&F9&E;^ z>-$%s<%Fp$$szt0&p2Hh#wQ2(-Hv7Z2UzWq5*eQiE=tlW1iT{Yd+<21e1YrVqfRqXQHX`DLtbLml%etsT+&Y zcJ}%OWT+^D_a(i=^21^<(>dH^46<2X-`>B9xyiEw^WE%_dOIkJ#kpC<7Ro93uW!Hd z_~PXU+(6GKybr=pv?`3*^JH=+NOEwYhy$^r}%E>mB=J7Nrp9`Y4BzTg=KPA6u{s3yV z#$w+;-_teS(jnc_wqpPG`c-6O5A@2$&AEW401%#-a0t&;1jQXVh@9&Iih}kV;$5G2 zi+TYEfkv4%s&`*M+>UamqTH;3E-X#Zh0yr%n?kJxx^VwST^E?$-Q_-U@45}t>L+yJ zqtJyoNz|YVDI{PAx)55Ck8C-gch911g_M5!p0j?eT5pWguSFz#!`YdifJ0MjXs}{0AwC<(XdLi%8IX_Ko)01 zkxAYJYPJH#86JCSS!d;1+*Tl?kRUd(K_L|c&RlZ#AAr*KNF*EHgk3aJzyTf&ge=6HBaN^%hd{CvquohWi*T&)aq;KALUmnPu8M#cycH!hT}eo?6!W_`uMHbI+tQI z3ks}QGVz>a+B9u`d>Nd!B;jqJqtIW#Yakv;FsIKABmVgR{^!@VzWe&&FO`RqkArq2 z9tUgkR;Zs>vy^jT<-82_gL9Wl9)ErlRxa1{dDskc;|JYO@=qWOkWUmT+wPJ}$%b@# zScMuCp+f@jQt$UQ2L~7dA;WaY`mLBz-&!#FL`C@<5W5c_K^8be50r5(ofN(}4iFzE zsSlVB^TjHQt3cpyb2Akl-x7=}Lc7T417u>;1~9@Ou5VL@Af5-s{qR0zw)qBw;*;8Y`AkP1xJ!>zIZfJ6~TPU!@{Fki?Tc^rq=_)gF?qe>O#*+dTJXrZ=Q)M&`(2H>wF3b24WJK|~4zhd|qe^AI`(~I) z`SE3O0~kkb;GiEr0_)?e0q8`V=iUm|TaggM+T_NCc03Z&4V>5CN3^o9`SJ3l)`%24S}@xLmh)mFDu=f! zyIsJ+6>kX4$AV`nU5}7ZQ(5kSVR!SrM6TUF+ca_mxGw|`l-(7mZt~awK9v?Mh-<=0 zkQ!i+7Oau2*_joqcPmHlc%4xjF@HJDahx3f0Q&GIAM@MutFDHZ`S}pI3o69IlrkI~ zs?pNM+@dNT7NBOvoH*hG!1AR~;!+%xaR^G-)+yzn&ZM&1te@wbu8-f`COHM$cTg+z zIVEe>qz0*%#z9T0>*LEjFKnJcc>K*o5MXBOKyzp%Dsv-L*d1L`v>8q84(g9|YH|-b zXo9c6q;mzn{+#yZrX*-tS0T^Fr(|1jqLMFB9vXw;gTm~w0 z)l~q00OCj7o2WgQ`{#1ngZB?UF4=$YB@llKx5^ZCxWGyh@+z(0o{q9$zy)pTM~MN=OzyC~3HC`cFB z;-I3YS(6oxGN`@A2i!)u$oFAcZ!-0M_z3EK?oyd@q^)D&LIgGrR7&z}kIHP-sZF@W zNz9`Rc7fdKkrM(9MKu;H0%2$svW%t=z|7@gAn)>M?q;>L{`}aZA{fc|12mH;y?@~! z}zF<#!7eOGLx9JuUvUwv(d2G1Tw)*YV% zvhicZc`Q9gRi)Yx#`ms)&@gZt2$HiOfhxCW5t{8I!B@; zr+ApWm_LF#I6B!LYE>DIE!giINUQCVvpv2#@@hK4W9|eWK+Tg=tI(-%6Vh|=B@0%Z z5Glb5kmL8pZsGL<$asu%onAFBKhX^k_K%zwLSH=vc2*Qdmp-X1YKEqNvokeSZ($mN zbua=8a3h}=8TKJc4VX6h@KOAH047FFgW`@rxlHhQ-c=D~)QPkk=p7i9hi)s7%WDvX zp@T#P$^0>frXj8l08)A~0C+B#h3e z8$5I+rP9BKRjp21*TV8^)cC=@PknyfG0Ly=H7!xkWSC-hRFlI5dJeXzS|7jFs_`5SNux|oJ&(!F`uJ+^ z;^VRj*`)Qycv1IGAG)?ARrEeQ$y7+W;gX3g7vfsOF+i2B38+G$*rL*8P>j5|veM)| zZwq*q8^C3_|85h|9)T*MhMZ9akN&=sr$li5S3iL&KY=Pgfhxafph~d=sMd+6YJgww zz-HC)Pg#r=??AO{GbDU&bSC?f1wHVoXqZ!A(%L=NOX3qXzprm!wG06Kemev#h+|^! zu=zb4lD%FZU+vxZ8=x|PO$7^#j;YFXF=10nUpG%HBm0~zU z*uOr$4C*`2+;e^WW)*;sz5N@BIMeN3NyO8#d`-0g*K~&CIY5BWuGMHxu7&wVyXxae z;0TZ7xEysHKj2gJEkHb^=v``}9fgMWd~=k%h=#rVt9eP+2GJpWdi5 zeOrMlOweNjNIE=NJ^2f1i;YH4yE>c6&oI?H$p;4>kA9W|oo~qI@|=~Uo9IFkw zPxHobVUE+3`}bb|E-;nH?$gse_xj&E&os}$sV)L(D}Cc;_{L3*)4b~?D4WKmfE;oK z3+Xq2V9cQ4!Df|?nOgBgXK;nNUV_cqwCA|P}NR@R;l7k-baKwRzMI_L(e*x>>KBPc;6xZXS8>Pr1#LZ@auPaLSf3 zC))ehcliNphc^zNnwwrH7(T6=r&$tP!BAgvo5w1FAQ%1(ir#M=ysA0R+4lYi^!VAP zBxT~b$Ny!UIq2m|%if>gw)f>*_gruP16~5Q$WxwGs@JXy+)y`B`8uFy3IqtMWn;)c zMiG3&>klhXIi?-xu6UaZ2sIVf)~M1r^f>@DA(y-)xMC0SOymuMJ{%Sx zTh!zG)9XBdQVOUKC<>CL44L*CXSyBM^f*pmW35LzzCu7fcbuRGEr6~@ym;@8st^cZ z*r4Sb-)P$t%I6*RS=fWHxNFn<7flzko}-SzS1S@5PE@@5O#Vnr)& z|GX7Kp_}ujiuU^Wt*n+a6y)>DV;A4PPafr4AxyKJk?9bow|UiGAHUft_!JnR10v72 z9^K|<)H#l>JVQB=0nzpCw^E`$_Vz0{$k4AA{wJ39tw3Y5;tiH!cBAu*2r^%wv5%jloL z=pS?cV&;eInY~l{T^k1I|N7Z|{ZM_dVi0Bhg90?)0eDFH!e4?>f>ju7Zl0%}!U}Gd zdF5y-ZoJg;(tB_6Qd_U_Rzd0$BvE1ew^nd{;>8*TzFsuIz~fI;_O09h`T>qo)he0< znBW9Ac5p^(aAgO({%g_vg(+>ZfC~q`r^Vb2Qkz*Hw`R-_if-FkEXv}b2p#E)K@r4h zJHGyr-^23yyIE}qood8>J&nzl9UnW5#m8@j6`lcFb^Ut3VB44V@mmc-KIV5{n1+%IrcwZ)$6?r)Zd`t0F-b(Mw>AZBA8H7+LpzC#V4SBIZb z7l+{QVeLMd55Pw(X5J>$BDb_o6n?_~QIkoit6tcUhS+U^5fWA~rKtGMrn-Io0L{h7 z_rnXr2T&n;@De1loU_&JD3x1iqIf&Hyyg$^0f!k|_EvBTt9^Jphsh~y?AL(rfH_V_1JMB88I3Peli{~nzm@l6b8kp-(0gA(DEkHZ*YT{Vy8brVI5nU8 zybmb^tZvpwh}N`@Wq&x?#tJ59{3qT=z!!7E}27|Tl6Fe zL*pdHDClTL&3axDhjg363e>srg9Lq80l0VhB*}-aOh>#Kx{jt5{I7rgx}KPZoG63&37j%Xp~PiA=MFD^(^smYHZi?D_AU}EQazju!K z7(c>zM115L)RK)JB^*VdHC|Z5lEiqVfSo1N;u2IfKQ^Bcutx~pV)M zVXzM(kl`=EZCFve<&p)iK&ya=4jTL1#a4Kj+!%uoBSv_KEM)*pzJnZff$Hd!ja%&erOnlb4Oz(@*)du*42O zoHPGoMm`O?YcBkYE#qP}wKx@6;`;b`J@)F7gLb%FGuP3A=wDoj>F+@yw|HC^k+J{^ZNP3x1S1-3Qp8x0@pqSa4x%0 z^X8h;n=46V@uhR41F`lb{Uw(hm2c$LGNoD zk>}~nj9aYzUM+BE-hDozdJ&!h3ckF!Wb2az{7J=2YwI|R9;$(BwuqmnLw5J;=gjE@ z7vDNapZ({#O!iRe5UwPuK7g2rBxQamy;VrXK`sY-BdztU2hy+cv#+zd<4l)@|}~dAv)Fz}ZAiVmO(3S?1@H}e4mt_?1Qt`>|FG9#1RJ6 zzs+8a{W7Uoh(Boa&-PdmX$gYBJ%yOR?eNrp1~p88z8vB93~?P_ z{t7^ts;%%*n-9{$f{!3T&k|Xk2!oG?Woc`kMrn$nRe#Bc+i_rUPWCgAwP>wFgrag> zN0DH2zq8qQfrk)13zAmRLUPPj2S79%)WLd0%k(f29YJoU4Q2pZ);QSGSHueQ*#U%b zoKuOVPfx70q=2}X?(#)BKiW0J*ir}DwMbZ$Qa#b5^PoICKl%u8-b2WbF*~u4pgGug zvOs2tW*EEdtFkV!Z=fISQGCSZElDIXt8K{mCEmD zLMs;=4J{?pe)F2eFMch0&9_DqcJ|xrVdHV&an*UXly0lWiuVA`ieu)t|6Qqges@V& z;8Vg^2Y5SVR3HY}>9kR4Vv;`8SyL0v8=6gyAjl1y3F!I*+>P)VC_mBV6}^YW&U!&v z3q?)YdP@S~6n6haV#szyoi8a?$9)Ze%{2e`c^QY!EK<7W&UMUxpBU$#S@8lf0p z4ADK;sAoW-77^V2$U$#x#I-iwU1$M!SZQx`-|k_XKwrPzV}EPJa{8q!wNkK{{kQ2| z<9BX}mXscvl%7$r#f5ujuEHS<4FO$$B!+dDund7L%-36Q+i>?iy0DZ|Z1TO{jO8$< zvuk?8r1yz76D9tWw948!9nh%CU#}$qU93Tq#B$CjfymKcBHu3yk0DZ=O%78l2xCP6 z`nU`Ro-+Oo%68!Cm0COn5e3Kgsx=zsv=MhUwzj-cv=-{OQh2;jCDgSm7Y%1)8O1!- z&r67$%VlF|?kR^Qx+*}cY}W*vDdG{sDLbVlh7gCQwC_AxRjmq|*; zc~GFJ^w)jd1mE%N z2Ru#Rf-AZMsOv^u#}}<>dZIm}-^|IKcrpw)JpI0k7F4?nT7~(~HFdaoy$wgxaL4f{ zRz8jSjW~JWWGms1U_42U>rmW6hrd30U|lJPl0Pa@7f6_?;6^9xCi>}`+4Pm@Bq%px@X_X(atH2UQ+vuqb#V_5s&arw(*>a0rbnq*7dZe9_dp1eA1drC8> zO;fW&+-|&IIjn!0m9dlz7{6+Ztc;1wiR1-(p{?!|R|Jlk_|KfMykC5KgZg9hpLQO> z9UAam>UTMz9%T{5Y`Y$%ZJ#A(_K%mB!bBK8n~5Jr*YJBhKa4t-*N-TQg+SpZHEzUu zl=vjT6++dN;uhH8`G3m+SUJXl3?qh|Otk98=+pC&BA;Ki1Uyzx(o9$xuYf+pU5KxL$wf;`8LTr*j5ubZ5Es8Q3_qyB)}?QUq(wxmB_jdE zMa*B;yFy?gck#)bH_yfk#PY6blAYPiMrg)3>T?hjmoF7dqP-3NA!lV}i3yQX#6>BO z7m#y?IA&eIjBPnYEhv~Fj~Bf39 z9ou^A7m^+R{0MezYcZPdG>Fgrr{z>YV+pk!wKZac5AJ&eCkt#NLtrhcHJ zT9OL4LjrR=U{fnqk#E88QcUb+@@AtMo{iU@|LU+{Z(&qF@1Z?ut|I2Cdh+O6<)WRK zYZ1|18AARb6FrwthRjFn&)0)Piyt93-`*#;pcy3)kT5f&MDLlsVwJs)W&jT=?+IIj z3WYYc73`~(4WTnH4X;kjA7*Z))vsuKY#!wFqk*87FY*wew{~aWri}O$6S20Y>%i|{ zJJLVaP6Ao<-iiAdi$jeOZJn0b!l26jKH3gZ5fwmR8Tuj7#t4nxQ1WKdO z-`VzF20$s(_uS%HBUN$JL2BQB1`cX3GQ16`j}VTOHz6$Ev;ClqZb5b71eTE3L^i{=~eYl=h&>|U^dZ-R8gkGlEY6B>?7n84V+Y{qX z(PP%VLBrrW&W6GVg0I?xfWSPXuEIW%GrmDI{Wn4PUIPkVvZyjRs+<%-7I}{=hI(jk zr&erNwT7@y**3hkCx}q;kMIl1qQ`0J!J$Vg#>I4K7ktgSlRHiR6y5`oPnb6LGRv9; z@?i{mLCuH1s8P$UQ=quf>c(2^2tvhGxq#n`1;QDgp~%;lL4&CPml4W$`)-XUqp6nm z;>p&T0EDu*e^JrOANMOP5&%{2i_aHl$s2oLBoF5;5sO=E3eXsdqoi8W8jrdW>*Cy* zpijFe88bXvl3Fi>ckK%j{wl-3kU;-jU>}} z;y;XSTq94|n|}+c8@4A8Lga@?ZUjjxye#R6Asb-`n#MN!5kdHhPayx}{xz;xO5H>V zfSy@pn-~17y22IpXP;8!@=3jeO5FgjVy?`sI1H#JT(+2BEC-Kw$dh`((0h5NBrBu>VSb$hcz zlg$8b(Lb_0136rVfDq-cBNhi9Ai}4?V828`kXOo z7tC-CpB85Fq1zn@z4HvN;b6wC)YjS58v`}h)|97{6NAzs{iq%YYpSngIIu*Kagv)o zxli!ZouED736^tYZXD=Hf_Aj7lKOC?8;-Tgupxf|ajmmRm?AX2WK6@p5gEqON=FDj zb!@K(<4z_3D@h?@sIV#3!C)C$Tbp}>z z=jWe3O|j!og*?2!U~@-b*Q$qw<-9u(+J>f)p>0I|(eXO*ky13ddKjz>PMvWUFa zzn&(vHWL7@Z|2FZgKE)eI6cY^UZ&^oTK&_37rx7b;-mctd3=ccVzP}4wbkAu(I*uP zxoT#sl0>7CBah=4EfBC{X6c*Td`6~66~P!Yz!`}<`BZ}M7bxp)Eoa+y4Kyrcq=f%r zOIl}u=P>KT3e zOu(QT&mFeS{7wj9ymkO`Y>eJG7)?75{M#Z{sH6PVYN&}QT(iK}YIuVUf>V|XmB!mg zPLekl8m*tj>p8b?-MJs>_Yca55X85*w-p{d$64Xm__={py(nmqd6OsWcafsWlMjPa z^-6Yo&uKa!wpG@IGrIt}rpgnbr@#)BG^xT|O{~W3NXwLPSEzm(u;@KK+^wFv9{R z#XY;XlsrXOl*2{5`CU^L!oi@#6fMZNUlFhLu$(Y2yOguE_utX#$6nwQlzl0@v0*GI z@JQNI=-2Nb1UnFzR&R6Ot)U1|4&hA0$OnnNlH%Ek55KKRchm>CXPq#w4 zvM=hze)!zVp?cMwy-HP+XNsYvyI<^P=U~TP+bptiWqmrdkcOY`#x)@iRnYyuI#p*? zeH@4cysYGeKi+-Z&)o$)T{lvy>PwpRoCeKX*35IywVqq?W!Sq0l|RQlYw(P>kXQE> zKM}rZ&^N$YRgqi(A+4Bz%s71EQ~SqoWMj57sRN*zL%ye0W)D7A%Hfir)=;A`oIcoY zTO}(^uCN~8lTLXkw|CvuGH8Z}zs%sqdE@=qcfy4;7PvmFy)WveMyIlf2b?T)g715+ zaG2zWO?G8Qw|~ql!v42l55vF(f2itlz5AM^ntY z_h;;~HO*hPeVp+#uf6Xm+Y^a&``bw9ct2#RMM#t(vHR}rBr8ZwHT-IQaG3%< zJ^uX!zWKk%hCC2xoEEZqF5iFLJysOv4|%C;;jw>^FA4r|_CK?78kdg4MupE@l#bay z3qTPm*`JmlStl;xd9*%^(p>9o?vjS(>AvTBuF<`pg{_NA!v5&dLNt7E<4ARqSby(w zSAa!or=olpzKK5xPdn+g=hrHOQ#|@M7>+B%{+8%?xK)P2^f0EJYGeTH{+{zv_wsXF zko2G^Te&uo>JQ|Liep)9H{$LuxBv#swZ21``&fV1ar`Uo1qZ4HZ|_%S&7~KcXvQTQ zwiWLC2qv9uM=gD8nt=;OHoai*%&Yk$=94#%1OCXc_>`J#$A-E?VPxUELyYf5_u zeb+En;4~H=@gHcLK@hPdK;Er&X={BEQMXFJ$NOD&5CA6vp5}V^8BoJA$=zmb8U;xr ze+|d_HFvr4qL3-cmEneQ2cAc+c&pMH#ZPwiCxLdpb@hO`S9@@kLcbWIPP0>i*6Jbv z>Km>Bv5W=7d3-iCNY;2c;Stt#!8Js331(UlcWH8?KzhgSfv7Bs%cYDi`WN6}xZxa? zg3jYu=P(HyVF1?FvQ+kdol5Vi7I8_DnXZeHnSTR{bE{}Hv{`DeK-?2%Fj^v!X-tHM zR+EB@gmR-;s2w#zOp7cSR{#=I4;;4YyL%)2R$>cb0a==YkW;u9_jUBsv#5=4qMC(b z7l2;e45!g*1@kZ0JW;J}HVu3Fr#0GH;vMdjQb?8)y~72PfqAMG?}KcOt#cL5u(i&N z$nSDN$POeb){BO%**LOhavHRUoP5+|g2P#52)sesH1NAsSIBVEU&{i`po1kO8G1L5 z9{(Qa&^R@8Nhr7PKZS>jq}ldYY*e6ZBOr+Ck!}#@GozYj}AYcQ+ja7yc8f+GUz$xQ*!TynyDC=Bu) zlKn}EFcHMlIQH6LydNV_%l|By-OS7dA-tXA#H&C}X9|ry0)We`H-4|#ol#jJvYB_o zxpKdX&V5PuJ^YZ&&m`nSJ6Mn&wZ7Nf_3r9kp|q@2b2kXQ7Jarcv!)8O4ny z#=Ds!z+&KZ=*r<|X?pKAZgr0Q1>?=U%^v^N6~j3rcA!N4%^AC>?Zgub)&BH~lTh>D zT$oL&QLk;aaWSLMx`;;8FTHL}OD^2F7eRUZx3Y?(6JX%vni!1m6s&Erf(^b2&h8KE z`baI*xXr3M8R_~w`g5KG2-hbKtZ7%4_@(9(SohJprOx+CR)Xm7BG6;lC~KqtTK$zfaeo?yUN zw4H~r4IM6;ys9Bl+!sSh!o>f2uOPhRpP|5F@M*-NIF0jZO<^SK}-V zha@HM)NIKlFf4|OV&IM97v3hzYrVj(HJ0s+(D8%=1f9v~r9Z zbC1Aucat>@ze8wW`jp9ryG~WVbu6n{7r7cdPNz^;M{&FOz0bTrYl^aJjA~E}P#Uv| z>Rz{a@kpPYz5qjSb{%9lE(vtMh=eOzal8=NpBr#FQ_Wc=15T={J=4^OG;f*tM7c={ zBQ%FwjF3M`Me5-?5N#q#0*w8yUrzeUP&;D5y;aStkc_jQ$0f1`_Pj^4>^ry-1n|dfQAC!f4(xkYJ$w@R!!S zgw1HbCF@;5g%e;5s4jQp%)P^g+=#_U z>ln3r%@YUt#$DA0`yVNzGK*Nfb%E7@0V~IN`*um&-JJjq_z{j!6!3=_OQ~IS@%kUk8Zi;sT zbH}&zbTya4FXw+${3K0)3=0a(xml;VWCXRvM_C>!On5-6tOn)h?_r^ZmCE?|p5dG( ztUPF0Rk>Z=KDELD4IGoD#IP}bG9?O};OauvPV^mc#X|Ijjgw$5poHg0K*Y}ir*ER& z*4=+6pY~M0S*f9P{9)(&LM`P+3(eR0YNzhj{zNtR4bL6RH<9;u%bAn#y_5Wpy@ZeF zIqjP`0u@nTiWgSSFc$94bh&kGnwb6Zst_#6o6q>vamf*Htn-lg&c1`Q%o{gP|9Xshz3@3)mQQedEUqSO?VRIRtWFH z(YOsMWx_ePVFE*6#w-d&Qr=8<)A|a}r*gMCu+fwE2$%^M8%HR>#CbrE7d3PYWYA(E z^LKmw$tzKP$K1OET@{R4H7k$L@N1m+1?l_6%Y%$M>y)%(J4NPFX1QTV?`lM-yojpM4RGaY#fo&8fJ_I+ zksI{SrbD;XP7eRWB-EbG=K|$pnV1aFH!v~aR}|{E5Eg+z}PV*(mRY9aY7+g-X4M6R01fS;)7u(t- zz)J$GUoPQ%6a(=bza^w$N_-!8J{Y2an@=fp(cEZcjIJqxUW)A+5AGkw>q8(bK$8)T zEBBvP_~h@xJWASzu?=OmltauN`5j93=SX!SDTNj4@5*l~Kpbwt50jRW%inOu%d;%l zTh){8hJBxjAYkGndcXFC z%#yLA0VgOuKRIOBZKE!3vwZG11^pT-tX!-h^$K6@eFK%=JmWRZA7ZYebg_ z4P@>Hv6)?xD-&<+l75hh1fdc1L%-1i#V^d$eCJOFP~3gH)qIGK4Mkd(%AQF0S6rt= z{(bj|K#8^O8`+K&+T7ZGi9)F?geu>%|qj}~m+b+opo!TT>7 ziKX!aDz|F5aB6g6jObX6H<2&V=tUg_c=J>}9prp3G~gJGWeVg`ate^x{w$>`^&!so zS|wmiNQYIEt%^|51l`ZTfsJHzoC9{Ll@QAzwXim|EvH1KNkcZS#)rkh?O?{!n@Db6 zMj7+1H?(lV9vgynzKTamK#Q1Je00*2A!KY=P|4{~l;6MFIMS|Q-y9tacyvtnnU=Q< zOJcAEaPFy8=2d69l+IXyJZ9vHM*kEQ61O6`Uy&EKEW^}yq5Tq$OL3{wPYl*{(-7e5 z16DQ@))uuy;Mch|u0}+ppUH3>K%e4b$LSm3G!u?xQL84T8#Q-=*eZSRP5&L8`T_)F9czKtm`w4&$b= z&BeC3Xy6HMC!-0C>xHQo&~mOKRruE+)LUzDq)CGuUdY5=jvnr8%RNkWv*P4|_xi2B zdmp+ilZ%6QH@!csG0+jC>}R=?=nK>i&lF2NO{;1tsnETW0l&$0l?y>nQUR2;FQlua#uab*ZJSb zm{Xp^q-~iFnobv|>n?dn!CDL7VOK8+u$flaOYPFOuH)Q0ZZzW_)b(r{f zFZangy(V&=Vq0BnfE)Vj*BsQzHaGeU2EleDURHKQagNqX?Z@HHKb8-ATk9LV1;FAc z!4cRXls!zo=9%yj^Itr-ULQ8p>NZGrzB0K9s4EX5;Ih=ZEpLC!%m_p{H;@YUKvz$R z)a)Q2k&=s{O>ezl!?QQr+%std2K5_F>4$f+_v=bhOf8fo`=4v*MsgF}_4^IzfZ| zE9`l?Syifb0KZ6i2F*7pevgj_Wp#57zk_N=&CiRx! zRpb=TD080&`UO$Sjv#^KYXnzhs~wOzz`9-PYM3&(W9(FXrGiul%YvR8EU6BOuT^09 zaT{XxLWE$z?H6TvB)qnOQ};7gI%nWCCdKMj=mqB5_sNcX(}8$w{RQK}k*T@`89|dv zb}iX7$+C>p5-y&(fLUnL^^tyk1$qvTPo5X6{dSA8EM!PnEPKc@!1EG6GndGZw6ZKA zhbqU;vBFT%Dl1#~lswZ5yK>7MJuyFjLe`F((aVlUTX-0lURoySI!ojLz=Wc=+LCrl zf2HW-NFn?;nfSFqsc+VexVJzUrR1gO_J;*&+VSn_OL)&x$+SlM+md>;dGaNkTm4J+ z#x@Nn;s*Yh2s!HmH@ZUUx>SC8_HcOb33XaF4A`ltk(Wu1)(>JMXQ>c@%P=ycDcRir zq3XN@F+M05ZbWbvbt4rEtZrhw8z)kF=u*rQ=9)$!oP^dAnF|=G(B(vsC>vVx&Q%1hg@6SUaE2574UiAU17cvT4 zhYy?|&P^v>NQ*e3FYMvlXD-S?xOpK!Ol3aUfMrZ#ZuPr?KxkdToxMGMwbc<`6&Llz zmdhqNs7>N=kXsHsowTw zlnt7^cBAZl7l{YI4;?{j4mg@&jY7d*ggj#~-9r39rY+#y7PB$s@)o&9iG@EkET{SH zkND-taUT8vPPLzmVEaTw-2h}|2RQP^;C)GA0%I*qP*+TgHa$y1idE2zm%=LGB-`-= zGEL1<#LPBSm1#T%QI;7H2k}Z?F$C}4;sEl1@XiQB0$7vfcL21(S^XaPXIZ1~`^8(( z;z23;!UgCl$*>x43WUxc8dNAht7^3J5}m{#Cc{-B$|Rd8@srnGxJn|liGD^1znUv7 z+!`bpN+62l-?YZl=`D6TStyqC@+rFxy-sWtSR#aQJCfpj9~g%^?TKCaJ>@2s11=s< z84_MK?4tMUQ;5N@xqMYGoQpXN@e7{jz!CZ}J*sU5U6LMnI)YMT1lt%kO;7(W;ZAFVliI%qW%cmIz`6JkS0vzPh4Xz>~q zNh0L2@7KVPu8_io+rYQo>d6|9?7o?yJW6<~yG(6ZNKb!UwwpWh8be;U)YG4WE1#;he<(&> zS_U|5Uw$)}vmN&_KX7rakuv+FvEd*7Oe~6X(Ss0Aw!UVDwvkt=e{Z{+aJd?QP2cBxjRGsRbAgIY^eJwLHE zP4n-CmKMuscDBey)HO4@QQUwtYr8Cbq9cAMoFji-)iZJaVP)%eD)Y3F z!uVUl1O0(IB1Rh&2+=`}tem*BbWj?tiQNX5bc4j3_vR2FsnuaMm>T*JLaSM^CYcZ& za!m+LcVGh3e+i;$XI;PWV<6M-%6-HLzooD~_%`-U7SYMz!bI13n_UUv7-v(v9Bah8GMH2^Y;R z9zM>U96#nTb$Lh6AeH`~JY(&Q<)lsCn{BjHb=qM6wtYRo{bO_}(MnOJf~4;dbebPz zxM1{IVtJprc;6R!e`fiQ@}lj-?T6w=c z5_uE(cu5!uJQiEBe0}@>_KZ^WuKmTs$2X^dx8{#Kp6-te0g?BHy9K*(zZalJlAJbH zKzmp6*1Ix_>gFC=_ftFYgYSJX@ah<0XzDwD6mj3=rEgrUbN=X_O#7sJ|XwJ z8*g4u&8_bTj#VJXkC3;%-T$#?D2Z$Wi48wOT7lIFZr;0N-7WrXf#D6#A3*V^4=G)9M?Uu1GLO2BK$_4JWO0)BdPg7i8#$i1X$8%Ca z2@ra;(AXk-E1uYoJ^C4t!2Pe{^NT`Qm38VViy6`m_;g|7hl&)9F@_NS!f=zmKA&V{ z-;K2|&uPn{xO&TCiD+OmxSlLk|0eYxrW%fX5ZVq$nhUM!xx*H3M1lXj)Eac(2&Rs6 z2~~uhQdC8W%a;~2oqwE6Xm3edQG7LOju))pRbA}(d_Nj)JOEb(^sBU+MMQ_N;yMKa zl2#E}tEf1THVL)aEa`F$JT|?N4;L5m*Vr{0w4aigOhZhJ+mu4i0|9^YKcMl{XAd_-A=(I zCjLBoOS#VTC36uHo1D^bmL-!9Znu3W={j!!loB1~yt$2WjFkud#+BLK&nOD2k~g7p zk6Jen%q%yhHlQd^bofKCGYv(<*E_TF_Q=l5>!OT7bRpbzvPUG16DPdb>JBi#)ftd^ zaDOylaI>=i+!S8r8McQfUvLdDjT`) zZr@vf&%;F_f~CBJ_X^dhAE)D)_(|>I)*{JezVgYbUo7xp1!mw1{t{#Ut1j)=Vw*FX zAcK$QB3`#M{@UyjQaQ2|;%qF-VltrVY@nn$?`)&F6k*Af0U7kG-Ll*$M14pA{0PoL zuT5CDj38RC$oU;nbqadcx|zToICP54#jP0X-^V?1oQR^-q)6nu=Hvxldy0gI|3n+f zsG)nkuLg4YKr`l0$Q8z@Vruo_Ac>bDAvtrqHAhSqC?O4@EO)Iq_=T9s2LbED6>nZX zXNE4+gt}DYFZT54r3DNUE z19P^EOhmn0b@XZ$Tm8uGceKC%$=2|!Iz-Hzg_3{Y4`mHKSG%nn_2jUz0pt@RrcdA? zxZyB&+4T+1D6t6jE4Mrrc-5`mGADmrZm=*5e-c^Tf(N5_Ah#*8VS2|m^WyHM`28VkU%)i7baYj#9*$$vkk}F|2!A5Ce98SNM zVHn7;W?oF$pKP{>p-+k94Tp1kOT(BG8xc|s3$fK3T#UMC#mJ;jzk!B6^22|i58BA~ z`2LiVFN9sS3K|Py+&ZrDSG9~M3|>#Sf6y*JTRXfe#qH*773}gOKgq6i;77 z;SCQ6KmTUcgW@uDkND^tm<4568;(`Pjr#J=pKaF`7mD(mS?JH@K21e@oc{WvJwEB< zMIC7MkN7Z;1#`BzV;xnZVa|Ss)g{woU*ay@-Q|$4Ah3~XLTLJ+9u#B%G=E_my))5J z(w_{!B_{C#)KREf$@`ZgYmxt2XC4L`&i<>meOw9HkNx%382>SKv8s=j2S z>OTUG5&1Q42kItPHb1`Ux+WOY&)=7}VfS$(jVk``Pp0%m_2 zD2Hkw=`KVI(@Yj$Tg<1~*S5E3^AVp8hTO!QC`tUiyKc#`c=l4~i*}*z&?)5Zm@FsB zy(smujbb;kjFc|KskAq+HbQf~V~WD{@H5Lp@d!qE8|^wq6d_s#yYTBi(=F0`p#Nu) z5*kqMH2IZc_JA2pZ_w*_3Sn@h%%mnAEm$!5#htSHn6m81sdhb*oAA(OuT@$#fJCBJ z{&_1)xsOFpTD2QVIF6(`Sm9nVafJ2Mi)b>>LUQ9zT2yJJmv83Z82r1Bcq}mw%%LSl8 zNo3Wi-^+Di$3835jlnA7bewbu-clpT>T~du(9kU6bLYDK5^|k8gx72?rC@ z;yt{KPZYKAz#_0n7G9t9t@rx3xodkESa1f8lM6-*`}NO|Y#}JR^3Ak*Z_YGhZnxAi zs1vF{cX_W}2S*SgSrCj+i(y}V(cPLYYtZhM&aSf*)Ly=P7q*_+=kH;Kmrr5d|IYwj z7urlpe?uVSx>eF86|?5KZxC9gPM*1>cb=;IJVtAKZYX&aaVoR=3Hk0+I4Uk|ToH2q zk(kI}yr9Su!?qkX5o`1uf`9u7kdkguF8XU1&>Hx(BBKAHY~)DL4PrV829+evi*|M* zHEQGJm+x0qF!h*SzQEt%_>2mV`TR@8Xq!SmnSxDVwW`D~@SPGybE_@@mR-*R=W=+Xu7qo+^+^ikBWU>TGvIJqD0Vwi>hvEX9YwrB5+vexx%q70w>b4P$i>pW?PL^;zjBrlo_5-vVD zICF5!uE97P-9r{#wuqW<9Fa!XoNE8pR~=jJ;I)J`WoGwR%19VE{CGNV#zgJf)$z9! z`GsR1Jm6%e+39#%kZRnu0T$sB7B4q$#&@t``Uu2Y3-z(%6C3D>t!^nU;N5qh z%18TPm!zQ??5$!-BXgT*$uX8|nyPg|Ayz=XXn(u?4P`$NZTxTLJzu&u^)uI!@WBR{ zeSdeFhb522roMTC-&OY*CskcMROnpq~Ib*D#cSlLDI51?oh1ZF?NoU%@f3H4u^783wx9g)m~QD`vW^9fbcNbq&f zT9W!tkT#L<4QG*XsX zb>h_Lqx=k+;^`5xKAqaR1K_2(>CIE$W5Vq;wmnZt_JA>oC3*#8Zm^lAh5q|J^N-0A z+^$&2GluNY3VzIiwkQazRBpz_CUF@JhNA?I9+NMgMV14Alc~FMyRV?jv^VLAQmGGl zEH;zP14@9L#cKw9TkDKTW!^c_KB=8--u7fdE)94mw7X-wBoKG|i*-Q6U(^NrOCOnj zW+B0FPCjb+;FLv_rLzb74|RdAn55u0&pQVQ(-?Zo^r^cpFmGmleQ3Gl7>eLTd83%C zUax+A$!dK)8Iun@B)c6c z`e)oc-c}3Uxm0scXmmqQ+Z7f1^C}~2{Ag;hsa$e*_uLrTsk;xKE=rpo+$uMNX zwuH{1oboA+f!!$TX!5~&v6DJzg0-M`$m~!$MUIVcw_|Nd<=UXITz}4r*Q2=aESCNT z!UuU~H~gvMb)i+Sus>4@_;nn;JRUL* z7WkZC0Z|4U4K&DxNj@F1k?>M^G_*E7xJOo_ox&Dq^hG>-yRytydn^DBQ0Je9{xzKY zq6~o@6oUt(i?QZVCFsA5Ms2=RI*akVJGIdD~&P;b)p_C_gxe=q|o zKIPTf%+;5XA<^WdJeZY^7RJi*VRg_PXvuG?J70;l^QltsL^8gEd6IuvwLiezk4~ud zVj0CUQwXF>#3E;0T7@r17Z}-!F2mbm@`v&;jiSEGaE#&$Of|3-iJ9Tl8k$kFCBHWT z0Z5Up?TvW09h1+J{HMMN$Og`PJD$5!X+lB_-R7)#UH#bYf*@Lvt&>pFgArUrk!A+~ zjZLW#&{9h}p>eRuN{%9KH8c?ixc(_mu%EBeeP9%9ZeTznA(-0by6FXHt@{)_@j3_h zi*7ND$q9!E^pUU?CkG7!ww2Afw4D)H705_v!xD%l>X9X&mH}0>qr!+OR+$s%qheN1 zP0)PpAwmz9Dj5qkg&|%aIT)mDv>Hj)E-J&`(PAd=#AJmf^J`?gI4>KbQgy5%dpwIk zZ1#T3B)Ns=7`Q)bA-U_?Bz%R zN#b&n88Pj$lPQ$bq=>K-mtm@f@-qnDS_wBu))UNb3$cCKJUy}5I7lZs*za#eA<=6I+;DW*aPAk>J``L(uVBbIYRF*G=~c^# zmI!8KR4Tb9bb5Dp>`gWj-Asvyb{nAL52%!jrD2;@M0QZ=tpSiK@dfS>VaMn!f>?CW7ooky8|Z*U`WB z(C#|Ro$phI5_hDSG$y9V4S?Fdpz)e+&{mQzcEoh3)2R%kq(pV(UpsyJQVNA}&-VD) zQ}CQAXbhA1 z=bdi%;|PL(_H4{fS|Fhq6Y#-LYg1K+{-&r2nIyf>m~gc{C4WdKLxW)%sosyv-jE?S zA zB_6o>99P??Ix~uee>EY^C)&gVu&f*$Z%}HNa=pvHbk*; z{y-{=TZKt2yW*3GF^IsE2gK71_O?qfn|n~a7=zW;5Ihcrt}%hhaSI($=0OY^;qb@S zN+@Bx=0{R^Kgx9VVRP6Y5+rFl*jB(S)$}^ezt| z3YT*;xrg{o8KHHkO3VtAWAQMRc!JKVVnPu_1V=!A7ikx2;F&dVs8GGL7S1eRtLzS= zgIB>rQ5k%*NA6BhQ&Ci4<|?N#CBLVb&x_@S#cKdJCT!Wb7I{S?H?(VY-Y~sQ#*K5z z@Qdu=kSNc{{l=p(Cl+<2&_Z+Oc8S1rjD4B>3mwx-3){Cc7l|E~I-A}9wB(NsKJFy; zi+|UW_Y_4TaxDHU$%9%T>#t#rG6Qnl<{t!W{mZD{Lifw9O_{B0Quc+}>ZcP=p2?FzyOg(tx7HX*oCj|sa?VUzyY~vB)XG9> z$D&a}DRD=Kqw9?vbRrdDaC1e`mvp4tsaRa_MHcw$4ntlKPm~$+Qnj{^2PE66B$OP* z_q9buHc$!Z2QP0SkHh4#uj(>{FlL{R$$_9Ls->wstz=94ev?R_!C%&i5Ar>5@wlw(8%`w;9l-L5ekPO&%*v9c!3xF3yHD}^}<2j%_dK{npRMPn4x{+lK8F> ziK1*!9}0#2Ra27f%5)&kEP4;gitqFlDQH=i#6C5Bq@0G2UO(|Vc!&l6-)8psh?DQl zP9+Us+X9s8vl<8@Gcve-euoPP5L4dyo^ZF*5m%&Fg9h?7m#x8?hnQ0FwY!YHJ zsz4mzJ#-6DA8@vZ`BPso8pZcMN{$azlGce>PAVIcQt+ znO)zY$(j1|$_C5iUqnbd(P;5Zf?I%F%o`%4=Zo`PI|aFC&Gss$s2t!W_{B{ufn)>7 z{v~y!Am{uya(CTB>ej=#oXU%D*~k#%0X%f+*eWg zrI&2uz?q;8so6=p!pHwKRUS=(fsd9f`h_S4Vc8aZz0zG|en+@Vn@ZFV#C|=2VE?Hm z-Qk(=h|I2tj~X@VmO-2JrU(dqn^Gl@(tD3v!e$NJ`Cgxp1gx6u>H2AD?)mn10FR3dvyj`MQ)suqk(aZUqg z?&!1HXYO7W3Bh68xQiF`9l`=Qs6-lBQ(2T+m1?|>ItLt??z=RyRf*)qPYmGg7ys?? zWqpth010%og1V}Ej&v@_*172joh9FDW;G?}tEAB463_`#uNu_b{u0#G3kql#5?w-M z%pU>P-$X7sR8ltB$)E>zZX!ZR&@9Mijs&_vBga9ZWwEkIZ(l%GXmR}hp{zs7lFuBe zCF|3>sb&-H1$KYEx3AZJ_luX0GI_&q^g5E9$B-Hq5cV3!W* z2*~R9mS$t56r&?hk+AP|x@zJ4hjhBCP*L+wRMbyY)K65@PgK;IQBix7G!-P@G)dda zszwLj_Pd!&P!oQNx~3ib0!)4*3!!xE_m`mNcTL@Hm+N*PqVS+X?AVQR3C5+H-!ycn zSc6JAvdpiBjWp&lsh+I;9}Z(WsV@$HEdB0^HKUz9vs4i57_6;V7g^WBUq|`)mrc z22Kz2xug z(8Yn!bG=aLq9WxWt_rzrjeJ`96w3snmZ_3^-gpiYaz-(ZVV(H51))<65Y+fX?FtI~ zP?Wt;9$(TEjKs+N@@4u{R*R#6Daa)rHCi0y$8R?ORW2|cSIE75P=wG-LTW&GDR>a>b4uM)T@(`r5 z%?0_TH%(&JPaqQ%@y7WH!Wk|wp%{n8bjhZ^$@=(e=NUGK@L!H+xF8=0ZZCdw48Z@# z*y$lC9V>>mZQ%g0)$5a}d(b#lg)Q1Bt{fN~LUCO`L2C@!euBa+&?UD3wSUbaR2^n7 z7z8K!nI-u~4hv{7nyS+`zkN0QeEjY2&45+lXwwXsTGlPZt=+~+W#{UU#z}cIt5dA( zWi;h?!y7LnSxU7}B6E$F?fWhx`7ZtRS=`Tu)h=cpC6@mJtPI(AKBO`XBa~4cMt2ab zNk=Fy&&I{b0b>`cpbxe)aNR_*p7Xh`Q&sJPbnX*er}Mgg@$IKHXr#jhb3H&uMlAUO zA9uk1m)YnTA*PD{B{n)}zGSdtO|~oSy8qQH>@*1t-oH#l2T_;67R<2~FMN7<76 z^B36lI+FEB@b<^_0?YTtLH&)J2hL-*9Q6A5a`cC_$@KgvMO^ckbkm4|vAzB4=8=8h zy4RbhWC{e|x9#S!H3P4YFCX2Oz5kxaNAQ&I-+`HL?H7pJeoWv5JB#xEZ|#TpE{**a z`=1G1l>acN4>FDuu#pXpGoQYp`3Dqw@1aFt0QIjZ7&ZBfZUlG|O5)~UKQTxf60>>t z$T;lt1b^(GW)vy_jr%CnSRpfP$=&4A5fCo{AFA;I4Fw-kYM-}N^8t!FVB>iRDb1F- z;_VE``Ya7VHn1+DihB4s$RNsZU!AEp=@6tOL13S2%;n2(zqR~L z@2{dOqUHW7KfYQ$o-lyQN_d+TbRU%A0V5!cAMLvI0#5h8g+t z7Q1<~4_LMN zjmp8~)A6vppVeT}z~|T)fshhgLn+A3W&@7gcVAttcmqCxkJH%uAB5Cu{flhD?J$L> zQgM`3cshi0u2MZs+5^y~`Het)UiS8@w@aB>_0wc(@_N@Tn9D}_Vw{q*cQ^!1JSkJr_7wZ1!yNinL2KM4D}MqakOF=RmNltg&I znF=Ftj-Qya^!1@-4^gJFPz#8%!abYP8>lK`oq?!<EucFmcFOS1Q6dPP} z%YV=Qm+`E)n_cquOK>a;AhfjqugTii51FD6%MI$@S5S=5N1BpyW3+-=^$>KP2+A0w z_O(ocb>s>jB3Ku?(vwVd32%^=H)9GieDN8=Z$_Ui!CoxMsInn>{2{gJ{1_~hyGZWk z;WD0|KS99|@Qu=qAsVTT1CN#y??*<*gj8yTu)}JJ>dpzI2Sk+73VRTUJM0HXtf-);QRq5_ zE!1lB+CAr(#Ck2vHqOOXAd)=ZXu^@8XL>l0Tu*CGl$BlIezUO0 zr(nz#jStx)IN1$RWhh^=qVpG#c+rpifHN#-HB)*XE5Pu0>xq`L6%2np1V^zcK2Jzq z@e*p!<4i!>cnUsh_Pr_6O-6fbAW|zU0+$)DyrQsa1_0oE@bDe-y>A~n z3d6wPguldg702(ODzs3Ugh!!bFJ#f8$M>)~x=iazVxm&^H2AKhZ;Z?>nPnIuNh`MtBX zr&x{%{p-tD@7<=r<8pz=0>!(%ec7HOWL{vVaduK-rp?b^%!QQNjpkO6Yz|@})}eib ziPCrg(tEliy_Z_GSAGZo98RL=%n`1zvRK}UELDHZeJ?|$K_Ow6ABVDF{kL&^!hK|F zG4m7Hu?z1eG3>8FrXy{>!<*ey_c#NpY=F&)l+#;GJPrWekFC?s!&PYeW<_W=o6rN# zpGsmCc~t_dXhiMaU#2{}`W}ajS8G_mfEZJJm?L}c=FolgU3NG79wIB~G=~wYw~gMe z!9)-1pPpM)gv3wIeWE`J5_~J~p_j>-IFGLWW<_htCnAKMk#~RHL9Oh@6YQY%?Kct| zJL-vqo28ozZ-2Ao<7^#Sk;|d=#=5avDUm0M?6N>s=x8ald-&N$c6DlU)AaaZ05Z!xgJ^dZp0DRY%?5Vl z#yFQKS1byZ@eHUkl?`Cy*g^BC_Ar;S`egL#)e78g^o>jD_W4aTZqVx1LFPTW%VV?+ zyKCA#$M3TJx`v@v+n^JKA)Mo8sc4+zOWIt2@dd&XHjI-Rqkvt+cP%>Y&4};yNUF1$ zhaqryl<(w;tJ&`7Z`B=~+^o7Tz+{0D9kYspbvxM?aLQoU$KoCyce7e^pU8Y?-9xR~ zpW_B&mVbQQWE=04L$p6V@AU8-%9xH=gD0A$v&eJ#D4s-2Op$Q|s*dZ_3Tr7Muza%OMF}Mh)xh7Ls8$fERY@ z7Ajz+H(SWnZ=qaX98R#|olU9|S88)+nGo@+{6tzPRJphLyDwL}li9oL zdF+xusHrz^2$EO*#2bQSn1fc}rFJ;U<^^CZgz|*&TO$cuk+!Ok%mEOyjfZ;vypYB?MEQQTiNdekSS(N3mc?+856N-5Xr8Y3hanvyRrLn zwa9#iI&At>^>Z;eDz70|Yw2{shp!_JBqaFVcnse{g*?ZeBUO`!TJB=d6Vapt+v;xNrT!$FXVBY5i+hz7bj zUsHiwHBr+T5;a!zygDxN;qgaIZsH&00coM1IMD_yWGPb(|8#$5sJb__%nXs$_V@yz zX4mJ5rBq8LK!%>UpYRpJ^u`a_P~=0j8hQ{QffJl9fc0A_lC8aT4g(_(=It)PFP!Z% zSkHi337@FF8G?|!f-YSF!f0Xg@6r{3fK;)6)>Hh7gR#DTvCi-zl^Ad-`GD3My6hd` zmMNSMocVAY`udBkbbiGUTKg(WqAj{|`4i4mnu;&5@-*RM8eT-vA!wOBrTh<%PJ`C?`@DY*`7${tWY zQ;G&{nwtD=ef_Va&-(hsLTm_P6(gh*hVc4_yil(Xv&R9y@u4-l{((Jws^jS}N%RqK z0U~=Ia0F{sfC?b~5XX@_eW{GO;7xmayj;Fk&(5l4u%3_Ju=f+`V+YpsPS;JLro^Fn z?Js1sr+vijrbb5K84*JkVa+Mm1+?1DyA6aZe<$^bA*qp>rG0&iDYBzqi`{wF(eHr$ zBf}U0N8Shr7itppyGfu$N7U4!y)Qsb$ws&`u(Jb)kbHLyqHu15X1D00-Jf5!$HDN7 z?jT6g3JqE~Y|=3nk`zYJ)VhGy)>@b72AAjrm5&)eZLe^rxinVf}-0HrxZ^L$^C=I(KkYqhX9xZGp8$b1|bSQf{dVZQ;^ zmk$!8*e_|2urU_yD`+MxDD-rU;o1I;<&&lInb*Ln9Wq=QtbE|5G8UlbfT!~}z~vmX ziDY0Oz}egosNV8x=;AVyOr;j8@hVPm39`sR*ffB*#{P76)b7A(gfpcJ6{)KcIVkTU z8CMqeuS+S_O983zCXD^{@zqJ%nb5goC!gUi9cm+Y9P-Uyl*{xn10mLCP{IQsR~ztr zNmbzs?7R1Il3lB%faDAckA^wTwXB)~PL@H%S=>a>g2_ssM$pvvtR+?C29mLEEqX~= zPO?q>aqRR6YQVZtFXUa|(3{~wN`)S3D|YV(911H6Ud_$Ub`hvaLDr^w{(Nb8efuim3$%JZJ^-Ml+GH#KSk^;fO(V; z?J}S5Ft{*I@c0Za3~_zUjC=nit25=!J)g=*#Vnj;90kgEqUCON{!_ELwpcp9C6=y% z=mS2SZ*)ozr$Ejo(B?}(ZzT#X?z0QG5CHh5f*_m%d18U#346_$bLOFbz%oR}07PL7 zxP%|q{i|~Lk&7a;*(#sE$VI`(@T@*kiP^l8G27f+Nwz&EzjIu42r;nmJ_^x6U$ybsjWBr{n>e4#2a_d zq1VKnUxScJQTh2p zK$RhyMIVtMs#Oxt0lG&>+zUAtMNiQ*1`KAfa_QuDO%oa(Ufd8ZK+k8c*g^Xty)s34 z^Ars`x|!y^DR~OEfS|81s?5wkDwj|?lqx+maO($fCH*DR-!e<^q1`fI2|nnDdDE5- zD^LLjh-fpJ|0AooY*dL5sQadd|2bxVd#>!k2n#x+Re+& zJI*C}t70}=5a3L1;)oeCR2u8UYs8?=$D#NA4?+*AO1h@Jk=5qIjEjYqp`cL})FM!q z&|(iL_sZM7z_hxCli{m+s>h-Yyb`2KAvAY3rp)?j<#q_1y_mU0qR(J>8uXU(w+n;Gn5=rJ2+hU2@uTLUhzmXfaP9A3-9aC3N0lO5<*mYl*zrCH zsdmsotigcqrm1RdgSIR_D-(!(`Oe)kB-^LPb#sp$Q){5HDO_6EG39$gwpBfiBEaqO z<*fj%^A3*^^3Ul_lx;5q;1~D z4Dhn4(~0m;*T%7$)(MCWgaU!OQ%JC~M$pHc zVOcgEpMg}DeGH?C8BnnXna`d@gXiNAQFEK&gq5P_Wp}oXLK5uF3eHp(icfL4rH0Wn z4Y$_ESCfe*-~@0VNR8=PX~kfA6*UC>%n8^W>)TiPou;?nANuwgeq?eLbWIksS*on zjwrGvRd{^GZIht%RIsu}oTMC4;uGvn zwN%#Z3pi!ZKiF$CfKR|PY}8)9?jkj{KUr2FQ+q%zOq~fzDJ8C?G%GNZ3%yJ%L1Q7g zkBVkUX#^dG2)cixoe&kYPCFs-pPc%gAYyAsn15{A<)#OA#9!@O!g*c8IrG0-$*%L zMIWD9V6Kqb(&}d;Ky2RnA0bItw*G!7R0Y9X&_Yu>{TqhltC>Qf1}lG~l82mUG!eKl z-#=6ZU_Fd#?}S`_jI@B4AOLs&v9 zBKz3HFdRsEO8`8R+N~5C57gD+OfgjxZrBpx`Ngt5iZ$Z0Uf|4}59ko4ML>yi`?i2` zJ&|%VACn;5E%c?#=C(Y=J$+wODJ0X0Y(_J0O0|4`!dC5)Tx7;s-1Q5n#WIk|WZ>aM zbos|8_rFgv0^>O4`uK8fmyQKTg!KFd0zR=PszhiUmLFf9qyW-DK1jF!_{iZl18h6a zPKIJr3xt@tg#jtM`!Ne87#>HcvcSlgJ*n9+y`6x{_koT&xNJ7&L(A4r1WgaGBC~6d=r!071w>-9};7oRG;p1Yn~QubaLvs3p&7@DWVZcRxTg1DI0P6Rr+=m zDFS|!wn&Ah1wtv(vH_-eM_|2Fsi=tcqUVHTsLw6|EodTzkqeoyj$DH}8hx-oO=@Wc z>jgtDD^6;V@tOm-{d4CxZ(H&^`wmhI6e8{F?KoKqjmv$EJ|J~o*{;MVA!pm)3lKh9i{_DS5|GxGAd=E<9 z#fKzrxoeknmyOf&?ML^&e4H_Q37>Wd_4k-CT&}<3{)_lu zu5aQ`U;Ja{pcaZT{IkO5A_9nwPx% z_g=h~+rQIa@DIAz|6uNi>$L3UlNMg+{f9pM&~=%A@%0a#@5371{R(O%q}8giynCQO zuXT`kt9_bDQJkX4XF0`pyj>a>cuFKprX4AJ3fND z%YJ1kyBUHe50bf03*9{088a8M8J!jFJji-`eUektpr+6BSim7Vole-v@X40V7!o^mzlMC7#4jz~7-}g<-CwT)H1jP6B_QS1k-} zJ3qh$!=r>hm<##prdq}jq&mCuneD8`!mu?x;O{=5Pkdff%ZH~OAOz)II0B;;U!xZL zlp7$qke_3JKumru@6tm~V>1Fj9R@&BJr07U8FbC!#)2Jr}t+m;6j66d1R!wk;+3YskdEZKk*erJR4dv$VzFcj& zk7tL->cVI554l{f8$s@a1Bgqa@-CMqO2=Gs7m{To$VxEb5IMr71efhT$p~uMKp8Z< zTqwg-5`#@Y+*dP<*=xmzDawGt2=Mmd04{+{RvweoF#0{`14+tda$!ZT#@e8C3`G_4 zATQtXMhxxPDp~jPF;wfVkAv!SuQ6-`Ew|`AjvS&$;ES$AL*tuNXBr>x-K=l&Ro@H( z`EFjmrc34Z#upkPpJA_tH#}9+KQm;5^@;jv8oq{Fb1hyJz%Zm(ePi-6ubkqx!@}k$ zhPh+@uz=AFR5=;VlNF0F%AFxxSQ{nHf;LD9vm95G{$>><9@S<5-39RYoSYX3*_%TN zFpI@Y=vqw6dxwoJs!a6Egx}KGD!+Vn?vJeZl4iYgK}6Pq4KKcYS(v5e<%7XOxiyd# ztp?7cEVq^#!|gi<+aQb;u=cJ6*6soj%WtZ^Cs_Oa=Ikyv(rOOY-d*m>qFoni$?kIh zWL>ur!hC?WPyYVtXS8?5aOF)2W#?BvVeQSZ_Br|4zYSP>DBi{;ea;m5w72ksh0H%$gNxuaHuQbb~lj8a%Mj|tBBIU5S{O;F`4+hs>WP1mhP*o zjf%vnhuVL{_$ZW%gV}pkZN_~bBeyqv)RCewTTU{#g0anzt~y%09S|gBq-a1g;KWd@ zVa&(5@Zp>zQWUP6wr=`Zy_-*Y%UmB{HWB#rnmFMcfo1>T%pgq*gUE=+y)>yj8JB<3k?g z@m5_h!}2aq&BAXeVZMNxWyPB56Sq_0SJgD`R1y06_SGI!3)0Ug2p3jiy{(As7PU(g z1-&*x_5~URxPS>BqVFu@+HiQ)_YXleKouh}IGN2qz_y|_bL*~9FVlKy4^dA-Ss=*cQFG^h%4Y6l=33nxI_ zLOzU22VAB;>?uEG(;6Sz_`?ixXb4GzSuj>jabIBDfE-e=Z6ONObRqWMzuJeOUNR>J z;1cal86NmY7_=NwrpliHi81?9xWg(eEO-T{-IwMabb3r*v5z&WZ4L@Lrhu=~75pam z+j;G#iI0yi%_e^<^f+K#oz^7gHnjfsPn3SnYe3T;|Dib)9)I6StcTV=-+uHSH$J{B z`v&2qlwVNl;YK6f@c1CITV*Bmz5gBUnG%|K8QlCesp3%q*zSZk~-0E?O!3vaL-*xW!J8 zT+E*cF|xjWc@#FK$ME}9XRmKxo=$`8?QOt${e^3Q04uchJMnO7A z&1QV)cnkw3{P=@BZr2A`#sEhSAMne=A?bj+dl+lj1%ZrAekx7nra8TXty5_=A3L8B zu8y6K5-!h|S6=)p@`;iPEFSrt{a=Wl;umljYejxbz@<<5gpBD6atA;0Ga5J0>0u2! z!^PD^qMKC$;)UVwDV+E9RDg^F!z?xjeE9kv<*8esI-cFm#8zQD`+%nQHmKeD__8ig zmu!a$T_7+VCzr$^qXWbB@zu%b1HvO|$rog5LAFd$F6N%Lx_M5O$j>WKt1XmAm@mrY zJXe&&fhl=>b8AN4`)3@hySA}|-vASbyce$fDJLu|lmpiYb+m5+@I>!EVjQ!<} z)&K1~#(wKqeU_cmPXsm`t5eal-r+K+A>7B5r)CEA9jE|#^PK7JUmyRM3~HFyj97g9 z4A1L3PyzGm8)MC1m)*VpK0wbb&*tFPJ0y?)Er1?5@_c;nmxQR)txpwEaGmH)To9t7 z*AEziVL8rQ2@3kw*H3Qjx@=y)dF#veG%e=Vs6111Yx4+6uKUm9({*oxs*sqdXGfRq zXgibL{V;X|AwJZjZm8bbDSjP>Qq%+i*Z-{)SwmD{eS z%>RG({;pSg+{_L@|H@q27Y-zm`nt^6?YJ?MnZ$M{$lPo=$3DgYfhVvXoWFlcRa}cz zRFUE$S+(_c@0-Nv>FO;?9`- zR;zj2nZyOQ$CoQc`#kgcENu!Ee=-2R31JzarA!|m0nY*GfFP_KKwEl%ssbR4JB*tu z!|flybTw{2WIBW!#4Ps}v-D4oK7gw5iBuVCo&F!hcMz%h)TUS&#wrhh3?YCC32!3E z93)KF!;v4Q5o!UEde33y(>_Gc*GX$!@r2Jt*#EYn3CI59-~aNrzkdv>*(x_Rz%<;{ zrm7ZqyIL)&aKr3O+2TGK`{^;eiM3`{a#nL9xd!(EE!=+&~8O2OcwHM}YZsN+IO zZqC@$sa}B^5@D=4U^eS(jYO)8UIA(i*P1e>jr)`>g2=e`k=9BAxdd4KmY2 z$qr*24ypx|Zt@b5^SXCmB8N$o3{iS_VRMv)s6E?~)EaMm;JnVIC39WRf7!Z_;`{e} z8~6TUogUx+druu89V(|?Y7Xo7e>iaI;~gt_R`u)+Elmb4%XSL2$3KztvD^J>%ka*D zDgXF_HqIf3To1k z5dBo&XH{GE;G?q9o#T*KgIhd00O|sY4nX&KBO1qoHgz}R77Eq#>nMxF4l%mS@oZ{_ zVH5IkgB-pVf1tPN&m+floAjvgKc7+2%NDm}VuZN{5oqC*S_B zxlPnJNd=G{k0Mc@114a@dZJd4LT)cq>EXP>t4JoYkc^N|gQpm;SD3TG_Agw)dKzKd_Y!n793}rZVuG#y4R1IIarC0&WdUqOSTf z{e~f(ZV#y|0HFvgV8J!?h6|OE)g1HZ%u!PcVXk5d`N$Bkk z;Sz8cXN1x?J>j+h@j7E?+TtLraNbMY$M$g>4HMw~b^ZC<3QB{i_YQOyvP}#e(ZWPV zvA}Ma(7iGRW|` zIkJZm6Kj3~wsm@zxOoeBCfe;5P}*aHV6Jif4HKU@8q7>Fr8I8Q*0#t9qAPK=U&b4AbbdIN=SfQ1 zNa5(3d2<&Qss{zIaq)zeoPB_DjlEhn(KG;`JIa zB`7%WDvtV(ptkTkND2iiH_1WJuIV?VPfa!U;L+w6q(St3&op`8g~m7 zijs}HqpRd|Q~`j)uMxU)3wR5wd#8~DR(D25m^B3IKYs``xVyx41p2OXL!cd^_x>(% zsM#4X+R^d0r=WH}+g-9aeU8O*CzQKn#9d;-M{IbP++OXp#HJ(%L!d#tOUB4B=in}} zx5F__;jAhXbtn#jMn@c+I!*0vK%fnOcXXF5kBGeNyQE)nCB*C*V|NK|y)H85iVlG= zb~3TQ7`aO@AT#dvD$VLouW+(8F8e921w3@!@-gS4mPizK7$uHUT&t=dDmaF&>7?Qa zjU(E63>f{F;;p|Kls3$DM&D7cZ~e)%02FQ`3pY5YBq;l#aK`Ls3=Nhb(_`>B=)jOY zoZ!8~xQP=vyoV~gdn`e<&T<#zohGxRfeq0%xOJQFPLtWqMTWXe@3sPUNIL}rEF2*x z_>wyZk2}s!gMB0q2;o1-P9COf@oaU3iwc$eKz3_#n$?8cppirw9MD+f0SR-_qN9+} zx|xg8Z3mULyWwm}>*f~I`rZY(zPk-P`hG_t`fA;LwQd@;Zm@Hr>3yVit;mjCQb5*0=qBS>IUte#`R;!9jr1xbSIdDf7hF{wSoX!1z_LF0QD8X8r+HH z?Iin_0pqS82CuXRs@L`{1IE|LOu7}km3TC~U=zJ%M2byB?iaK*>IaPWEd$0OGULtZ z3LoyFw+t9xIODZ%Y0LxZVDSksq|M*igLxVaY_`R*>BVr1r@ZX+L$>Nz%<>G|yb;^voM&@6e>}2JX}F>8$4fM-#3vd4$_WiGrm&+&D#|%&6>#LTRpVL zU;%3e8Tx1e@1)rjL5mL$!CRS5FFD3#&H;etz0uoF|Jl~ zea*hRF;V(%4PVUHDCI{vN}0=QH48nisIb=anhRBar;So3x1Fa!16{p+lrpe5j8#st zT5XBUU=C|Fj8Z}>;ZdzNp;jA{e7VEMpNg;Xp4jYN0>T?t9h}4Y3sna*Zj%E>feNoN z2CA7rnxKa_Cq^kSMu5|flV%!n^77+vpq3W6(Yqa<__+Bx(XkBjkqyiqRD6op_(6Wb zc~;^|_fAERNs4Xq5!5C=Byl==0j|NOPH-ZS&MOXf2Yr`enbwa{b>?K>g(9Nx^Jy_* zQzx~<7&O?qZI}3P2XoGmGHy7WIbuauRH}`mQrF>Q(VP|$EjF2+hkSL@MXRn~rnmI6 z+#4)%B{@j{r?+&1Aa%YgAv31;S?Ry6GFBPG?Oc-nFE0IGL2X$G{~ZErjq2(~bZ*@S8zSj=>-2y6X3~F)QH_l(F_!*ASr-a;g`rrMX6&BdY+gfu zABv}i(fTnq*J60CD=3|dbW}skn2SUu;99Iec20(w0u@RfUpr+R>#``?#?B$&r0hBb zZecBh6}yCf2^Bd?a0}L5hHiAycZ0(%?6QO}+`<=b;S0C$)59$sMq+igMLAZg1`iZ23`S@!*6CNFE=3jO=7#iIP~g>w`p9gvU9Yy zHHglDQXALtuww(H$)wu&7zjFrdTRSq+H*@XC@ngzuZL`;d(R2ta9_t!Uw|Ak z9%#lpJsAC~*ac&~qMn;|pbZu`1Hho%($@oUj4Ju3W!+4n#pkE17zmLZpT}z*g23?6 zP=NL=I@&UL0rRqjP!P<^;!AK}iPI4#7S+}&+ec6%%Y-wD;a3lLfJD)cOoGz&V}4{g zT!B07aSGJ8@>>4UCX=U@}K#(2f+y1&M(!J=y`mFo&*3aWj4n!yP=4|Ck+YzVl+bkDIFS6R&7$rUQ2 z=|862Rp5bK-_~G=I^cpZEly=AYJzpj0Mc=MSHo0mpP{u_f!YRPeq)6E1`bL#jEoIh zQL{`-4(aW8PIA#K83_&1E-uGxhEf>IOg*5Ln$~-{oq_dA#Qs7l+ zM*>%X9f_yfJFRMFRaG_r-A7Oh25cNCx6{cpuAfhe8-Uis*rfNeeFU}ff{$Q`I9d-= z+YVEYA@~S&ZHv2)AUlNP^wO@pXH}p75yl{nl~-+Z*MHdDfet$nUNGO~+f6)Sw_Tw& zvw#DzJN7^vI{?Op4GW$e?7-n9Ge1!54gF8pmkjoUwPjlsJDc^A~(j<-O2m<9i!`Ut2< zSYl@;;bsNvEx1|xd{6Dz0*9AQWH=I`vr5RF&9o2SARV{qq|*bpUt+ z?|6Y3W!2I97vrbt;bTv56PVozYq;)l1EH22aulM{`(H&5<6X`iO&{3N%G@tK|R~Su?4EZ zK(`H~hEH?_m*p{%ClO@;ZG}hBD?qx>Aq(0+bwHYJ|HxoC)QTY>bdJ})fP4&8L0^RZ6BWw% zx2t)Z`S|z0{O#`_m#w7P4O5w6Bn!zsrmZVU=0VPBa43~l{T^kDmS85{Keegl(Wkp1 z$51~sHFU%16PaM4sXz0{*l(Qf}(-#Ej3j*}X5Fq!v zHGIkg2$18aO;ZRR`iVn;dH`}5Byu4@Aa!>p@)th|0(3wo9H(XZFu9z_u-oj1jlSrw zs#rFN>!4yunEvic#tae?z0Y08p^k*K-OXyd@a+Xl8u>q{D#&P^g$$m(so@h-Qlv)6 zpzB~5+v}I9BX7_5laH@998Q$kx&0#-T;T2u!3Rijcd&v`iM_JpbNK*j!Or8`zv=iM z0qhr9#}Mz10q9Vf^shlKZvffgb^r}E-ZDqiaAA3geOOJN8dMS_d$v3B zR0atW1D88+YvmD;h5XMILS>~8fOqT(Lg@7b%YYewU~@l!*E$JeP+zOOd_4iGC5oGt zlgv*J(in%l#i{Ta-{3&=cbb-uTnZuAfDtr7kRhEv@jM4m_gtl1k6{2xonj53r6tBd zU`+vFZP3=wxK(4YQnw+r-eqqfy@xx=Q7wDZ;)av~A(&=xr@?SB9^fzm@q)|P4V5dn zzD{+h0}>tdO1wOEoZ`I~m-o25+8a{UTfq&<{wuG{_ze&5&ox9=&lwT!=sc>JmbTQB zO1bOnCy*zz9?;?LO>|fjpI{9R4%|(bsviFJ3#h0TD)gXE9o!e#ImYZ!U50b1k*B&o z45_8Ysjm6!3S`%7h7+ygq0zwFeu$U<)Q+Q=98fy7cvtlRd&bGm5%ukilWqT$ z@_kDB??r^M!~G-c$Uz9c5B5(&O%aN;9^(!zn_6&)et-+uCeUGF2bGA?TEwENV0I?H z7&eg1IDsq8E}F+T(CD%bI&rQu&qU=0Dt&w<0FiML!b5TlqtY8l?R~u+@|r-m{P7Qe z|HFUW;4NL54JSO`!e@Vkx7?PzUcK((@RnOwu!9?*6DnY;J#WHYV8zFrmQ<=BZ@ie{1@y}-3*Yqi|1(uY>zLa z_fN&$Do$nJ#ymcNY0qkp7h;h!$WH_(C76rQTj3xbV}e@dw=81|CF~Qhhqm3XHX_f& z-MSRRrG1Q3AbD>4U)IWmXAFxnx1$mYZ9rlGTu&*PI#bnc|I1*u67o|Gb2{$X^26Ax z>4SBDdBn8qDh#;&gZS~aFxr8BJ5675ST5<~D-Zb2bN6EZ%VTbEhPWAqqa(~_{X!^@ zRX0#<|CeG3&!GHq382L=q*LI)isvA2!+{-TKPpKLLp@HbT|HxlX!tZ8W>;bFxNgF| z?S7dgcpmQbwteI|LR5I`Gl<8sQ1~a^y|K5imM$lYJ#yxc&BN5WKivB-h4CA8h&cq= z1M6CQeDwnNOw?zN5lv!@c3nWB8{j_{Hd~&yPTE|CCO`hrp~cWq@7f=5>ICTGbd-1hRCC_8?2hRb(t6 zjdC@z85UdxcT~Fg7NV#C!WM+LmH>A^h`+k_;pGIBsyw{y63e3L&tEkCFPi=rP5+Cg ze`cC~8tcBQoNUr10Pl7DkA#9LMdfK9HX}J{fD~WlT-FnMgJkgSq}1&yloe~vlgqkX zMCQdCK5$S>Bv750g>(El^DEYGAvK+4LLL&!bLbNN<2Pu+iZpUX)N%hl_Jf!BAt0}r zQp(ME%|e};si3X#sKiBBvN^2)Url- z07_ODoypSHk~~m{2Qf=VAWHKd%nk;3gZzSv>1Em=+ zc3V|nUY}n!X@zeL>dOokWhiX!H&8vRc~$4zZ#VEd+(NQeLXFr)h!(FE+qkYkb`-5) zA-26%FFAoMH}iJ7NBZ$)ed-e-%vPg{MZKSEcA)ccxs#`lF*hy1Dx7;>Pwg1jr|T;r zW2=#+Z%)KM`C%U9?aVr9m*IYnFsv%Q0*MUID$lr5-Jp9r{O(3_DQ>k@j;cK5xmTm` z{!!&I8Vb4)>FpzneZN~6_GtTvw~@EnFhPYBx7zS({SaH{eyXJ|sj@v~PD}1}!*!A= zQGN80bAj_PSFwRqX&Tq+H6Fd}c1KFB-x-SyOOO%Uj4u#nEc`I`YWTO-F%QslwC-vj z<4q>(F5eLaiFFR7qpihj>$-CxUK<0`L^6-^j|rR-J&&lvvMdd~gAq&CHP{OK?Q>_l zd;14&1=iGZw{umZ_VH(TfD`FtjIdK5e@bs())j!9q>}ki?UvV9?%_@CAGIUmJPJAf zH?G!5xXnx5;%&$94k2(M5ASex-nP4irZooVi2Tl7R?`sS zQOEEOQ!Q^hg0DCaZ`c+<#<)*qNES6Wo*jX1{kSwzaQFDyWyWlsKt*y)cDfJON0V89JKQfijt1)F)##Nd~$-a=PV&US5 z#o>j%rj6@_UT&&eYSfcS>!dJDMqPHe`_*I0Mn;_U{O#q4#uT5nUdu59**`Pk@Q_Y64W=q{H#n0@&8qnivZh9&jw zK3&FImC)jZ#)ET@TWy#$vOPy?eswxf>e6+Fbl~#%#jUop;E}DI&*Rf8Csaa4q4x}vNUh_>)eSf8u>8V`IMRTboUJX_E0umdj zo|pz^OhRY@qkHtTM+nEYD2L?vlB)v@(?K}q#aCb;>_{NJKn+aux@;-03+?GvysqoR z$SglJzCjVGeDHC@-(i;!{{Z3=Tx3=r|k6Mi{@MNWgEkNmU|4Rs^V=`b| z{%Na)SIfg^fPzj=mJmL7!mG6u3|XV31n7q3A&maDn$`25hEGo>W$rTtkMg$YkNq!q zLsll6k84V?kb+Wha5~Ui5?;B1ijhhRhcFCUjR^VkP#*pT)#|GFdAxyC5)VwRXIj;} z$C=|_V1z~t@=plk%+(jL%){t{0LsZ&yc@WO+6u^mJRZjI#l!gGVZ{9NVg_^HwtwEc zc^E%_|Gby;Fj8ZI;!ey7KvK91RfQ=CzOk{m`tDNnE(GNsqb20Gb9FPLorBVGF~RmR zzCeC4VV`3h)mmtDb{-QzUgO|E-SJlN=x*)_#l&b4O{#k_GR6^OgE`#)@l3?JJ}@{- zy=!d@`ILtQNLH1Ivu>7Pv4MfOZ?&Dw7}LQSt-H{IY&au90bo0=k?)aipteccC`2fe(6rxtZ=U#u{d z-aoPq@@Js#Q`<*H-BaO+VxmyYN@z}o7b;Xt>!hf+?IUAlP~PvR1IMuAB892+_9-@{ z`uvP+d;9HVwBIh!w7vae(!l4V?zhL6K}zRAOy7VVV?AlW9yg~k8h!<7V3hL*_cTMe zg_bxtf}{bYKkY~ZV>{A7d^k^0Ut}C04J>sT{pCMc>`C>u_<1D~KC7p$L_#|`B4Msj zWdMI1R%*Vvu+jp(Hr4}ZF|v!#Op!vi2hbMhly9b&Ms#eqebINz_%Y{tmhEs$5Qit- zG~=qw;)kq7O>hgQX1WQU#}B#b1@^t0FZJE6#nbmgSkeACn&96IKV+VRpB*&8`@$yp zkDMRUS2n?i$PWAI@Iwyh38OlYN7lK}G6CF!cn^6`Pkh5mSOjv&)`NYPa=ISu(k;t}$!#UG35zaBIAR|&p6H+ok@+3~)P_bxi6#_% zPUr{Rknb_7U08$s9T_t)8VQi(&U!|oD5MolE}7k}5ShfBb61D}Jhf&7x-qLg7O(XzIeCRjUN7iZi?)M3jDf z8O8fKlgCJ(SKd6x7S4geSNXuF+WYgM3zNV_dC zynXrTRzNn|GbmLL^zk#*Mhi-o>Ff7r9VeL3>H+a)CZ_KVS_Cy4u($5(Tn z6CK^#%wUr7jU%J>;|em!_a5Jf71U*Imk&^^N__%!^5yZ1!GWK@{oVeN?bv}XU4!tM zm^4?ROVb}fO&v}qLpaIcSchO#z_GN|!TfY|`+uoEzQwu2=O1790c0F1EQyFIbpXf| z4%ItwxZkwGp~~sQnaE|=_b(%*T#BGqRPjYv&j>6G3P*QuZ=W6Jp*U<2ii251$QCF;aSYdw)b}pE=)2pQ+4y2#D2`7- zaX3w`IYtjUkN^vRb~Y4;drq$~mxtnTa_G|_vY$+ZQ*?ylFy9P{1G;q9itMLx1&U)T zMsesUc3k5j956M}7{ZHWZZgrB2RVsC?tcy#gq($(3kIRmbUcJ8dWr2r+oaIGp>6UJZN~j>h={QqHC+0@R`{sl(xc%L6Ms3M z=DOEF5*seAeONfOo!^22bXQ&x3NSr3pJf=7OTYIps00cGg2EV7TcqE$61{TecA~o3 zeE_+5^K+-i;w=FIAq)@&1nTd~$>-$*)Mwy_SqMjmJ_~7<1FGd4ttW%wRljp-c@vCo zR$+9|5roDw6+BZC;p5{8@G!cJ1AKr(o7FUYaVP{}%8WDUGd2Ol+~Lp!L-7p*6_In? z6qjs&xhFsRJ~JN=Q|ke)UOmh!syDS;Bj(F`;_-U|M7!r3<_T|8iT?!IR&Rf6u}WdpKffR z=`^cwI>ZQzCZU0b*l~BF2u^p9nW=zAcv9~GjZ302>i(61jZwFQ)@p3%T#5}vpQ@G1 z{I;9bOAeorEz(DF`Apf#RWifjgtg4@%fhN5d0Vo-TOBg z*w%ynqY5OzHl{bovEW;puzAJ}Fa^&)RTUYx1*pN?2GD>Vh9Ck_;h{1i*cKq> zWP`;QK+5gJ`BQ8Qmx7s@(HvGR74Z5WR-;lKiHaM!eNbb>!w+1-c@+Vwo-${ap>N%nnX@Lsa-!DZjK662D6hx_s? z`~J$l>$7hcwWl$*yyw3gT_f$z+50Q|c1v{Xt9B3xKRvSVuAK0r%SX7V8j>DzL|{l1 zCvLquiIN#JCsJTrjjA}|AK&IbKJsrHKpz?;QKjPXK8gA~%KhcksMXJjkQ>|Pk&V6v zZrdOOk9XuzK+HBgx*2ajHr?AEUL5T~Zk4{c4zbba^rh3AxR~;I0_B2v&_f7#Q+$-L zrh2jqpc@eqkE%5&Z_nCW$9UVUkb6p@nBC8akw)a`8 z1Tlo~GjXH5j!>cA=hgvkro|O9{vSkd6zfjF3`srf9)GT{YfGVwBaxUxaIl_$1i)Dy z!W*SJmdL2D^T>$Y5F?9>r3Uq!`QUW8{h^UH?=q5F8m633y+0$_8nUsc7jM$hNuN!q z3q}XoxKp8s9o}(uCuVfqx~Xpf_{w!z=ZJkkdc?EX9acl3O`}Irw>SVQOlbk+i}IET z?(uYB0_1BaiCJy+xyWP!_xyV@LA<2EZHpq%qX=us1o{Qw#dIHHNh%w&K$amJ!(<>w zN9q7dy~vWD?gXsZ3lKQ$H!VhVXi5*yY zm}_-FRYF|9AOV{ntAIor?PYw;V+8W@C#6+@%txdi#jYU|PeQAtOrm$#_VU#Phn~@+PCx*JSi-GWye+jQ-dSEk6^N_;y3f zc~EchVE|!fhjV*rGc0xwie=E~=p_^80;8*}CmXFq$8iW6I4&cc#*73oF&)aKKH?H| zG_@WXNVmE+SY1LcXT@R8H$oBGuo#UbN=HaotTLeLq9a5p_tkQnUyfv7SLfJ z+rs^WoVG$1+oJzsTYP0TKdr3hi*4~EVq07;gnG%h7t0-{E`-dcR+9@M^&BF2rRq7- zpR?G*P=W8&$UjEge5O+yO{NEI0`dfoPPx%=qOBT7`smlkPIr|&!S?W(D|45=^{#MOPhP_W{&S ziG`;rb*r$y;~QuSr9~){lbKT_xc%d7&4=m4TODjD6U>c=E@KFgx>>}s*FwAx`@s!_ z`zhmQNel3-qTT`RG%(V1U)rlP7oQS!p2=<;0uJ2jY{KResR3Y_cMQ0yFRFr_y^o&T zS+2jeRE?M1H3rkLM>LHDgnW8W)2NlGf-NbuS$BC|M(^1M14jWxY_?s)`dqHV)|^P**l#z~)+u9$|nfY8{cotw8o}9oz#0 z0Rx5E1QEXq_jUlhTGarDV+kOn7hKBFutXZ5XjmS8f@09jF0dSfX7+(4r;NHD%Sa-y z9m~02Kc-v&8F!LHAg%+dQh@nu@_^OkVwqpv?Z^eizWh1Viu+|<7a3*#cE^^~Yg9F? zUiTVHb*tZ2I%f@qhBDVrpf;0G7l+kfuQ=1Mq0sGzvwP0PBPJx*&*=@WpKl%txjDQi za^?+%T#+={aJchR9}3Y+VtM`tV?XTh7njJM{;Z);>O#mY2;Xoa$iUfmcd}EjQ50`~ z;cG0ml0QRwB0_&&_!?zT_!Esvb6F&Bc#ZPJ`P~k6sn>XliL>eCTF?y_;n3lyjTw#D z)cbhnv}e0M$aaX0Wp`Ag|4x>n}n5M1#g4SOBLw0+IrjdeYYEQ z{us)_ZwV_XdJ}#lSix_F0b##Etl%dwAXE;iS2H1x_fXA*l+l$5u)8d>Zsu!4e|Ylv z|F*UBjs5udzx?g*A8W3~9OopVCl3ZEL#;lKT$`1!)7`(a(dpfX0p_T73@_J2E5zqe z6HQQ#il+;hy3b4(P@!j2yPqNsFFRTsQCU~z+R;6}al~RfM76%`;BpJ(yvDVyZ6(rg z?Db>~rBvwd)hC9WZj1<}HF}!ZT6G(}5)9)>kyHGrZk}h4|A#;R@xx5Z#}B!grWZE= zs3cHc_7lsD<_f2rRR`EFLPcy*L2U{ndvqgtgx~yl^lNn|FB?g=Y=seCD9^AX8oylR z%zpz}13Og7$T$sq0d>>r8_2N;8iEAjKq@JrKwcwNb>O)Ro_y{)i zxh#I6rP)^4wbi2I)M8U_k1wM?-fg2CgFyKcDJC~WejvFq9sWT)xq&hXjjX9ZQK6aQ zmmrG=ISdv~7{Spg&rM{<$Ph+Ak!q}b{Tft2G*U_;p(bpTgwx#4%@@|4RY)km2=zEH z#|^+cx4W3J8z!2xI%$-9JGIRP&bHdnbJPn>pCE|F~ zaF#{@z9(i0F6J%t5`aT%-M};#7XOCVD5D@ScRm3u?rs3G6b(Q+z_618kW5_MjfT*7 zpvJ7LlYpAShUAZ70P+pt;+7b2EHVJGg#(Z`N>@P+JAcGs{8P_r^xDix9mrK5(!`eF%R6WBx_liFyE-sf_#McP+B3cv< zDV+sfNx#NoF{BgV;&KU2WQD@UVGMSoZ6$_ezHskf_xl&_{R{VgX54%FLQsjs2Eqj- zh#w0VkRyujQxM#_wikDwu~L5ma{;j(!U7`c5%#!R}9*z-BfkC{UT)ZFwE82 za6N>k4loVuxsTk(8>%j|Z4v!2eEiVMIT5g(!()KlPDk`GIG{`iX#FAp3Nm(+EJy`I zEyN=zYW4BTW(m}JAF$b$SosLp6^TSHCDP-cT;1ilEkdqxUA!J>Z0u!ZqenFG66WP=DvSoO zyH(253NSO8Bnyg$vff~oi~eEsfO^W{5dNmZ+uLs=F{w1h zR~HY-Oj2mpF&GV%QT+(6$yrd7O2-Ig(4*7u%k+m2w~|c7Tw;y$xJ50=X94rEl6>aB zgKT^;#$r+;sqAAhsm|&9`V~~!Wsq|KF7jlFgxUHKw23UP;9BYb>k`zn&;!5C_;N@H z-*@Xcfz8N2W8dJH{V1nAOy&(Tu*EXsN3Z5J`~VX>7UgyN_3_m^4HD5E?kBKs5`;BZ zM45s1@~)iB!7rpAU%k)pvXTF?dpT!FUh^_o*aB^^{naK3I#0B|pbLCLBM$uqd^JwP z8l**H4E3`k@U>i$vDLTHZ-5v|t94w68FyLo{EF8Y329)P`HU?QKtt~oi)ltH1!4Pz zr2IlsejzEpkd$XeQl_tRb!tJf85&3xAg@K4GbRF3 z|G1HSsh5!%9xp*1DcFHW(Jf&)KF{tqmP6Z#YiiM>kNZoJT$ILN=JpK-9$ADgOxNZ&NRASzKj<{$gJ!G3{@~QYlOhM>Ei2gRhX>RLNEEb-Dt65n{UTZ)Ik> z$3_snpB6k`DmivB$C<9&PIm>yh;BfKLNLAr3ce8|fugAeMnXkPlA17)8i?6qLRA%# zQ-mBtU$%ZAqar1J6AW-q&V-{lm3y5^m%6V^GY=OK<0LzgIn#CB$2f_K>%h>mj|0wQ zn}kmW82WTGX!XTAdlL==b`s$R8N1@Tf@kPce>hdJ0Ep)xSQrP;wtX~6UY_0khHx9V zs2s>fY-9K(h#Dp+z6i#4pQX>igB^keFDKnBAK5OXEN4)?;1y9Zwbt7Fx>MC#WcGjE zZ9_Q7#E-wjU|28**o({Q9_Czy>Qo%NjKEfl=3umA!ZKzFuy$swf^FhEr~oNfsP}6q z3XqT(2X%#paqipoIYPQ|qXR5K3>enc*dvf0)*Uw+@8VhqkFt;%vhHfJbzi}6C9&J! zv#K#as~Ust$H?6TYbV16LEs?r6d37*CNoLZOYoa4Vqcp302h_q=2bA`8=$)Hn~D{E zD7;iP#H>EU2|BI`ZDK&5*aQWmsTMo5ZX7YWH6AL+Qd%t08VCEI1p^?DRiPeVK|P(Q zU#Lnp!6YJKhT)d&$sSlj#gql#K&H-vFB{S}68%_=i7+!|Q#U8PWC4iAF96Y3Bk&7A z^aUU~Gk_>o9wHQrI_AjHXmaMp-(EkU>T*^GbvB$>L3bwsfq2aGLU1_*1O&ummJ*ye?4Bu%_bpt| ztjwzfPz~1)yG02Iu8&5Kw{DIT;Nd7%#iDzZRggH?E&)2kD0YoS*phhfKZEQa);$J3 z_UKZ6dQd^yX&KgPYTdqr4A={F52w?<;%8U<4f23S8Mll>L$b`$P0)NR!lC7X zxN^(rCLpd{46f*9W!y7ZWYWd~v+P*GG<9*Sm3WN-p zBp3;85-7YU6tBtM$C4>>T&2W;FQC#hnZL6o?w|k(18f50LLc#JVBB>HGJF81idwqI zy5n*9Kn05f3pf=TLq)}x;Q)XfF2MmDFF{6Q*x-$3Y-*x0Pz@_+jH9^N4$6?|=I`R- zkRKNh=R%_#+FfZ5X=XK$=kihjo`FM&|BbDDl?H5`UsmxqL7okMo)&#$)Bi;H%sLf|gnn(Ho zOhKTJXPqO57<2yRkh2K2)`rlJp;?SKcsk57Z15XfsTp-GQ|((|1AaOk#MX?+bPO99 z)hmu7`+xw!`9x5w?(%6=5#EwmfFJt=91$AikkI7h7Uus117 zej-}$5g7@@9^@hd8;-t_sF;eKd_TPBpieJD4&#~^30DxbG!t#NTh}U?tYH+65>+W`E&4_EN`}qv-u5!d;B8KKL|YBOtNPW z^e2|b2l>(Efv%1#~@CO z3CaLSD`R*%D;j#&r&kAVXjB;1`81FXbl?^ybM7rR& zK|?A!4pb(j_RZ&2+?6zUJBI)vA;U5s?N$r5CEUFetOFeO_HJX%6U zE!A6K7gV~|ef{j3Qpb=ss47onsiA3KOJ}_IqPrcO!D2MexNrM1)PH!*av+z;Qo^ zLIDi$CO^O*p9QhnVX;P&9>^D`=KTOIl;HA?Ky5NjgCu7T)BsFMI05hUd0?pBLaIu| zzI&LkfLFLPY<4$W2)`=t>&ye%I=T9DtUkl7vG~fkNtjeyDKJ@FLp{7itT*8;jkuWu zXg>xPO={|C~xg&9we@5!Xye*Nr*=73_Cv()sWAlS-&(`i+h;gGyenfbsWWznpLI;6kCi$} z@!`7&Uz>n(IdxDDwLvrJiP{+uj-oqhM`pW3Tj~G{TkSr`GNlv+>bj6hO`8@sI&oV z$cX;8qrr~9gPODso}c~jPB+!d=xG4ZhhcTdk4T4rwp>8}djM@RS{49xib7CPA)fWn z=Ypu^CW;FFm8wuGfa?41F-9g(dDI~y><1Y`&Sjf5om{@AlV8)x*mQCXewLn2y4&z; zIvETL*UIVSFh2i#U(-q4Cu%o?a6WdGaKU7d7pTHw*^nXHWPL5#fSBkNwwg* z^_I1^w`r*S0;OG~o`7OF>L$QmI5}`+fXQW!#clEoiy18excf7l02D(!d2D)yv43Q0 zK$M5~B1G=dEg*&fSJn!2`*hi z3FNizZ!+nzNHVPtryq)B+L2SyFb>m)%>~MZ1Q&FzOb31K7RW=Dh{>eY1XHfmYmJ-g z)yrGTtdb%bw<$Od#9FnPHO?}G70MGfOc&$j#=LMZM`C=*eec(xR(+T=bQBRoK6U&d zJl+b4LJMC#o#GS9*3%j=KDQD_Rvy2H2bud;>9F8plurT0(7-Yw4vFF<&WNAE*2e=4$mdDdU+@0(}QCg;oAL{PT zX_`NVT+2mXoo=cC#Je%Kb=e$=l#Ga{mN|5QBxDLG#|&%&dmB(eY6FCv)l&+*sJFZa zcqf_w_^rUa3bXVUB5^9@{cIq@+agYnzdHu6O>YISEzKkTZ4uu%cpXV*GDZs-@U{l9iyBNMkA`S|V7a0N_Ov+@v>(wXlE`lQo zi=WLkn6-MBlUn&B`I;@!l%Wq5@;qL2jY7*?0TayGnlX3nR*ChUobiBhz%C$QuJMZs zr`h|&U==OQB4vOwSaiOcoR5}3g0ivU{akUHuV+DZa(F-R;K+dLvM1&byNHbvchg!V zZDzthsGUY$H-&mj#kOE|L)`?lVB7z#~%P(Ge_Pk4yA2sBJ!jtgDQP2R#{ZI1=s{u=v=? zGqiHkUB7_tUqJT|=ze>(r7~RJ?IjcF?*5$r+<8EE8^hzD3+V1;xTeS79Rb~!LK&_N zXW$U;5!iX;hi1VKV}J3JfbQvAUDnbp!KmR@r>~^@2Q~xMlkB&<+eC&r;x++h7hsYp z0Zj_9KwLx)(mI)LmkNS%biT~N?VOWtdtPVp)8BmoF*&3>t!1J&1c~00kp~9j#K{U$ zKj~ATA|5Ei!p&e0ld3iLo2pZAeS8^WfCGt^A|VFx2`uJIeV9j%)f|1dhh$G|kPSGs z0P->p7KiqHA2hW+ZmTjB$=I1O5{eS?xR`wtvJCOE96_C|Pa%swxKBX|I1CSl_$tt7 z2X3FkgB-W#0|Zbm^COM`YUy*Uv$3GE6soJ3cyDwH`>7mi*PuMG0vXk|Jf2P7Q6_k+t~P?5-`mi59?RnPY+}3lI(*6%L8e(#3XC8;8zCj4mFBx1)(=w9A(; zTd3jr;4_q(TS7?JyIm@JRV`H(WwI5jI)HcRFKrUyyU|OkjkV<>%iBOO7;zq|$cZ|{ zzzTc}5|^ne{rX`s^5_e!h=HoL064_&1v+ywSHt9`4a|0tkz<}Pb^8YnKHewbK7)JZ zGwnq^{H!jIzpX*m#hiE)T?*$mb_%it7$Q@DjF78lklQ|z1FAa)B#u$SIbi}G>d4Rp zylR@gJ-$3002nu{1QQcrx?hDmC})lx%Qk{b?TWg`+rW!s$LA~b;n=CHx1kKqGKT^0)IR1psXB*jJ09hhY&(^H%Cc)3AM1mD zP1FY=Ns5Q6E*edP41__g?;Vh*qsQ-E-}lZpZVCc$ZF*NMHqbqOgw$c6#?4d^Q;$0q zGUX9tnH}X(Db)lCjr6r3>-L38&&ZYOvKK$eBvfIx2P#Tk z2lwtMRHqjcr1up8MRy%8)qQB0kOWB2PH`ii+!>h4qc8%SIY)mm@%Wejj;u%Iwv648 z1rmI!;1sh`GIhO*X^;U``=kOVG9x$b9xt=3K}=3kA=71{|3Ke)WEt^uOs|I$y6gdA zL$)W|b?qpyneclL1)$n++5xExzMWxchlt+~*@wa?1AWC#){oNF#`dd%9GEh6vsG4vg=+YJv4EuzYqzU32 ztt1;wCsZW08G{EJe0>@X6s{{f+sEKi+m*d+U>W}6t_iafLrhE>hu}9eHedBomKn0x z)4LvhLZ9{J(+M@{MPJ4e2n{KR_=S&wmZ6k`&)u4wX7$=flW*mq2z}aPDFG8+`}GGw zR*FGyYz-d2k6!p3)ANeuoVNn>u<=vv$yNS|K+Npiel3nNjpI^F8E{P*d;qcMC-F z#2TG6pfdpmN&%c9%TlrY>K)uvLr#=tPizgQQ2`@O)71n0k0g!W2 z=wr~<49bNGYeMARa{=ycpqN& ziBXabt_25c^BS9_Nv7=ni0SuElq%uFQ6oxq>@zn{`Hk?rk)JL*3|rsyD5l)G^{6yMwT~Ph^y!G@ZVxWUYf1O-&eL&NYwk)EacX z@|}1D1bGtftcXaY-ua$Tn{6Z?2K2`Y8k_yn^f2HrkhMbVF6h(p$eO0!gTWDOu=+!#4iwb2BH8Fe*wBF=#Vo2Nv&ay0!MYvC(pn zhg2urkf%14j)4SUI=N5`&xGLNkkPyKKBq7mOB`lQQLZ{c|IgUYcWz#bPx;1%O zmgo|o;)d&(l84!)!84S>-DMzVrq=ONMpOsZU1>IsC9^K_jKJJjcNpwar*Sq7I+72C z%C1H}uFvdJ5J?ZEk#emGc1?;BX{4u{OKk>uWO`3%cuH!{f1?52#y?NNnq6H)K2tWk?11kAizWD%2v+-WC_uot~X-D zF~@QYGPE|bT&jpGdk$s?Pf0An3tYM-2xcKUX^#9I(8lM!o`ZK9#n{||iy($lDW-cn z32NC6gKRX>eMJ}_DG{McdL}p}tw0`X(u{7@G6p$+T#b_m`61{c);fn=PTJ`em6C{m zwTIhC{=ofat(Mrkon^W1LWM%mz@gOP3ni7*6X2VmJE(j@QVCZAsV_^*D?x5UhwZd6 zoJ>5K=Z!q)4+pggh%wNBXPY!jYGD7e4AcbCLTuV=fWl{%8TkSDhs#lfF=VsckJ9JSpa-@W^ z8x3iwhJlmcO7mIHiGoooorEeAJBfZqt#(qWQI8D- zloM9D-VHQh#@2En7Nhnnyq15cB%Z(vy~vzodwh8S0{1E;71T#d;J-m5;BP#^=J@f` zk{)KbDS8aum>F2vIC7T*sFTptu+{d-gG`}EL}%fP7Hxtv`7-lrIps&|VF*j8DPFgj zpjh_UmYUe^=c@X~h9E>(x0nP!2A+I2Nb*e8WbcP*kOu)AiE=0gzGS?W`&#z7suonn zKF143oi_XVa^)A06}(WMEa4YOP)lBSh)cED{Zt5QQg1iS@>}Tiq~~MC>MBF((a0rZ z6{+Ui8LQhdP>I_GTu;XSiD)Nm#_IG;wmyINRUC_>cUPHs9~&0~EjlO0H5ROio&w|E zxCKZJ#Y&#QX*5T3qO0ThG3Dxmg_&j_iUU@L_RFS_X(DJN2gaI-lEY&txu=&Fd)mj9 zQW7>NC8(woGe#52ivT=oDikK~cD4#AcCo}#=i5Pltc?a2mf=$$BzCqsNmD-oXH$KU zBFrz62s)h6sS-gRAFFuVIg}$c*CFMoCw&N%qv$F;<;dbUpd3|4 zQyEu(u1vEOQEx-WR6;MVipzgovCA}UT&aAmB?N$b8VZLd%QFavl8534luc3!nMV#NF?r-5 z`XqSdA>vHuksqWKGK<-z2Oud}HycQ?n0fkLMrhs2Z5{D;yAjkLE^Y*Nf5?VU00u&` zB-!w_WJ!HA3PSZx-RMOr#UTlMttMd)E|j(zHyd><1mAE=52=ywD;gSclrirdTEc2o zy`_6lf6%>(&QH#=W7v1k5StcFS7NXby1B;(x zkUjk=4mGhW1FB3UNA74JFDQ*jF4*@SCr9#V56nCEfVv>GJc*`WWB>6x#`| z5bgs05F~e|_`Mvbu2pA6r9^T&1g9WTu)LT^Ze;eL3oYI)8hDL(g#ZvOPV(M3y?6(y ziD?0{nUC)|KHkN?MKtZ@GOZ7x;u)$abO*6vQd9xkKpYZFcbW%{K>d(O9>0_ErJ#w; zZ<)jWN+0BRGRX;AVo$VI=}QNAtU(;&R!E3s)exAEFEW`th!emi9Fk{YtW0$yW*9>w z+jKRt=7m6SIrb`|RX*pa!xB~pRreV_gqogW9PfPrUA~&=7*TzaiBy+A4FIY@Rlmqd zPh}V!sHo%P=1!TqQ6rk=waxzy$I1|Dip`J;;Ew@LeNJ%LFy2GQh||dm`NZqJENdsm zv{CwmGc$^`oi<8FVw&pZ-ZoKYRArs!5;f8SU`LzN22Ce9>UM5JXM|cp=7jFqXl1*c zN|j-;C#}65w9!V}R@RMlE!tMbwXJMU=QFkwzW=bfH!JpltGl;*H24SAjVJknv_VKi zpdJ!#M!~4uUyvDzZh8yL^T85zi9mnV06UgLvdOttRPdKY%7^A3ihq;POX?p(GCQz2 z0u8CHERLoeW2q+y*iCi%9O0u3I;WAXSO8VWL}Ww?cuWkY(gG{DMt!hSV(mmdcg)J- z*%HJVDBevI$LfLc=|u{%vTpTy#1m0Ogjshy*I*wXSvS-0s@mcI{QcvSA6yopX5E+~ znD9d+vTpYq?-vR%U{&FOC8#Z7T3qe4{1Pr{kWA`>ORZ}oLuJ$hf%YyS2oy1ok9US? z3}OKI!S$}_?m(1wg}pL8>an<0*S)XaBsZZ}zhWY<>p z0IBFALR~-PNI9MDbh@UVgA+C85s&0a?amtHc)9~L(YzxWWz)!>DVjMNSwCLh$;Xg8 z@Wgp=Gkk;Quo+;IP}L{JdzE{oh}k<_#H-%x;S%JzjZfR%RfV}tqCJFO1nVzc**RHT zt_sAY?G2teOLmxxJ7bf*p;X=@fnL*(oS9lN z05}|2k5-Q3HQF36+1S;LH&i_7p=K})o&_6%2~)e8+7l~~b37oEk*`_*528693eQk` zXvk?rL@$PLV`K(0e2dXZz6_z{gvo(9rnl>~%(ur{)u-yv*+KIT|DaMKdNDH43H7a? z$N1?+G!cf|1b;II_gnGft7Bo(W3W@Po`i!E6j%p};^H?I8iF40r>+6AM1G9H$#DFx z#T!TJ<~7Fa+OCUHr!a(TjG>p1iT4)R@*{xSWu9{_OHW33aLT&|NpPZ;LgGw-8VN$R z9LeH&>IpcKcSk^tLg;cVL31Yo-1WlH^W!RNJ#VH#J;5ha2GaY?VLJf@OoHB4C;67M zhtxR4u#Q!L$T7#07KR;O1?U)NXS1nlcI}7pBU_CG_kqK5Ti{M8-C}Erk1~k$4rmc< z?D$CSRa%CZ$Cu?e&Lak~olBYj-Z3n@#|O|P;VZW@nm~`aO>(136%EL2F`-0jCNY?& zMm1WMtVimg=!?<&#Aw;G^zON(qB{sehhZzH{s0uiZ{L+29H1s;$-o}X8aj8Nos&RK zBDthwpr5R_xte4(5#)=U9DsEiuTlWLXO0Pp+Z<#PDI?_E;D)lu)`qW93NX^H5O#KI|eGq(Rk>75Na~+Gv0whOhN4Oplgk zwm>RNw}81Y2Z1!+F7#}N{xOi|u^W$s+3h@h47vXALDSaaE8vaVI^HodG`Uo%>6q}X zHt<0A$5J*zcL{>sam^AWe7E&I`x{8>>Xt*PcZl7=0beBJ5Z5mBh+{QFunzG&Le$5) zG~UJ?l)ZMLi;dRxWo=GyIjR|DWEqAjyOzTt_P%+`;j)X0H3Wzh5i|r)@+ukvDbT&z zvm#%254unEdtPGr>BEpZh`?sRP=PSRIQ!mDF!uyBp5h+@q0{5OJTkj7mdb-^^zT`@ zqHA^B_Gv6=;=_@8Ay{u>^;po(aY>U2{*)9%M-Mc68>NX%YHmliK3x`EZ`#JkN!FE( zBl@P+y^1jhLYt0A>YY%c4+VnmKvc)XuhL{EspNTXobmBEBqVUzaT!gJpyqTz6Z#Ko z3)i~hz#=m8AH>^lW(FoKG)k%*%U>Q}zL9slAK=3?bQd^I#cwk9|FM*em8UTQ@j?& z1tm@sD>Qt{KhWjEy7@ zm0Z^ohJ1mS>2f)nzQ#tDX!GLi)o7e<2FF)dp;8XI$Ryj-sBEHZ%M zxXca)E(w#Z0I~R&GI$mo>pl9C{3N8*hY-QQOVlNQ5{&bgJUbw!tIaT`mBK zQZ4jd?eTcw?4ZNo=Tc7|AE>M~CRUO5X?V^#&h7 z2Ih6ac0L~az7V0R79#rwb4CCnFmHz@sYv4Qc95*F>(LiMb(N65NA86ro>CUoL9|$K zO<-^F6Y$Ug8(DP#?mmJ#GKx>U629(+@7$dOX!Ta-5v9`WWbI5#5K*3BVtPJp@S9r8 zJ^3o2QQ8jDlVDrChAeWohtwv&!4q+60-|$*35();41Uvwa3Obg*quUP0XEDehS#A! z2)HtpA_0q0YjLvIIBv+ury;3DE@m^FxqKVr@%K3Mg#PC1@@e@t-X#;qnrzg%3ZhVL zHFNpmYAwm!IG9$aHqVPVU)$bS0p^^oheZ7MJ9^y(AbH3*GiC-SI5C@;7&BX@6Wb9` zFXGuFlOK*i%D3WGw8zD$eL&(zxQEa!P6*GwC;;8g{_8AxS7!0j7oIW5D#c`YMwX3Gjaf#@9=zk9WsFRWN2@xpTux z%&LK*Mm`E@&&vDkatuyS*2y^r@>w2&UI}^R@wyTXUav}ly0Y#YOoN>M;lx!;-R^1c95KDLN%P+-o0O^FYZJyt)Ryg@uS(qdZc! zVv2+3OOQV1JobXk{F zjOgp&=C*b2J$I{6!z2<(6Nc?MJ}sRB+&KeJ-N^cG6~#>1=`s0CNTg9}fit5iEQqTv ztX@6U)EW0^Qw)8h!IF(aB8K4xlU1ST;%0LVUPC}UO{&oHtP7YwEJp486+t_@U0H(h zOYJmK&58XaJ%Dy57294mik6E)iZbsIC2v<=^o>h-h~hjjyht~DGVz=qA(6hWM3iK# z;&;FL^{@Z)Z=c4O?|=L8*FXLF!*m~6i}>yDe*cgE@ZbO8XNV3{a1I~;y??p>TdUju zo7d0fmyiGAFaPaJzGKTYL)O zT9;q{{`>#>{lEN|U;gI1U;fkWKleYs|JCKc_OrkI>Cb=qZXL&e-QVt z{@?%jTkx+sSqe)*fuH^6U;p`6{@>i*#Lu7naCWn(*>Bz7e)r3N{U6``_J1Ax_!rx+ zwmo$HA3lE%R^xuVy%Hw^KYD%4-+lM{AO4&F5C7`f6He|eO#bS2Kf|AR`m@m$cay&> zgTMB>@1FiSe7JRr{JF^QT!KG&@!0V1egE`#?m6c!{2l+^^WV92b0S?h_~QGw@{4O~ z*5AIE?StRN-{vo_SMhrL-9|%r`uCo^mh0acI{ZQN{13){xJ@RWK562GUjO|KHgo+S zc8>hT=RY(P*65;H(Or0Yr26qNSRO>K(4V8|8w5`hKIh;GBi$xdFyktT$>Y0%xHsd+ zB|p&WVLS4@-~NHi_xdbaRL%`8r%A}=`I+HyoB-Ye<;R)<`F|bYa4~9|Bq413e)#`1 z=s9*Dd;It7@=k-kd4SqJ6YN=zjvN||k4GSZ*sF6}O@9PAxKH{Kc%6Td-1g@Qeis8K z{q~Ck(3Jo7t02P}SF74<2I+oJ;E7+Y)ORC!8pG`BN?%s~#NeoZypAphJAQ?MI}(`f z)N)4_qxKO`1^Hi$+CVG<3{&Ne=2y+GFxhD5lvkJvgxGg+5A_b=&qFN`KPPJ>kS`BU zq4b9L>J48KJuiq1?l?=;CqeB((^Ys05ArdQ&?CKDnJmb?I=smxb&yBxh;iD z^%Gr2H0vS*4{cdZUWsV%V&LvOK@ zNN*uomIF!x1POIK7|}&|g;@8SnH_?|MQl@xh||k9wJ?2WKE_3}df`r@xCmnGR7ey@L7Z)pjXUjsuAZG#v4`w()7_xHRDGMJ1e7$ie*rfJ>YLy!h=-h zruA1lcb652&kq}#o{V3pASbNYAfCV+3EiX$b;sM|%fgOPuZV;kH7pC)AhF2dAZ2YL z*>)MZHqGH6X%RorW*1r4VB^)ULLM#w^1$W@=B|ml2d)^rqoD^@6_#$BNv?!PzV(N@ z!8iJ!WU21cvAa&4#f-Zl0}9@kK*6npON=|8g#sveKV1K{ej8Wb{=uzd&~Mn5CqMdv zg1-w2?xRB<6x{vn3kv=Su(q{OaP$1{eL=wyh*g!>aN^1|&&HD~3{Yyeb93O0w$WR|NHRmSb{W&b2#CJ1D=e7VsABkULMELqE{?Zv3WO%Aw64rl=w zPqgKMu;mxSl)Q#8dVBq{ZPK}Na@ptxj!Do3ICe~WRZhO`9vNd1koz(`VZAnZKq~EX zR27d=I_e29J*+QxvV_s*z3HGrh#ds$sLJvF0sVqwK8y_NP#jx2r0q?@qAnOpQL}&U7hWi|(P0?ozA6 zSZp6b&fsse%2MPBs++Q{i$dA%5&FAFAKJd>d0tLq6HxbsLmqe-VO9@)*lw*LU};(nsJM zrZjQ(JJOw{x^+)+iGZ{jo^#}O5MqXAPpLRv?K4h#VQX(sSt-RIgoC>$QLQ+dQeaG zU8?$UMfZvGK>J6|`@QK#o1Ih)d1P!ll*eMHgqkRdpKi{fR$-6uQt==(7*NEI11;w` z?Q{Wl%}BLl)!%j)WZ0U^RH1W4Oj?5Q4Am5N^T8Ib6S?z;LG6KHe9r=kWd3*~isnus znZJ#>)2uc$31uYw5u$5}`r8H7y$NAP$#5j2JPjXq$jtX}HU}3KnKw8jKtEOh5$=wr zJSYKC3YM}}eJI)0FcpsQ6ch%2`w?i7>U9)fCq0^ax|wQ&c8{*YKT?NK+fK7ud!d2CaWcE436$e&V1nCeR(BA4)CybTMQVbV$1ing zC}KTsAn;Op zpcZDW2B4^uUUJHWWUdeQP$PwRIgCOHx({bAQ$kt?bOUsM8sRT42f0+8y95r&vPOYJ zrXOEM_`*|~ec8{0fn6S7MlGDDD%(zQF+f?lgHaH)wTQVp!KKQTQ?Q48Oo?Oh<{%Nu z^7+WfMP+d;On!j~?f?;v$5KcQ($xWwnQAzsJ|;#$=fn^72}KVKWuLJ;nc$2|L72Qt~=3JBd_ER;fcIn?vA3|>i5ci4}DI*FXI7a z4v|8w$mnvjx!1CCsIVj&VIn z4t6m0HfYMFxRbtf8BLVFFfZX8!(}FQM%jK5>V_t=5NE4924I;0jp*q(P23uKH88#( z$Co}0#W?R>U-0cX@9pvBMl|9|;41Vo&Pm3#r0U-6;b!j6$$^{UI<{zOR~EsU9{}&) z0I7%f-y^QK0d@9rP$`1FVWt%H_8V+y8D{Q6gBc$K`)9(;d;NNv9*b*;KO}w$T`t1B6$e22k{oN{L+lyFVD+9Ra+k=?x z<#dFuQa1KEzIhX~v6uaHiISS(nsIN|0{38Cm&Gn^9F7(lHV!=n0*XMiNhB@EyolfT zzNj@paxrpWuC0YO%XvGr zR6MQ-xWIVvF@(7VVKB9m6ZP$vO-}Ik$HzTX`}mi)U)r0S12$cX!o{&0Gdo}a8mXwL zacD3g-yb9ou?Gmsy)cJKopt%2{MDz zpa!5QmeXVEp1l1koxYqSpss>27!u;V9(imP7A;itaOeY8ok_@r%6X7rcORkDmUs`! zPSD)F=Wo-i_;M0tL@IKdr6!$gB`T*@DP^iNHE_IRB$#>?82362(o4=t5(PU6y>u~w zOJOafP;dH#ExnurfR+T0F#vqkH<^rxj9vwaCTt)+?#CFl3@^3W@O}`|*1(Ju=^F{z z+O_*IRx8rI+bUK8puDXm*({~TtNQ{maVr7)xIRXdyj$t8@6q+c<-Ka%VY)$M;$$6s zajd&z?&6$`xdd>1r>q?^d|a+7x$&_CM~q7AZ?|^d!nAzR zhnh&j+uySuDliat2S9BM$3>tMC95}+cilqBp4F=G$QAdAK*!q-lBca_Boh~3Pm+eU zH^_2_34%PWnm1|>e1?V%R3adyIK=C3YPexU!e!O62h@*HMgn>fllAg@8L|x5W|pjP zfh;HID61^YcKiF|&?CQbgPt+^4Xlf|mM%B0ov@7#4;PWK2K7T=$Ore6d&FDx7~nVH zu)`+6Rv!a7BZ?k__cNj|zkdrx^)-s_+vA@el9+Rf#YI?qd!P~hJnLeUougaGx+HXj zh&?nKZKb5a0HR~SV;yCX!wf((dC)eOYM%W`G%$V+G+-)j? zii*-GSQf8{9Qy<_z(D}-Er?Oo>5+_v#NpkU5*}-Z+1-e@!e9FC6v(5MIfyQFF^i{o zE0`)|oxlpM%~@X6k{^!s$ag%MAi4+^1w=Z~bS6`+HUj8WYXs{A=SGmJ_z$LNc46K6 z#vVzb`;22wjgSG^(@!!^CF-;)$X*-or&aB_jHoRUS_Y`(C-OWO!pQhQ&m{+9o^hV# z4yvvWOZMD8S8b%LDj#S-E~H%5V~AaD1@=Yl$WczU<4R(0$B*581lf)bX`TR+x0uxT zK_t$B$)`VptiOUDo_)NXQ~BMiAe5KKFZIkWfxpcmgfdIS{AkMB+iCo;HZvj-U2a^v z0^9?l%WIK5>W(<4Ii9?)(I4Cgmjo{{paFVvWvf-lAbE5~`*0QUyY|lcC)nP#B4={( zcp_xp_V}e9*kNBfS^K_BqfOsDZ#R+7IRa`M1qDY>`yT*nj$JOntZt{WDEG3?CGMo( zukUu_JBCZBQOLOap2fS@kcb!W^ta@Y78$zH`Y+IEl(3@%6~>R_Em z8QP^_TRtYMNrf4=0W7R%U|`w};dXw1*?Rf?Hw>b@JHf>Y(mNn-Tz3;d+BU>vLw;8bWXnz~m4>y&n z2A5ZQdOT$M@!u)PM-o1$i}f+RG_UtpQh2#1N?Y1upgO63`?3ak7lsC$t@zuolV7`@ z$7e_voX6!O_ag*%q}SDSu!~BGk-ys6eEhKG*kX=Y0E?wW1b4K1$#uGdSb_{UIFAc0 zmLMlv`0Kj{%!^D=Hf#tlMuLtitKR_H%`90$!;+9B85^Eg<`%`5#sw zo6tvf;No}Xi*(?y1a-v0=rV@UC0+yVLHA97Ojv?!M+Q&{A_Ys#ct;Ovnd2_HRQ1BJ z1ohrT{@3qd+wnBKN~!VaEQ@tSiD0Hj^$}d{i__|}+^csKI*?w7dOkkOp%68?usNB+ z!6)ctXIua)F>56WC^2h=NVnQ&o`N4>(MA%QNQ&htef9+>~`O}~O^v6H{Y5UK* zc8l9p8;AJk5C7`_{g1x||Ekjkzx@CBSAX-b|NJZeZ*Fhm=TCmPxP7pYpZ~Mpe)r3N z{U6``_J1Ax_!rx9H;nf6e^CE8T#ftf_DbBD9sPCpyYGJg!+-Pt;a@#_!pS8f6Jd_{ z8UDo6pN&p{aq_NG!C(8`cTfME3+~ezJ|+HKZ}S(|Ge6#bcgI6`{CiJc%k}SU$L{tA*XMsQ_CwR* zhbHnD_b=x9@8eN7gzfXc`22@vyGeiH0$f!vmU~&CZ?OPFJ0j8DtYOd{-RWX>UPgXG zDn|Yp2p<{kpu@CE&1~cT9zt40e~%_viEK%S+c-Zf;jr58BD56SG?}Mm`7ndnWp)f@ zi=xNO_y?K&h-YsVqH*&_wtFaElH+1*GGw=Z;8NH;SEEC$S#Lg!DmCv8yG1A4xXaa! z8QJ9aiXyGZo336zevG5|lCYBqT!O{LR0W-JhhZp|EoXk~0fW)}7P3D(&P~Sj*%ZF zz;vhsq0c+S7b*zkZ>;*jx5kAk}? zoC&?p=j-4TnBtjGiP#1|0gMV-gcT#tgbI{4Xq5eu0ku)vkc`X3j?9OV5hz8EibBEJ z0w!Qe$69*?Pa?QuoQvPE?9UW1N}U8XM?icBx1C556Hjv}+(peGS7#43YGhb}+)0fp z7{xz9m4eaHCy?(0_q>2g`(PAZfa$P@LIJiM<)7#$*fIp8cE09?3BhC6#l`~>p0-

0yrZCA4 zOy8nmm)mIBQyK_5cF$+yLqt#-&~u~$;9TKyj|CK?@6j6AnNY-2l7Z!fZ;%{+gD6$! z-)`c4-N(QGnh9Xc*!5MWXr7le6E1mF{%(f-ZKxy@J3 z{grcn<=kI6_qUyMtJ6Wo(Vgl?qJs}31v2Xd$k>5JZ$FOX$DB(g#&mGrDM~{6DkD!i znpci?`4~iSBPJt$W=u$E#+`eVxsAnMZlrU0!Jug31EzK8i{NDJ%@e3Ew_LKjq13Ms_1Kez@}1hfD0aS?6!|i zf~s$Z!53n+FV7Q4xety%PeRSG3@cFYOcH8=I3}Ss0W8DFX2<-f(gL|Q7$)QT3;iVW zCh+P(;ljNneUE=PVOD;keq(fBJGe?{Z3Xnf{qe5ea84>eI@>@ZXD4i5cB&_A%K zcB|AMxdvGR(qol_`y}2#fG(~VwGS}?C6ynSAmhcR#|8rBp;ETF_zdWQ>;Ssu?NYOv z@ng!BcO#(%R)Hv)V4E>lHJ12R)uARmW07dAz%wS4S=V4=z!G@Oe5+5DL06kA0ZFRA z>wQyZ&NcRWTi<1z#5O5+BZt+f=M$h!I{?ffX?*pd-SHxW^OjBwkqv>_VU;9*T~i)b<5FxseXLfW)3JW z#V^u?j}Oa%7QN<4?PU?E5m=NbCEr5lQG9_c7t!PwP>a76;>9~FqJ&E%=sXSH-^1~43hJMf)%_lL&>Rw3l=0YWZoBRAi*4T&*SZjQ!kexI z7k5&Z04=JCLJLTJjxE2vHw2bYXWnRfJ=+89ybIH%=;PB*Vm7h-r0NUEj--AP@BV=| zJ&t_=XkB}q2pG>-<{R3T(jwo8oyAfEH_2W)bWNksaFxvlc7oguD2RqV&M!?c1%yNT zEUKl;1gkAZLx=pDY(Czsn~FI5ZEVOHp;%SnNDE3mG9U(ka|N zbsnmhw_j>3{kDrVYvefItfre6B)W3rm;vKn@bB(*N*sx2fjx(ZqC#U(I!i>Cr2ljro{z zYju)1U494g6aIsxI$Myt2Jg<*5;beCEl`v8*MVgEGIbwzQJePYDD#1wJwc8Y{ZY?; zRtYC@)1-tZ)y^~tESuUiyqCqyO;`_O5)&T;8$i_O;H>8eX{UCkI7NNsM12;kc`xk+ zH}=6*h6&0zK_Zx_k6y;Lav#WZRD42Vj>=w2*qY6eR!h_~f4mkmMTSk@bS-QKKEgL| zPrhE8%CTCeTW>{3-$AZzy$z-=H){A_|8dkZ*3~ln8xnl8LoE|NV#8WybhXS9{Oq8X zF|L*ge|A*MxIag_YGy6tG<~NjT01?jN!;y=X0i%A)U{|G_AHbBI)3N43o03T!(>mKdvLfHXDEb-E}B%Q$*h%g}`zc|jMy zjNLV}xpulB?DEE;1^07S3B+;Pk@%c?-_{erEUA1#Oe|kF=#_0Cp?y9pX&E@Hbi77~ zK6@vm5+ZR4c0xf5V2T5^k8xa(b#>B+VXt+?{8-ms#}d>+7)cSo!ZFK`U!ezN*1;yT zbjDJfS#_3r*{D%91f^Y*86U%1PHyP|ZZ3{=E#%r}nbJN4U)81VsjBmRfvfd1(6gT1t-dovYc!w1txecd$`+1Z?l~3yWLMl^0*Ld~(T4wa`Jo-@5Bq}m!fbAIlt=uG*uYtwaz#>dS-0ePVNr($B zQx|o2I7brl=7B{&M?4yil8_z)i`XRhgh|MI-6XbKM5UmW+#+s=dJLL7Atpx{JoWHu zLxvBJR02=d#nTVq<%ofrJXE#n;njv%(ftiI{E{*}_3&yx6-EO7&EvIZfagkJh^dEH zn@k(H_907k;3akJ z>IqU2sV^*}WY2WARLhI1huBC3^GF7bG%bDCRym1QtOR@oWK_s`A10UwHPUl z%~gezt|u(4_24-7Fd5)DI&3lPsclo_o~ixxk2By5@w=Kf#o@eXZHI-3XKG{6DDqf{ z+If$WB|Ffpu;CYYQL`cy3eTZ;ft?^19PTVKk%DS_%99F&BP47VS7e>E{%msZmAxJ| zCx6F!*i}G+yy4mKH9Y$op2dK+A}#rAc=mL7W^0FMkv`cO`&REBp5Zc4HD~Um2!q@@ zAs2#dicUG8e^!=Cs&o&Wu<`89HlerGmzu1AgjcdlZxZf+uYs3skFR#pKy@M6oPMG4 zevSd;8qFwTKI_M*tGEEVkKijjOAM$|qs zI%zA-VJTnTt)Jbdy>{Gg)UX!rF`&WCPC20MAW$XyDg6fO3R4QfWjO9T zej3-0No-w1DaEqe3e^T%C!KTI5vR95N}om<-UXo-cHlx9PoitL1E$w%A?DtUpN9@i zZ=PoUBA;MB-)HsgULwBG2XV)yVTHPB25_CYmqT%15>cXV_k$ zT?CZM`#QZK`%dAg^p`C)qN3RBr(TIx9!5h|mBZ-iu+vjDN=)s7lc+{{^zZtG{{2G# zhEtT;O;O6sNcvEb>1c}L{@fa(hL4n^Fzgv)fA19NUoY+&n3x<*QI2(AY)7BSnK~q$cFUe%l61Ks zF@?-S(wHwK%@>j;hNKa5K&Xd)A!(kghn7m#sp_FWAtcRq56aE9Xb$)p@DD3H#ULRn z5M$Y=u(iEHIRjq<8qez+%~v1p2>EC8;Wn3;{4tGMv(UIP>>Y^3O`oT_#P0G+OC1(Z z(xj7nj61cj3>T^0+)8kfNbnm+isLsNL3XLk6r9Q8FRWgTXWF2%$$gQ#RMmtxd#d9E z*~9MfX0N>(=gq%KdVDA_J^@?Y53`xAjy8A-P>Xe~m-Ao6yG3yT&i~pp17o zQGtGW`^CW0U}*X>JBak#R~xhE>aEEc_?enhm(8Q9Vxf{OGQkMgNmV>S*NiaJFnpL? zH6Jo|ZV&DGF>dl?s$0csO>nAi#O3j2_|A!9q3P9|=xmQ)N^v+tFu6Q_DG)w<{3LYk zb&s!Jq-Q$1vzg>_J~|>4plfqTPAz`?;w)?okM8k=v&cUs{rK{H8A4>cHf{!sqB-hx zlG7Ly2F|df`~nh4YD}^Y_G40~5+ns-&}oO@1Q);1VjQdqw{r##mUUDs9PA_yEqC~z z&)d$X*JI&{@_@_Rm-B!j_V_P4jp?_qhVqkLq@@-tXE?f-w_l2MIztk9dHbaU`#l0b z5qVyuruf%C{kgjiB}`W|l%U~yxbGi^5>ASCy|%*QLy4an4bZxw1pkIIl-LA#ZbOOi z5x-p;;OK?~HuC3UG(hK)K1GKhKTR57zed=6hcv+0_~f%`fO+%P@oT>NHD7HsUv;7E zYrYx@Wu>~XYAE|D%~wsYcQ;N{4qhI=7(slFjJ}w=Z~`vTlG$K1MY4eOb>tSN+Zxvh!4uIljJ7NBMXGnjmH5ET=EF<^f7e7Z`3?QH=n z@|zfXiYkLp5|4{;cmau!%D{8=2^KF^AAqiYF2uxKIdgW%a|#^Un%f|v@Qd&);_J1`*oRP<}IUX6-_b_ zYqlhsB=>$Zd8JQjlItbSyCY8?&SNUes$ExP3d^w~oW~qw)jhK6u+REq$f~~uoJU<& z{e|;r%cK9od88xpx2;vCHqR#dB=a(6b&bnPmHcZ3vVRIo9sL5PQ!gi?1 zZoRF}taZI=)6043na<;EmQogx)T@4x8NlW7<%;;-xT^zB-zBG9Kl&jvDq)3;SCF$CJ(Z6YS9#w8>M(QjB?rDEdd><)b7&Dm$% zK((@Wi`;E+Y7;9}`<#8%oG1@oq}*(Jy*xNkxjt{OY%!a4`P9reiF7al)l6?8{t4q1{SV~g~M_ zDV4+zBSJR&5mtPN6>dD(S9&teW|etR@J~Ugqkl@A2Q|2D%9Dw)K()ls{qC3EB3$bmMd4c`r9n!z-J6 zD0&2ZYQW!Ec5&*ic{P5Pq>4NOK2>Z5uULzfN#3RSoERql;g5g(>vfjcmnl8E*SZ;P z|NP0n2Qu32ysp=tWqg)+yCg12w+n6S>Sl>M@wFevEb;aUm!7*;nk9~JfX%vL+Z+xB zGs$St*q_GG!dJjRc+Al zNzRGhf1_Q2q*x}Q^Db)o&$F7w9^Rs|cAOT!N^ zyGwdVTnB3~FPfnf+v-wNC(wfnMg0_pqIg~hp<_%eyaHmCNDn@QoZDgI*@qWf{3IX_ zany?cNDgo}RvrAZAFaxOGA@jp0m`q-%zYJmdL$7z34@*UKR{gh_4fM3EBRjF!xc8J z?Kj1*WS86-%v!l!&J=CYGSizR+5=J0wZ` zG6vqjD}MsYk+FnBB#E&fV=i!+PXuZo0USbPiRPQvbE$$cSbL9{$YEQ@C5=0%2D?aP z0B^`)%BLS+y`6}aM(e<3DrSt|PN2dJP;th3Y*liGEiHqi0Ebz^{4$Xx18qG7alH;t zf+eVRJ5J>koXQlUX9y^<#CHu9!Tq|2)W{Aoz5!v;O+-u|zgW>^K&x)B9!gqy1k|YR zqM4U>2RUFjQ{VFkkPev+7gO~KR*S?1(5MxU;{L&IXnz-gKxT7$$I~A&G}YsKXCCFl z3+COx8y@jAL(m@dJt#Beu+x(}Up%+|J)+oNEceCX&c}9h({rCh6mK2%<+t7W6&bNF zOa}D=b1+QL%S4KpD6c@>iP9DZCxQY(y zHr&GRN}9pOOGPt;JlH=9FYhZ0n3*zp@s$~qSUii#;fr@w)h8+v0)4f4co9&^hE5I$!BAw&(C|=AP z^f34vl+!Kx5h}PJ%_)j!u{o8&F6zLE!B~50^&#Y`-N=+UyCnf%w52V{2p>Xi&GA-2 zhXgYVleme{hM+&(zzAAXoz0`Xk1&tsB-eF`w)S)MK!=qLels-r0xB8^W2+(1N=Y#- z#-OGqAi*?Qg4`W~XC{IR+yIAo&mUj|Ac9fin;g1VdK1b0-9Fe5=*^`zQP>m4CNdep zD2wY-XS}o|ig$f&_-- z^^9aBH7HS2srL^`VzP610Hi=$zx|n&U5F_o$eS>zCYwQ?xWe|CT`Kpk5}?EpvXR^j zOMYgWnmfrPfwmLZx{>8&FUvXgyOYv%C|8f-+c6W#y(3$QWAE&MWbuNAduM99cP@{w zUPJ|W8%||AT8JZj@Def{eF?Pf zjhjE2IEb$fFOk!pGpYm!5!s}=A(=_&Eg}J8drn~bWVEb}+MokVW8oYgZO}x^8o`$^ zQWr?)jDv72rE5f0D+_uXzJu$V_NI}xj8W<67_jX5J8=>f+$nmd#%{o}G{T!3HOw1- zr9Spy=QvuJBq~aD37P;o`&%B#jE`M?RzyR*;^0-w)DH2g3NL)O3R&yD!u@=cq}xKx}1k?=fdF16jZ$Wb~B zv<1bR>x|m41Xr23QDRy+nOEbRzt?2WXR~-O5x_whm75NOEUTnp>4gfarqR^xIQuf+iW#kSP~s7sChKGfY(n+IeS{IPIqO=~woae^ZWXdO7NF+Tc^`wO z;=WlCS97W&0{ox9e++}YEJ9oYf#*SvohnUHOjA#5X{_C0Wlc8s0GM9*SwZ|mup%jf z+#i03QU`3m1PGfYJeb{Y=Kk^HW%UR$dXQEYrE+0$4b?0Xb#^H2$GP#;v6$B zdBk0wn~|-@MvGWLX6Iu!2;1f96{;+F7I{Cck9*o{Q^W`6n5s~V15={Dh7*ewsEsg} zI8fsWQfxy)?+?v0A_W&eD9ZW-2b}2hp0xE4a9?f|gnf-AEN`Hy8|eIW7pKJ;T-TVkamb@}!-f$!2J3>AT^b3ejB2QX-YE zHndA+ZkYgN0PPuyHV-+a)m5eB*^c_Rn?}jUzyIZLmqaLA3O}IJ6CmcP8`%Np;IxV{ z2mPsW9K+KZpKds?)QHQ7r#0U|_29Isvhc0}JwhOe^OhwMbKFA%Xk}gZ$L0XT|8EOE->UA38Bo;6~kn9Cx+f=RGTX*=HcA9t!agYA$np| z>lQjNhYxw}Rh`69#qSWi0yHT8K>`hmdX!8s^lGMxHXJIo%hmNU<(5Y5WjV+N>P=u; zH^H~s+VOHe%tt?q%!A2y>TmzRy`wymRyx1D=upK?;$swP~ioIKf+H@^+G-Pg2?n2@|DG^W1ut|bQ&uDNawUn>fZ zkfUNf>DFU2vd*j~`S{f&|7wzdHOar4=on8{A+Eu+3igRhtz)PX`DStRK0<_zspU@A;X=0?Py6UXx5t4mi2R%$CoNW=lLPfTWvHo$(=6k zyONZ7Ll-Hbh>R{E6_J6`Y_$rt9Ru)l9|CXV1(Tospk{SRd{&1zV?T){>z-CYkIO`F zwF}lw%yc6l;4I5};jJ>)0E8}~;5hNc2W_Z~{ghSvO<%R&SMB#z`+e1Z-)8N17mvlN ziOhrjtSbSK0y{2{er*Pjn$_ZoSxTU0nq;zI;7cKh5QR{8zpElO@qF>nvU;HY`#A7L zwD}V1)X^a0K$e26X-W`s+#aO6UYl9`4~tbNUi~u3$ARey+b3=O4Y$WH6-1#>&X_T< zgL*K5f&!x};~dC72;liqDJ!gjp5(cK{5v(|>mt(j3DklKZK%161;>3r1>nG#$57-c z`{l>DE1LY`)XwD9VIw6(1TgvKIq2Ogsvrbk_3N{=t95bX7OPb`oTPDVHYLz|%Qp^NC{ZpCV7c!E zC>ygOzz*Y+Zmt5*1RAu|Vvf5Ks07o{kxl(R()QTdRrvlb4CdFWrv7$}OADO+Ify?# zBsaz-yb7w%fgD7q=Z~Mv=w_zek3qCf&1ZZ2@+>6){`j0A;jS2tBSFZizXN2GlhCk) z-O5A|#oJ$-zWuustcoP8D5p&RMa4%-PSZqTfU&@2{tYE{@n?d zO=`iIvEeF87&FzEm~3QGaEXz_F@+zYx~rmgBnnD;7QuT&M*>2>%K$bzO&ZBOg66=c3)AlZ_`HzdA|7qPn(a_o^e zB7=<+8Tzre<|DhZ-vv|dXY8taNJ3WxHUc?;HW$P;#`gt!(o}LA1@GV_a8Two$IAkO}HgrxUe;Fo*3DE4$W*)<%y7t25?W>Sw_yJO8ma@z7z0a|AkeOvw zw#S&?UWPfsXc?aX?ZH%L26!nQaKQntk|fxkUM@{se!{8G7|NtP`2;|k?><4*#|+WvD&FccDy1bVAC@mO2IKF zR)zD`s>i=O0ctYDv3nn0$B9k@4hg#xAY4}mQ@Oqcs(P1A9M2s6;|mp5`UuD#ZELU} z07V(&)CnZcXfhZJpSm2>Kr8@lFJH_=CpR{k(dC~U=zX4|sodytp&2yj(Xnyn9NpoJ zWI_!>Bm>cNc;G)c%ID}!2aJ18F_1xC>1E%CV06Lx0+27TTFe`mChsvZlG+s# z$nNn+7huLQdWbC`))@0yx1KR)AEghm8iRO0ayTTU*IooNpcM&Smz~h{ zE9x@y7)i4LOzDrHwp5tUvo0Ht>oRb@+SX-vA3@zpOa~B+P9@JO4xLhW7E0q)_1o)LErb`$Ngf{eX;4j z*mPfPx~K72o{%F;+2M3PGfR=tM(BwX`HuNiyroZ{LQa|m&i{chcE5pMKDN{$YaM*C zp%JD$$%?C!Oys%^l+qDgO{Te~I*e!$O?;O8aLk2goIdyLi82#uRtSt#TihZePM zWM>yyTOO{2J9JRo4{x6a_1wV~$L@#4sv|UytKkfJIXrLBfAlD0Gzh96UoOq?_!uPR zjKIBF-a~;|iSfSML$b%hPU|!So3(@2v@2@5l4|MNIkm&cdM8at$V#z}Y?*F=s(K&tPlGRK6yJ!Pzx^eM-o#q%s4-~JLG9^kx? zC_0yD_st<+8ZKo zkK5uiX-mBBl_7C6S+ z%RmGSVh5_GhfTsKwMyW0>BUIu{W`mnaq^yeHHC@Kl~mrh zw&E8t;MMfO0kon{Qh7T7vX#<#dPCa*C}q5{!IN`^A!O|UjnxOBRJ_;UrU17m#8zvV zpXtY!@!)uqbC`<7AHRz6mUV@dl0Nr7TnaY7NkP9I0hvHwl1GHDyCgW}oZ#!!A~Fuz z<`#BZyN_Jx4bk~P7jXFEVq$|STUUh30<@JdQ80Z?Tpzs0oQ1|z;O$jcxiax>4&ub8 z%38<;?)zznDUhxz%U%Z3y~UjoL{aN*gR!rU?5C$49%I!9mH6h?V89&nj#Tl?jC@7v zu>SM3&r7zA7Az*gry*LW4)kq>^=p~A2G!M#TvF*KRjh}TkGVng=P zn4j3BgOF@(c}DipAWPpy>EwwSG$=nTS>P%!UY!|L7ll*!h{U$Nn9S}!nX-U>I7wPy^Q8ef>I7x9*uc> zo{lzOLOs%aiMxjOvFa6(G>Qp!IwHxwf+cBDu#vpBK~DcBc^`No`zBZa2u z;baS!Vz@cq;#&7UyWIj5_9!Z;!NA)L*i|6DH$h>qVo!>dIApDi`__p_<1r)Ty@OlW zh}^iU=pEDmZ73X#=(4MtK5*zjo4tIcNg@wP;b>xrw2E1%H$>WvKBL7Xn?HNa&#G?F z-6~`SbQ|pOJBW6)rUY1W4Wecn>&aCeLU*VSS=GSdGrpTBONhvC{WQMKmyjn}XwP+z zCp$@m?;pQ}*73|)g*;JjiioMTM^d}yB?x~H(q@koq=s5#?I5GG-;MA;5Mf=GW&JR@ z8ZY7OZin-#)D*tFP_^L+{Wf|D?!N8&kk*q?w{w&-k%B6}NZH(vqUA%0JBm5+dYsww zUZXh`LS^0D<+6w5S?+mUI<`Gj&!2m-tag@&!}4W`{3YV>(-LuT2XXS9fIJZgH*%SH zdwz*HxF2qvxZD5eBY!a>;xM`0Y{uI=t+@?lQR#tYrn|65-Y*BY;hj|@s=Qh{wmQ72 zVvVdQjhK=&A`r@XA)Ae3@Iq70dEMik-l*BQeFS-Yb1L$P{Ps&`9@LC8!5*q4PEAHl z2oqU(Vvb*vl^+BDi|64>dyM5^RKR9-7UI-G)w=D;V=U8; zPQ>3?tU7@G1olOG*3r*y*3pex$AV(yBd3^dt53DGMtMuulxs6%Bfyr`)n>6BVYnwg z#RW<4ABHy*-eiK)1>Cl`BgAiN?FffbGRO(qpLbEcn3Xw&DQ3outT8L|as*_YZd6|& zae`mu*|S&`O>ioenac^5?FfJ73@oxgu`9HgZLmb0D)D`{41XuwIITEE}^Mx3^zvta}Fj z*JSh{dLB#KyAv#P2yZ9Yc7OmuW;^cvXPoPeMSq+K%gR*xas-M=cr2CH;DyGMIRIn1 z5p&9`B(zdibFTt>^EZI?)$)B*N&PZpXaMOo@H@yC+lyVr=8A{V)V2x(J_>7Zr?@`!}{96HYP5XRCv2*TwfoSzAq^AY5< z8gVg5CsODpeuMygaamsNg?2s7@_o>U8wt-7zYmTsa(Yg@ee@GN;a>^vPwBHB4*@y0l?hhg^&PG zTWHZEHD~ErT_vaoxyZ^5XPvDs?+#IUkYxov&q94jDU#!k8b*4;(o-$(tpmr7NcF8_ zc#bwsesh=yySl(@TrOd&3`wtBM|P4d$t7x>B(u)1Or^m^8IHCJ-jV6s(l zl%JruX}X&{MYoZc!{8@yEsh)qn`2VC5i11$3K1Wn<1{9|157<}9fN6^Gd0K{6dQFj z?Jb6JSQ9cafGCz&45!b+TkK&S{E2`-wl8yPzxliu1Yg&@X?c^R$b7{MzCM|z|H1xK;McngA( zHlSCrTFy3O&Y;Fj;_2+c3j-Dz&c>BB{AARZdZufC+t;d8QwceP$8rinb{&}PZfDDs zJ6z$E{yL`I5za(%2J8oGJ*VX0!U(^+_A~fNjma64(cgXzy^3EfTypUdkTLZo+!A+e zJUeV@aRj!iG?bld~7jbp6 z(=Bc6Lj*iV`?SiPno!Zyi0usmQJiHM1OkmfwGwJGHe!^@15M~G?oHAX+Z);D`gm^yw_NIXr<=@8#`(+e|t+X(@2nBS75t;oh%B*y(LXOg>rJgT!^r$e+Tu zpRbW|5Jpv~9Sk345{VpEt&4sHy8z#|;5WVdO)aTfEj!LcB-)NZshQwDJ$RMTa0=+C zDxaSd=xF6UKP-ffU0*zwxerpz{3DQt!C3WMfXoN}jdiic#`7WT9(n}S(cY9NJG)dz z)63|as=!(qIIzSJs+Lc!S}%M8EHEdG zsv|1A^QjB7(fA(Xsi&$2?(Ip%F9L%4reyGBBD9mGN-o zYGH4N#|B}Yj^X7zt5q>Ekwci`B(l0!kXNq9S-i@Ob5yp}3Yg$t9T%r?j28-85X73} z2a}-Q$n`)G^~PllMbw*gD3x8mz`$Zx$Q*`J$XWQi8=@FEX0+sO*j#1@Srb|G05qC1_67l9gob8cCQI|AFZ!f1N zkm(ZeU*iMe2@`Y)TxQ6N=&GC3Alkmfv%_%RM{;k%Rg(k!>|B~U#`lpm2t9DL9MPL- zLc6iW?1U}|>?vDXctO$(8BwjG)&5tztghg!fXS%MSP;CI#cba8?mdZwpY- zbTEkqcBLPk{Nj>eF=DVA+9B6fHn#=HX>|dSmm=a!VzGd#RPMF_nUf#b;|+s*m?eIh zA?8q`3Atzv4?KMW)HxI0CD`wilxmqhxOdIym8oFY5s3j)3RPr7$PLNp37geR-+! z;PE^d@7tzUhhMyx=KOmJzOz%XfJ)-o_Wor&a_FR%0fl{$K>Y3ftGE&Zb)wdQ(Q9=h z4!Z>)lD3qHpEWz&I$%Z)s6mc+gb&R^%7SK7$&GUiFHr-G86XZyrDKmnl5s^T$v2Zvw|~pRv^1XUN^-I37I1 zy7yIhaP^8}4Z*mGIq#19dl!Y!g+k(fC-GUihvXYJs`9O<-M3Nso{W5gi3dMN0Fu%8 z=fQ*D9RYQiWrC(E;f8T1an4ea7G*y?7)C?Sa zmOflEHkO)$ogh89`v|ht-w<9lfG#KOBH_2l9L@cMO2yp8s z%~}G59)5PRlo{l*mr;g`Z{Q+s+z$L+{rEC=-;)Z1=o!DlXlY1`SA?ua1T;B_l7MEy zEG~mJzXQM5642xd_mfCCvB&?0=_?-NGO9P31(X_{Hg-bdoi?fs0wacr?Np04l?$0u z`rwSkEEZ-qhb$I2PT%1~Tznd;4{|YtA8|;4@OzyyOc)!X$450OvSI(2gZ#utfSxWz zk^12D6n}(b7a4-3PT(Rl7K7jU5wMkx1=NVx0cxhM@qf1pnTXJZ2LeJ4i6JVWnt`cL5jf9`{fEiuM_>()z#0VToX$z#N_0mwzW;3_t?<9Pk?3sj&OM!VoHtJ5L6`v@}D2b2v$*Fc#`_{anX*1ic> zi}jIHWOOx`faa70%Rn1&NAjpsptwAK=_s`bO)$4j=O&|H#kv%im!X@&yQ~%rp@vbP zN$eg!(QFvQFD8Kbk7tqU8aR3!>3Ii%!+1IYq(6eF=b2SC(eGAKTInufrQ64=M7D~; zl(bq2dz2x2I;6mFq~?eSwd3Q@fl`$rqvrI(1YfN97?`P$&)Z|ALC*&A$^%Lul)Ak&R@&O=wx&mTp4!?)d}SQl*QB{LPl3dV!Q01 zZ&+Q}$08Y}h}a(G96y)3dSP0lWMUYlhM=C2+%Lp zDSZ_k@^TQ0F=nh!P}3wH9~d*f{VDiOgJ2!~62|qcE%5f_h1%-uPDYGITkdb?Z1_R&RBDT|2bv{%)~FCd)N!RF$K^DC z!;njV5M;+LgrqUJo8xU3kBr^%4Qj!Da+l~th|BHqi(zs^egh_#sM1~ylbhr+4eg4Z z0o`%CV&y?Vi=z?Za+0&uK=#s4_Y$)w=74ac!sX*5+AlGV8_oM_jq^%Mnb%E@&XGJ#x;!kRlhk@7>bWt=w zh~dU6{t$O-Q0E|bV-NQaP)5ho3yAGQC=@V8Q%!7@L6`eafC)kZkWhnr%|MRkI;)1r zQ3Ew<0{KkhG=SP&tQ-4G8*BRnVwycUeaRVgl*xU9IRV~v>HrhU&B>krxvu|s_YLHY zgfzPg)9i$N&CUiKHGdQF+e!9lgESd+8eq{I-$(B5FatGvu$_Xw-x18OrSp_%v&2AwI}TqXKv6j%mZy- zJMGp}e@w1}InyQmJpKr~O1>6rASY{-2U;H=Kgqo9V@IG?<4!*UKs>FF_fzT!yh`9} zGI{`t_M{U)l~-%<=rugxHhs8<;z`1;7uUw~{B9NMLEh8W25$3cCj!?UNq!JEs6NOt z`fTQ3b}uwT;2GFI)BG-zk(cO92`qwFVV)+VZl0>9dVA!hdJCLMgXiu9%hM(Bo^gUH zAsUZEOg@o)pmZLqGl^1Zml{+!$V}?~xshcKxZr%MsE_4B8Ku-y%Dihy9 zGdy%~rNP9kPHk}8LGtxv6|U ztj_Xf?8%85ZkIju4T~lEFr<1)xQ3NwVguEg_^cY95;POVoWyzvRNL&rt9JvFrIxfSa^ z4vzsfi*MTpIYlMk_HqzPud@@RM1Ci!CHr84U9qC4kZ7TI!8agJrS2PCPV+a6q}(1~ zp1u%KT4D>K80v$xw3X~*t|gyOOYsr%?Lqr>WCVS<5weD08RePUfkti4@(jL$ zDZgky9Y~i3f4cfsJ3X597^#sfjK#Fh6*G3AXZ_&v&ggYOq}Id=c?mvS@h2$eP2x5i zL;Bz+AaZk!{B{Fv8*uhg*&Sp*d}d)=6w2F2D29O|F;ZZlgzutP*@)gS%h!T`fX6E< z0VuYJ02DI@60{atuea-Vv{j7d6BFTT-OX5D)c3tkAhy=U9sp~ty=^4BL|cy5<+B#; z8SAcU+iWALvjZe^rq8~aeTuq^02R*f+cuKb9^Hx)hE@=Wb+Q>!t)2pJ5Ve1HrdYBu%V z8pM3fbRYntg~fjm-`i9&rRxW(hw7o;ZDYt&B{UFrU5IFm^xY%Id7OtPQ2)71k~ z0Nuh`KW4Q!X9c1lg*kNPQ(u>L8`~2Q@O|1BhAExNoHe$4K*>ne(05&$|y7$_3_U%d%XJy zvLH}sJeng=39CaJp5|J8JGrS)q`O~(R82p=j6{sM9()dzPrk`c1lHajzgXq>^Zg?4 zPH^ce_QHG#AlAe~9=Sg1u7YB*Uz8}6hKpCelLyVXA`%jRwTRqV<%bg(w!?%*%S8f; zhu|uxb>6)^eyO&p1^N{Vl#zPQnz#IhQ-vUeGRWs`Tom^7Y}I@z5O zR72Yd*rkj$sI?7nJJk5Wv@c=03p_6D$2?%DR=8fRk57h6mn+K?iQ{@y#3EB};nR!( zHYYgoShPTdlQSwWQCs;DN{a)7k3fmvV=JPU0g4y3Mz8=4;DzF{N}L2BouGs|IPhf_ z^575vmrLEoci^BN=h8X!_H!+~Sua(PC6lcqz?+fb+zrIqYzaA8MiU30Hv``6z(BN; z@GftyqDv`vhp7TXd^}Mzc<68pmSY`!s5oq7@Z0bh?7$8-HX0y3X9pX!94l)UHEYH+lz?U4R0$*b&}fh1 zE=|}+i#!g)SwPavaajDGBB>mmBa+H9iSf^ri?)*>egNBHN31`8{0H%QhH;Hv3%-(Z z5AX4oRhMk$r4a3E!r*4e=q4OLO=fTpH#7%@2J@jB_~za2l+~~Ud3ylblbCn=m@E(k zS~q35TSYrP>BPVaMi;y?6`j1}fGx%e>ykgaaNgqr_7rC+y1i@>AI$=)W1Co4uZi6s z#yS#YQA}B&m|BohhRg%OofN7kvUi$M=N#j!2X$v72{Pn!Kq4iPNf{*p_9jiKVcPCA~ zm`6;9XGDvE;Ul&RmywQ0QLU>Q{AFI2VJ}wgpj5%8z1<-^*{dJF)CKkova*-QFP4>k z7trz;WhVFF$l3rwAG!+hUi2`7Pfns6@`gs!Np&N6@&={905dq2{%Wjoy=^3UrqtAt zx)$;(N1+p;zPHCO1+AZ8xVmi~ndNyRq~c=Ly1oP_`YM}@ZS%-?z!{l8asZ+{eTi`8 z5WBqVZn9Fmw3j15x}FfXM%m7r442t6)^gG}@tc`8+sAID@dG+lm(YpXt4EGk~5-I1j9=pr5C|@jg=E>C>b-UfWS<=4@(@^`ndb*t()bVaxdoX z;(CslHxlXvziA}qtp+9k&|R2UCyp~>YG@i$X+V9s5@dABi5NhORU32fZw(z| z+S#3A9yuhmYk-l(D8enuOttI*y*qavJ@xGX$Vr6>cLOrg1V#?Ew0(?RJ_)a@X;c6R z<~|$*!Gk)1kh>E`bwv)`Qftw=#VLgivg(AX%>J{nJ>7`LCx;?{+d4uH(Rxd82Oz;f z>s7QlYN-!8n(K3@56_?zK9q{pG3PWuDS8%Pv_7*lW;>WR8O(NSS$sMctps(C>Nxxc z)igNu1U&K23oq7qD#R8e`_pZlHLTodL{=IIJo#E>pHx#pZ|BtB2%EWwmQOsl zwm~rR^pjfPy58+Q5ANRYB)QOsNEzNI_4O0PXxtgNMCeRh3sRQ*6mKUFY*+~U)&1ePang$+K(|;N;*vTSIX=g2AnoWQ_h!zW{8JP$D^bjAHRjy)5-|)hk#=%7LCUJ z^*fLy{y~D(1MEMbZ-lW`wXp6!ge)dEg+b=H7u|((97>PWHR3MkQ?A^Bs0)PZU&brR zD1BAD6{ILT6fPa8Uh$2zL$J9vn5i?s**?KLc^n;S>SzX4NXgxu0yXD!my*}kg!^mU zuHjxm)SYF+C|mgn zZSK08>hhB7?Z)kacs>MsJEt?1f&N@&5;K(Qv0oAYQmB12b-BlTexIyNI0?he<&tBA z_W%LA{IPRUzpopc+`Utk2|*44Uw!x>4{@pjETaoDb|d(oTa zRohifRAI}*D~ouvc$S3MPWm&7`y3AX@jKP17QNf2JsWL~rqI{Azuulk=B|K$$^jM> zj1y@m8dT(yuM8q>wuL-?L@mGTULKo;vC$4i(HuC_@wO28A{@1#NksxlMlO8(G+HqA zITUUMS6FP-an{pB;``P=8ZXg3S67co9(3t=?A`0iIWz~)W9%?~WZRYQ;08O%+FB%# z+G9=#NGIS}uMv>;V>`K1Zn_|{)0#GcNCXN6HGJQssknUvnbL3~8SKTXJ-E-3|4mLE z#Wj%okLuC7wve5pSDAH>JPwo&bqQE**nPGFG|Iba`pAZ;EOq(D~?XNIu-OQ_r&ca7-RkU@y-d$o~vBAI92_9};h^iO^QC+xYz z*Dyd&ChQegIx9~`zMOV?Jvj`PC8%;0E7^=sAWuFabGyU6$3y7iQ(U(O8+^9nQy_Do z&SPSBcaOEG3K7onNsM2r{%e_x1tQg|RR0t`Iq0Mw9rSWneP!~b#5x&o3pLGM#Y+eZXZnZoe zxiEOU|H~m*PZgRmE)B8}$Tu!Yu<>cIaeCTjri;@MB;v(i;H@+r+}}JF^?A|t6v&G} zii5NW%$EdCX_y|P7vt+x9f?Y<35S4I-7m-XmPI_BLu{fI0WuDtdWWHRPgBP`^E*OK zG5Y{B;;pR{&hIXx!z&#I?4pcQ87%DS~xC?6I$Em|zKN zq8#_5h_`QdnwV_8RSIn1VvD#0W6*J0fByInmPFgim6(R72Z95Yn6cXu)HIFoFLn=6 z0v~%P0FL7al-0NFZ4q++Z3lG#+#@!DYb?KlnURFCiic7afLC1fYiXkgui zWmkO%EJ5z2hnk}~EuetM?E7$4*E5zNkGH4C_>yCe2a*wHtG*#rb2I{~!T80Xvzf2TY9Hlv9&B@`Vv4OCxp+;{Hz{-LK{`_1t1klSQcW ziV3Y%a#sK@PLSvT8$wT);Kc0yY;s~Zsn&_^?_0Dvt=mpE9x378HR8&(9o)O>P3y0V zP*YHY>KsiTK(^<2j|$UOAi+`MXW0(sEJAH|qQ&9KIKXK~x0COy?bJUTVMEonGotqr zpdeD+Lfo^f4U2)Qh=0>T=x;IzYi@BFgv^1%7Yg5GS}7*9w8p9b7;^(Zk`uVwuO;^_yZrcgd^APr z5PCQxP*|ukG>LU`cgQeIW!Llu_e%(w&XG;{-LHQA>;L@Q=U2|3{@j0D{+|!iePk`; zx4--SKmNmi|A(JpUNErl`|~IN-oIS`t<{Yg*6S=a_!ocq&;PXj_qzYocQ^FiiR^Pjf=tgFY|^<%vXocmY*?|=L)_*b0)vGww&zxmgH{+0hXw>RYrp&M>7R3;x5<0h1b;5_JL~=)WBl&# zegE`#E)ktP?JoR#&wuBx#fhHq!581ZmF+`aJ$JAF!;=bbzl*=kUtGiga{JvKcm472 zJ$WtHzq6HvxBt;S|AVm~8b0Y5`HTA(bN%-%{-Ph@27mGS56za#UH^ysVe!=<3ii0l zxgV+2g`s$bsuV5;nGpxUEy@yuj+L0k0{e}Ghjgr(ga<2-5%{P?fI68yur*qVK;e2f z5U(9SjUPYs@=PDVnVzGhW5k@nvO`I%p?02pU4mLnIYzhP+yiSe2^cuqs%UTe06Gsy+A-a*+jWp5mZP5}og*dY{M=mLqTELiKUswd3 zc#9tTxHF~Fyc&Q1; zBhqUY#^9S_HI^+)|Z z=UU2?yhUzc6D19PgP!RM2u{r!?ly-EQwW>500|{Jpltjy-GGR7Z4>vJZxmY;a=sE` z{GOoiF|Q3(1?qS}Sc0ro4AF~SNf1w&NWBTwKI%jc?)H+*D#P53RRPFValueG7#;>n z&7dmCn9JlG2-#2ovqF^lP+N~HwEKZ0Cm|siujo_w&?JX^OosaWkZ(d57zG@f_z%tM zl#i_vgjYI|B%o|22+530RW+txgc@GaofxQq<32<}2x_Oh%L}|}RC#wM)Cf!E4k{lw znp{Fx&n#hhf)Qg4VD@eiD(aVegP0UpR>T(pk~OAlFpw}g9muRtQS9SMZ8YfXMQ;kP zuNUILmNq!Bxs>`!s1KA55}wEqK(QvsNOPv&Ig5}Hc_EdUf)sIh5Kr<>@3V!`gABAq zo5;KQzz8s&!NL)X>cfN&SEU`UD?g|$?KriNkFpi4JKabihXpvYq-|j^e`c z(})IGkb4+VTRCD!Z!@vq=_4=VzebBM8(}Si8iJJTp5oWl$rv zK$i|OgzY@m!&rh0Ykaqj_3^gWtie455N#oginBsw1+P;!?R&Qk@9|YECumT9WTubL zohW{5IP8Z#(p;M5evCl~1j^cYUf(AFTpl5a1{pzwjuu}i_K_f|L2LFF@Ea9+ zPtL9l?0<5AA4N2@BXojHD1pwLCr;zaO7wT}&IAA6EErcJ8eJD43!A^2({sFwWFFx9 z_&EM-Cv?Hl?xDsKI$@Py!0QUsE8&c@KQ*HI*wR04Ycpglf?ZV9XH^P0cdJk>-rU|E zX9K{>Ek=#;dtr3>(1_WB_lc{`*RH!sy`6ES*^q+B#&j%#=JmsnH8;5!YK2IVGr9Qk)BrMyeFeGCbUZIibdNZbU3=3^}KZZ?Q)i^-7-Yql*+Tbb{ z861McnD1W51MJ<6@fBLMu1)bgKXjN#U$6p#fCH4u8TEff?a$Sl2=TP6bC&JbJG~ zn$TPXs*TW}nIWM;$QIQTTnnQ&1f94tHOCkH_=T$a39!mDOYlNd=w)Jjk)fb0EuJ2?v8 zW`?_G`@(nFWLPv*)|Nn3+bnSfQCfbp)oJqg(eY=HJ>qtjk1&c#=zPE%k%aM)SSNFa3sr?tD!pc=uj>yM-s8znAo_^Pfy zK7(w13Ze)aJ2{?-J0owC{~2F zWTQeF5L>Y$YcNoEi%?7L9jB6uNPGzdCS)3`aw3j;VF27fBfsM^ehj$*mWQ;)!Xu-R zuX=TRLfQ`Nnn)eMq#wf6p=O8sHK>;sgd~RW0!nm})_^YU9qTJm)3+t40iV?oY5_O? zOf_NvkQ;X5<4wkkvdt{9t4oj+C(1+!c6A{yG@qJx5NO2o!MXC;>2cw_ z$!rUnWG+Gukk(p2{Y;)S_plm;s*b!f+32LyM-nYC{YNYuVhk3zE?~ zF?jzSWFuVAxTtfH@A%ON1oSqJAFJHH3d?VJyd|zIT+DuU;0+cUY$Y`_-g_g!0Wlt6 zIKK%=u1%(Bxpu^Ru2NX-Cfu3;#K6cz@^(Ltk>dQ^rNljnMynYi^1x_n?rlGRWE0An zP@)lwXaUY|b(i(}R%I@cr#-l%1~_TLMG_vSYjD)E&^CwahLagSOzwn_s3Cxa=#1hd zT64@fkKJ8Vn64i$(c%o^$O&kr*A9G~oh&UTxVgwmgfQyg+aS%$K|UXhl~f02Ptu#i z3^>s#2}!$C*dnSrX|f+0{Fh1b5g_z^n5DMx!Cqt+0vEeR&Of~fP3$XB!=EV6p;-vN z?QGd&4zCa$Zj9lkc)ErT@=D8D%*tBuQC0BoFf2S* zE*HaAPjtm`hfKk5>KIe_3Tjmjm+(1Itw_?Pu!LRxRWs+pN09Br&`ZXiK+`EjsYRg@ zx&!89c!w*Hy$VAPtIR*Il@2${_-X1+QAFiNM5M|r59 z;-d0QmnIQO78lg%wB9L~#~E|Fsxtm=FR5b;RO9AX$e0=LbStURf4uwjcCW+B4jz>lHiJI zH$ESlVXcCzJdDA8g%$wS))Sh>A-IfixmNp04o>JntdB{(rwqm0)x^{&g+SIS)Qo9> z89AX=AU?%|lMQZbzpM(B_1zlO+?%5pY;Y7f@qv;*103$H!eyOlsGi%#;U=#&R8Mve za%a~Z5V^fMVQa7(+Co%MbyMefXxBI#6S`4A>LFZmyVnyMMwJm~!flE{=zKi)q|PvP znp)74MwyrBx&YHotK?8pZ&(;D1mM*1rWQN;)PhBk>pnuCBN%hU2FP_3Pp|w&;`@|3|dT2n@R7bzQ3q?p4=-dhUXq=po+}XD{$1>pp<2uU6 zf`O6XADQqRW7Q3qY*rRo!Zp2<>WP5H zsAMzF!qH)tS?nGv^cY!&#Dx$valOBEtIwcbYkY${qnJ3lkJcUvhX(=2GGi(P%i(Kog@Ue^yY5-GV7;yJ$iv#{{dnAtO z7#>q}r1&DcDnbl$!272KWq)&kg;{g7aJ9@;iNEnVn zw=tBzsH0eIk1unYfi`5X9tSdB28Wahk5Fte1XK+T%gjatBPc=!>d1yzf=ohz{mmLK zAz|el(1E?vd==Gj+eE7W5S5JPU=ziisQnoryK)IOUh(6r+UJn>p->4@lEE zGKBVdlQU2%5V`l3J7zIaM~L2XRmjBc3&^79*vmM=aI{KLunpj?U9jXP9*epDlYZ!f zGZ4V9`b0Ml@NjmH=8(Hl_65u!CvyZrFs8#_F&;#17n}inwVJXQ@4r~vl4N!iA&Gvj zm$GynR3t`KqtERG$Yk>!dheKQ4n8Yk3$!_|qStPZFWYkh%sPc>#4*ufYwI&9Y|$>=hm6eeU6 z5ZvlgSe7V=Nc^Yht{fZekggRI|84t=XcPlD3% z360={3nZ{xX!wnODps`*M3eUY#d%hMTcEWzc6_YkH(4XBGc92dkCN-6>|QG(QIKp&OSxWp)61*50K zMOT1Dl0E^jNt0=se3*;ovOs)=M&p%E>DP~-!Yo34aW5JX=Qk0=jhoDPEuR)2L3V;F zK}GMJpfDV-xLF8bL{Yw~Sk17V8zO0* z^iTt%AkW)twF>pRBHtWIBsg7DyoqGy;5W|o?{Zl;IMgbClKfj%AP`4Qa9O8dH(`6# zDcan9he9iQDv@~UwuBpLFw!BDpspW$w+b2Fh?b-YcSd{_l+0r%9DU_BfG+ix@VLHE zhEOqxX+sISTZP(QH2~bRL9-r*Cl}JlB*Ta&$Ad8=1**p?9QMc(d1yw)agYK{efKf; znc4L2>P1loyxmwkwd`g53T`M)?n8~xcaOeRr`-hEG2U+iS&CDGoexdb5@cObga)cF z!`R^1jeRXqUp`)fIzMoYXxjxqd}9*z6%uKh6M1?^2om*YAXs`CfP=^1 z$pa@4EHzEz^yACe2~h2Z;PF2VfRk(Lx~FUk;Da$FKoES;WC2l$}!3dvu(O z@`Lmyk`XLA2<=J5*3Rf#^=S1HkPza$Yq}kkEu^4~Uaq9({hL8eC8+;P_AV6#5_Ka6 z#;CenD`lw9gjDRxx$s-P3O=Af{0_erPvolbTZyTgm=AlB5}soOSG@W)%Cz+^_@aO` z#O-Z(k$R%I5JZk(5UL$(8~GB&%=9P>)-wV!Y%T-roF`FMs7Y))S(RsU8<#^{*E{R$ zq*u3{EYFY8XJL95%9_Q|NX!77Zb()5U2|A&c@tYC|qt;9iO zczl&vaysdqpdw15-XV#rVGqnfuc+=~HiLRG^Ol+gHM|k9-q2?@hoqi|Ng&z|1baLm%sV$m;ZG8&;8Hue|7n<{p>G)`tzUu_~$=u z|5=C8a0lA@rnLK4|L=eNE%;YqY)DVQpZ(@v|M^$`-`w8B&!7BoaaX}Yam=6n_PbyH z>;L%fxBu(l$G^BP_wwei|HA_}g4MX+Zm-0(w=Vxb{@vew_xm6IoBt30>e&-cuB$zH zfR6ha{>0Otjc&9)c|Gsoul?@3r+>}?1}BfM4*p!^ch0tVqJ{PD?|uLL@44q(!{_AR zd;U9DlT7}@J$~{1TiHI;)duGJKfLzn?RW9Fx&7-`ca7`+7$Q6D$!od&!QTBr@0j}d z2V+0n4&v>Hkrh69G1q^8!xG&7hmHKj=RY)~+s(%PuqYCj;iVI3-qPeelyY}`^BX=4@#Z%}C>u=H{ie1_b6tXZIb-}srzB2r6P?>J=yGr`H9~UA z_j8H#KRSJ5FbU0K&>mxS)caw_Lfw1rJmDO`a}Et$TrxweQ>|VRC9#QVMkq&+qo|Mp zTvM-!gfL2mt6YgN%3-ph_FhSz;0ioEHM*G~j~HsHEsgAk)ZpZ=h&lg?7z0H(e?>I0 z0^L-Ir(Bp1j<(BzEZx!v7}>T;A>^tU0L9$||2SZ>B|Dp@0aFDvfrAeHG-+>V=enb3D?c92h zGwJ0q(0lQagrAxJNI_Lp%N-xonx1O0JMcvUy8|aoN*;xvv8oMJYOKyB5IvPZS7SyS z1fn6wEj9fG)Wj3}f9KMfu=Qj5JO=4=4g~Kg2FY0`NLX}K1L-3{I}hm+L{HTMP;;%z zefiS!%RiPc%Q5hz2*G&-JXz#i^WDIc^aqgDqY(Uveng)!A)O4ZpFnl39zr`5JBzYO zYmYAgki$dTU>#fN2Q#Sm*OMvvF?e%7B}Z4Z-bFpv(S66wg}0`FTJm1gL@iZ^f4L#* z>yhC0O889UHzvHjYQ-|t{K4y7u&I9Rf%dxD*mVP`C1MfC2zaeII01DS_-zBp6|NpW zS%=MCkU!LMU$+e;6DSaW`1U_-HjE9VR>I-qC=3Kc27)`nIShn6piy50G@=A?`1&7! zMs5nSUX#{1(CEf4?|bK!(|5Pc+ILIXko<7~jczAz;ao}r8f``G4QLcTVnd+O?JZ2+ z;v^4fbo*;l7yj%BXypDJnQ^&5quc42JpUpSXyl&L-<^3tBNq>+VR-(oPT+m2AoJR;!iS> zaDVbH1T3Jslu%plVYAxjf{9GzHB1=Xg)(9r*BBqi29NWk8M8y`AcLvSMr1xDHV`2$ z89<{sSh7+Lr5|5bu0S@M-k6(Iqy{!&907jMS5Zuku+&?ghc`^PKYX4xyT-V3G zE}&Rxk9}-FBN7V$;)3W2sNJk{M+b)d>cB|EoNAa+EKztg4cMIzA3>QR51{VjHP#+SyZ$?=H7O7>a7QlzARn(;od_sKbu3(vdp6OhQ*Q`@ z4!AKOrk&E;eogjvw+fkZZG$+I5t~p2uyUYzPE`?ew~Augz7U(BS~id$1!b*_k!;Rw zUF>Q?qeX0}#BCjQHL11qG4fm=qD(?HUnNU(FxOvY&F$ea@up(*6fei0yv}A zlgP-xI&fYCS)d#}8}@${Wwj$%(W(N|m}xRyg=*m8^?U~_TDYYuKY%(|j@r4zt+ZdL z%xC0IG-k+icz$1`%y)Et<-)muD2Kd*@za6iKB= z4Z>EfEu&GV0Vg`Z_yTG=#{(Ij4@FrV+jOz)r+#NszC$W>IkFmqnN}N0)@|OQx1%)m4{d!DxnzWA^tRh+3oRV z;feFy0^0#DWp{*lh|d_PUf#Yu6FZSI=;iH~LL1L9kyJBKAN_Ywj(DrLD*7K*FfxTT zgn7>%bs0@Tqa@r+CB`K_4jas3KjvKhp`a=!RJ3;z1`Q6RJYzqPSNXQ1Wu@VQ1KEY> zo^}UPp6;F~nwvqsOHcOrawds3t9g9<6jSoGDqu2O7yzYt*O!fd0klEstBpD5;)o8mO?;*djw(OftYu2g=xJbp1kDQu^tq`#0mFOP4(9w1|7fDC*e8BsF0 zzB_Xr!7wb@4N^DHsk))F%?*SeoH6og-R3#gf`8py*Q%{_mV6<$WKL|Jmx05qJXJrD zX|5UMxNqFG)kS4MpS*oc;@2QCtK(E{k1tymmyD5u?ARaOT3nTtF%8~CB8dB+VOebD zDFD*n&$lezHczQ5nPO#vz3(@VX|j3DAm6$tySC&3_Pec$({EpG+Li=Py7sW51$>}R z>&^`7(XEU7bFla8$fS0j0CA843gJ4Mqz_ z<2I1*Z=%_s<7|-LK(c|-yEo9$&`YPZpkfbuA12{qz! z%^s46{P1Mwp4{NFm5CF&w})5!Av_3!l#G3Gcw5Qv<(;lOuKvVr6+NF73ESN^Qe!=* z4T#%F$V@!eFL3vuT^>r9Muc|x(q-G5hvAqA#V|xB-5mU;GTf_!#5Uo+&)-hT(tRu? zw4ZHg8 z80tt2S4*&Ga#;U%H3XZ+>Eqx3^0&W#4B1AW{1mPvMoYq%i?wa#QO?6)VZjzL(%Zz& zhKxRyUwh$vUSDg3ZnM2uvyS#-(`J@l=?H}F{PV|uFw{<|M%me@3&NM6A>kXeZMv10 z$1l~LE3(D{#Jrg`)+l%C5hs@5!b!x5{eT(hgj%|ReqR>IxuRRM?h^Rwa3Xgr&tpus zy?wQ|F6z{~m+f6S<|aqi407Z-kt@fxc}iVB-{z^7e*2{=m^uNM9qWfOrltT61+-hY{$ni=G{U$pnlYxcew)STy3f%EeC zr&l3QKfWyOT61(wcyw#M;Bt$(aJF+N)C?=Zc*AeNl9^-!FJ7HAlq&E`N4I~?(Y?HV z8I6p0nwAfnJLAV9TngMX4R9)uy{WxN zCpihjP%=v(hxC27nvaciEWOdui-p|&fn!zpI;!}M%3bt;-t~$0 zM%&}dS37W%J4MD<^$kdr3$n!b! zLiK7tRZ(%-Ja0g)z6|bvdHiC;wtc)wKL@d`CIV%FGn_H)@Il3oUkW%6k8VyM_ytF| zxS&2kFYNO8Dp2f9%_K9(x9;2H{sC?Ga{R}SDc5A09|0&&T%`2Lstx6{I+cm0F`v>K z)kFD-E>bh77irmP?8EJhxj-#)K{b$izb1V8@#QS+RB4RrxO64}()Rdr9sms6+q65Q zuos8Vn1_#SeHG$}K;Hm15T+Eiw%sX*@-x*$v(>GJPNiO;`aPXWyWwN&EQ7KJ1K zpTB<$X88D_@6>kW2xKvUUU2s7;$%MfssfrvFnL`b?-!w>d@Phe`5_<R?Z`v6ZY|1>Q4TK!`EkxSkR^#xVzG4;LW`c{mf>$^bjzx< zn_@87yCP}ESyuNEYZRR2JJIxLjRIu=v`AKeyJfb_5|pM(o%Nx%%ut#`26q@ic5jq3 z${ffp_{?ks#M!j0ngC;3S}{{p?SJ2a-bo)O$OTnh_@hSZXr_-1f~af@Gu*e`1;1$r=qC<~X!LrvF-wCqnu)%1wcH3kIZK zqu3sT#s^baw5+FE^+!2xI?>SOE+nWee>{;ll|TnHUK)?s%d9P3PoT2Fb=k|MCX6SL z6uA2c@&F8T7ic@-t0WXiXrqoUqobA#IYxA;6DgKsBO0RA9vfTS4=l%ext^(L;SYV7 z_15-0Wec#Po+m8*ID>1`^PH{jc?7!NPBtapW2lo&NxuEl3k4jGEP8PRm5eNg8E$1r z@+eW35lk`?Re9`!Ces|QA)csW%EBOut02b*?{JPK^3>|)Sm{0e4*7+C2RUz@sK{>7 zP^+FF1B;)sKDi@tjjoTew5|cWU6Lwp9!~AFM1u>fiKkh384{xba0QFH( zB_EAw-iPDF1jdEqL||mwj1#v|`VK7>NIf$jWvjpD6Kuyo&CRG)%DF5A=i}zA5Ivh= z$wv9OjDkBOGf)j?q0LCYWk?222?16tvkwC4P&-D{TUaLqN%iVgTs2PLjdJS_@#Pql z>i+xGEUf>Z6o`-=V9x-Gxvlacb)Js8o6LdU_sXM@G*lvnk7k`Lx8F&!bpB3Y;<#FT z9VkHWq?XXf;J;9!NtkgXtC=Z;YxkglL}uz@?hX`SstR_$2pPW*_C$2-_dejV8Dvk~ zA|4;~Y55zZhgChFyNINw7Z!3)s8_hGMA7c9W{4DV_tjZ>Y#*VOR@moYCG`>wkB?e6 z$IWT1h($T)Se9CZLMQ`jC^8@K-S)ggn)Gh(4uT8_GQqBxro(8&{HP0dQckgd+(ayO zVwReS=tATC18=s}cA-@(m{Y0k&e^JAZIq_z8`DZ@TJ~CbyIgH-|6i&D*+pnYUX9!a zc!xedQRKgZollpNx~+40e0eI3PTdsl{5VE}y3CBAHfSsrZymK)&8WwDt_z(BxOtW8 zU;6Ro{t(){pu?3?-1KrT7}1*9(9guTtx6QAowMYiGw%? zMKS2~m9^nzE68pE?gkxj5Z5V)$4_E7T7}rj7U7E`YIn9ik3Hl* zfpiZoU0f)w?Gj!dUsjd`HjL#gTy>(A6yc_(3)A3Q-jkfZ6qU0$9klfYjHAW$Rs@s3 zYm0Ihr(-&cv`+y(Pet(?8rYBZeqo+}G-Y@^x+jhqxxy0UwWhQ7vpUvJ>D zhve1<-u{yP_Up8NlfhiQNom?fqqi_HKMCeYz|GHt|462Cal#SPe)Lv@t@eX!V=%h8 zx7!a*)G7vbKi|Q7-23uXPwbTfZ$a-oNHN!0rEbTu6s2X@>zWTQm@4P;jPzE9I4xm^ z1z7y}AQSl%KO4vC6-}b;NUBpigPF;~ITkMZ4R;258tiwRmeG9kot<1PByWHqvRIjDVon44vo3U^^{ zmfdqdh(zN3YF#og!qe8;+dr`JA*^)~ctk#D9m-|QN^Z9Ol+M@16OBJ_5gDz6)Ti{v z9KX<_LO0Hy#F|>24z=L(sV?z#IGr!bFOvzHI`~7O+(XC>j;aY-84<@EA*0>XXnd&- z!Z|Y-cMy*_U(+JZL{ug4zA7a7b0DK+#~`|7L?naEh;19UvvQKt^f@SnM@fm@8hitS zd~=TR7K)w;G;ilXyq72Uurur>rqy9cUJci^6O)!Es^Zf8(ZBHZ%+}@k6((esVmt9c*^mP z&Rjy1p73!6CGH3I5AbctnM#!45F8zdwnE^p?x?;+x_&n!KndOii!Os?+>S7axSUYh zMBWQoShjF{_SIk)mEwGqUF6u_zL5h`ehzRlT6p}jA4germv0lm3k-m5yp6B|1ic1J z9%~xg*T+}euq3jujW!+@PD>vy8TVQZe)#(MYWt(=?W5@K=HSc}?EmZ2t1W{L3gvkD z=m*5}0pqGE3!JfW@)Oo%-T}{4udYw8hJP2zt--;Cn)(oZ_r{eL7O*k{&HSZiK7W0D zwaUPz^*i4lw+sT7yZ;B(2Uoql2>3z_=|D?LJU&2uxO~|Hseb!vDjYw!@mz9QD-P~q zGG@*(p!dl@E@Hr!Z0PHfX^9Fbfn4*xO+m?40ebZBv{X3&kK>bpXw}J$Z^{yx+ju=4?z16d({N^aJP!$LB|e+lITac17U~)o`VBXm948If+vu6 z3|!->E*_aUGRJWdv_=nBp$=DE!xy(#jCjt9 z3gg*?o79zab%Gst+d}Rm!(q>aaXX%;azM>43%YKNI=8uvH{UBl<{V1u&hd1W(l6}X zVslq0nELHh+B+->7{{Ai?j7zTusM#vD0xEJ?V85b-KLN`SMK)4xYjE}#2bin81e2D z(Hne*;^y1#B1|;jAYFlc8(_#f*76_z_{R@3EhUyYoEGndapPe1DmjJNhqzmVTKT|D z4Ax5qcVm2VYdU;A62-#qSM8T5^dK`RL5bmA;&BoKElOljXRC_!aJLE-oJZ#htYQG2 zHa@2VgaP)0$ZaZ#Gk2?y6$$WO37-yacAub0{84trqYJTBDB-(Bs97Z;zwEdj!-U!9 zf(#!TN2Vgi-mO6_T}fQFY}Mi7NHnQ;n%6_H?%M67j!&uMMWkVOw4MBKXle-rf9<3| zmi^+4f*&CxoA&AvLBBNTBg7zv*T)yH2kSE65lBJnx;kULUwRKfbd@{YO>3uQD*48@ zd%2x4xJ)o9@v_I@*w`9?=k@W`mPgUszdfy`;ve6B?W{l+*lGLrGm`D}$Jv9Q?=QhlD10-*-p23uXx5G|A zIA;}6ld*rh8P9$E`(OU{_m3sFcg9y6`h1gV+Muv?T=p3YZJjJb)3Q?b3oPutm%+g117XwgBPf; z$T6IeslDW%*+fKqiYg=1oaUq2{@PE3DJ>MOGKhQXX}y6gIwSHO@9%b_w)lmJ?PI7R zg0#9S?A?6`b-)9F#=KLHkMD4LLy<&-`liNy;BFCWHLOZ>21ByZtpd28fZ~z7Cu*jb_333vr=mVR@GzX!_CYAF**|ic0qp`N^-%Rc zHrMf_UL1+qIggByp1suQWB!oXKPzf(8-SQ{@Wm-Ml#Gscd9Ou9W#CB~S6*jQg>-~- z^RY_(!fhWJhlK9n90btBH87wiWMzxCWCf_rJ%6d^A*L#%L?xV!5Me2eM?0;YFK|@8 z4EAGE`!+UU3V?Q>B~h05L}JHwr*$`am~Lf=kXdhfj3%epU|S$*%Ms^JQ4VFsx!Y`( zGC$T;s_`-qwX1=>wCQo-XKaV)%XcSC7Lpu-%K{w?6R$i_>U!}>FY#Tudt}yL^n!^u zAeo?$?7Rd)r98mNqJgN{An5HZtWDhInlX^{vK0wd1&rJ4c+T1^zIWM0kA7~qx;rMP zm_EGmWNBa@TF;Ph%Ou*61Kgpsj8;6vjiD!FzztZcG~3(v^aAKeFNg{MtnAM3Gu^Q3blEJo>GIG` z+E~E2Ghs0nFz)`bV+)q`_)h52VH0OuFQ{@(f!}tp$k)?iBax9NdTiFXd=k z<&4C1NgV({$1qchtB#N|N1!QGM_QdY*_hQuI%6JJkYgj{^`#j-AC3ke*3%M|KmUW_kZ{qDs+VLuw!%k_x|PjZ>_GYxnAvu zf`9Rs|NKwef3N#beRunh^&Q3s>#aA@cVqZpzy9dz#@!fC#*TIi}vHfb>5!e60WrnM9 zzujI5ps<|7{Oot%{r-pl=KsULdiI2qt2HOq@%S13#M7URE)Si&L1ysRe)rweKZg&u z#{OL7cWzWQ`8HAb_r8DnJ9qa^w4>eqz30DkZ8pEbf-k;*E8B;%8&~A|KR9P_zl*=k zUtI5z_4d2FL-zRhp1hXp-x)gmLG%0%#(ucv1W%td@j|cv{x)rL|Aok3eEvf-8m#fQ zXUdT~@|>IveGI4wM*;g}X#R1N6=ZVf7(mUKEw4`8y9kRRNkH9eD|#TwLU02CIG zRC3^)aC#-LkUqOuLnHAE-ERS|i-bnHZf@Lnq+igb4-CuuIwP&SRmcV&ke$VT8c?0Z zyTBHcC3b;5zWQ(#IR{&P{Fzg6nP$d3ji5U8moluYaeq@8y9OSA zeSBFi0()T&&f*wvo-@7XsTtW)%j||_jACc4iSF&@nPg*9QNdtwd%D={18;~p(fFm29E5?_R%(wRwA1 zlhaC70RUxU+8}o9ghv{oRn%FppOWT#^m#FDB3y*F_VLgo7Xh#fNc)j{Vn6M~wBcjh zNK89-OiJAW*ESg^>JB&)jV0Qex31ecqHArPlaXzJH2vCB3{zRPd20~{apc!X`>#gYL3F(!|vq55}jTQql2413+tQlCE7Jt z5-}FCCtY|ZGIe$m0$oGDj30AuGD|p$Z~EWfs#6T^b53c-Ta^`N=h$0Kh_InRN0|(T zuB9Sc-mO7xT#caeaKg2+dWjV{g9+9RaK5eSdfqKUy?iMUi@*njWTJykWm^8m>jgJi zgxvoD^aB|L4`4!BAaG=)EnR-4_KYQVw+iw0wJy+&fZT+F^T#U9{<5jE%5P#TV<1<_W4WRQG*l1R;6vF~RY1GXuO}z01AAg6EyI&svS14x?zMx!R>D@02m$<)7U(6NH z7LWcsF(LXQ2Njime06rdZ!0YfM$yBIP)WcB;#C7>HCY+=L@Ez%1?m+oFQbaV(Y&@gGRaj`{h5revG*Q20Rh@mUypjblc}lU5_Vy zlRRH4q5p&QLGHZAFt*tn#i$U0I}e6*Pnw|1ICDe{vR0wynV<^KbGVB5gvkuS$`zPb z)+%Hy0%S1BJa@|)53?OJm>^!}u+_6xq2_EhB=kbJV~!`C3;IGdEWog>5xQlP5fy82 z4?*gGP842&PPRl?&xlYeMI7lGBh&!GO~!Uy zUYi-A+)Bg-=P{+Uc(fFtJN8IzR7^`V4n@{rYA6{@`6?#7A@spiw=Ry24TX+%a%}7& z+@oKEsrL+~+=R(T>%75~V=JGXjqTbSb0-d_TvKf#3O{o&HDKHm{4Se~Jv{$==NU|a zRBgvD@)sk6sSRv(`yWH)U`lqk+mRmOvJ_{YnhrdSP%CT9>`SJx4zm0hD&=Jf){s#3 zC4QPc1wc4zdXsmHkZF&h)i*5z6E@jFTaA6HDu%<|BGl>wIXF>Olv_$jum^5 zD<&@Y?|)mNv*JVw?ark3?q9OZ#pN4Y38 zb*oL1RNiPK914(Stv-T`=0gh_f;E)mj^ha+k3`v0Ty0&=)#lEEI&6A7oFl!9)W!=`^5IBYF?qdy0hKQlGERIiIv$3cJh{)M zh^WbQGD;i(8BgXO7m8V`Z z-KidN)mkS{GUg=9$wWtmPLg}Ego#cfQ-*lKu5PdBI=GXdLQhJn-kp*vD9H8Z*lrJr ztDsxRXo8av_e>hPA$Ag~B_!M`WEd_YJu1ynqvpF+$imvt(S|V<=9wnmsDKUB z!rvhGYUJZL%MwdSHiChe*Oq%y4xw2=CMD<h?4@ntbXxOAW%I~FtaXNTP=4+yBNr*DXmTzBdn+>^qoaBndQsWewA zaPsNOjBO5A^ARSi1|zzbTkHn_DpDT-%qB`o0A*FH1KkzD5on{#nHa#?31$=c)c6gx z533rj+c{7(HuQ*Q9%BsU$0lL+gFPtRu_1Dm)IsBA8&sLkE|Dg zxaA|N;v07FUaTeBlk{`0U{0CUE69NU%ScIrHd!)zgNoRvfYMSA=s%!}bxIc7L6Dnf z0L|{o?8Mx6e9bw&90YX>vBC=uLLXOE_bDwERF)P8VS9Wv4B;HJ^TjSf0-1!C4C@NF zo*am3dh@6Rqy^VcNLWbJ*AxoD0fuB_qxxFLsYZR460}@kxrvttMy|TPmN`4n85{FI z0STgviL0ND5K6pPBhiIJJ0fUg=AspoE^_M*D%3gvQQW5he02$VOP)L&6#jM z14bAh4#wX@tCJvKSa3e$Nf-`;Dn|I;zC6^XEItXv?(3gF*V&?}dIU)ERSHMcy(TyU zecfd+3^FaS1a*$k`-{Og9$Na)4Wi z<2!qZ^pVmQ(vgbKff|KM3NK&)2$AM=x$g0YLGAx|7fu@<$;5Cxz$f^rS`{g3d;2Qb zyeOx`<9FfX*ScSJMNsoQbpf}T!DEQi7WSTW;HA|_Zr`0^S$I1n%8~dT2Y!Jf+tcexn=Yh0rj121PfnoUnv-fYk((LSZ81`3mXBTte4d^MY=;!kb027a-9;kZ!GIvncENT)-09gB$ zgxwm`vU7s|AglgFcwhJfJYfq;3Cn97h3Y_+(#aW@Ss%qW5cF|-aEQciow>UezC-IY zHJ0g79xm72;xu)8UAd8w9lnE{4_SEnh@}!wzZi^UN<7q8h|Y*i@!aDhe_%4bOHb_| zK=zh^eF1xOZzlCugd=&L2XR?y7sHt|uUs_1ks9HMICxusaUAtdJNC@Mj3!@^(Q7E_ zFPi8?-#K-w4OryzM;V=LHk9>IH1#ERTS%Um0kh1cG*x&g+y`v5htTQ_?2mAaW$CCE zMS@twhXp~*$aDmDUqK$3;XcC?@n{ro1EfOa@<)M>KC4hKg(1y0E9x@}yD+3~$^Nrk z3i7}PxAKtY71{4#%PY!d{wJ*QD9s8l%mBQM&F%KXtTx(Vje@j}_>{R|rvmyWC7XI^ z-+#aU4_N!fTVw+g1p5C5h|ul~%jQB8-+1_gJFC)usBo|kdz@kQE3kQ<3|&hs92^{~ zkSI4=4J&hP?R70lEG^y3BVs90ujrRr?UBM}Qa?prlP|vrlO|u)r;q{2Y1V%}1|Jqw z)x1bjsA*vpRq`&riA@9npaYkyMc(B((HVxo93Jl9_4$W`hV~zMm%oL)OIB{>8uBjT zAkLd=@^lLuEW@=qm3I-@M6gNl89ABj$h&y_(C7ZeoXHc`I2BEvs^YQQxq&c>0P^JY zbUc(MhR)4y4XVo%^$C#nRUom^sii~>*ttkB=0IiBxLv^+rp_26D2(8ru z$BwgIpL{rrAII(!sO5JaCX?@H9_0%n$Ewdpl8srRjyb%3iTGB3Kn?@s#IFbVwpB}I zkhN5>g%51U0@pM(3gRrld=6Bk1{!}y4T=1GZXCH~lIo+6Ev}4~p(HlPq+#e#aJ;7W z2b(7&|6utBvzKGhh4{V^w5LZ@7%cO0PLVG)=XmaQj^zOE5?L#$aR*) zKmK}6o9j!!7@fIAP2Rta1WfSnoLc=mTQzyrrp@OiV1`x+7|7g&t!Bwa`Tf;_rlN8B1 z$#5IC#`q|wwFMhgV?xIqHgkO{qhA5PWo=PkpE4QlUZ2Ws{DW2l8o_z|9KA;m`sRH| zWwW{nx)AbA0{W0`mPPMKC?fgLfHq4C=_L}XR9}6LV~-%|bW{ls*L(xnRXcQ!NbG}< z^O)aARHY&}3jx`xo~)wgeqh;G5c^X5SBYhxb!tE3rNYS=~cBar=0FnQn|$+7s(b|g#!4!?FxrZ)C*=wuu|!|Rjl3j# zs8iXia%P&$cT#uS86$HWVQKbyss;+~r?foE=Rj6cIS8};nv%+H52^PtQaGdrBM|dr z{v6OWh-UGEX;4kg(z=KnDM*pclU)RmAv_46(wh@gS=8oIVkG)(8InTW)TyvY9xFAc z6afIXKuN!w62R^tsJHS#T5BhnezuA?No%Q;nJ5YX^K?4ipZ8Db#s(8*dmpq_l;;9@ z-IFaNLv?s6LD3+=Hh+@ALyu5Hlt>0J?SpZpx(7%0)RJt48V)YPC^@)RNe{UN`f){= zbHdmtZlFlf!z2UZc=;0?rMPf{sSRc>k3S#^6Z`Kj$;4|e;v(fxwVocA_4V-yy(`=S z2gWBP0c?u=ApogBsU-qzUQ>xRj};sbJq@&1%sF+dDo?};zFC#0hPgee6*Br&Yl`13 zsIwsu9nfku{)y#NpyqQt1(XSbPETG3<1NJ7a0XNZc^p8N#Uw)KUq8MOxs_mp)Ldf^ ztKXkw?Ss|txGv*Yb(1cSyas;59MwN5Ps3b-5@f?{&>zQ9fmqQ{~3p$Nt52^R5ViVf zobisM+%Iv54$dhK{Cz4rs?jx>11>H0hWz~sgwn=t59*UndS#=W=)?U9`GE_MrEXUqP@Yig^*@(A+^cQJ#V|%<^J)_ns1w9LPHg^a{7Y}`D4lF$C~}jlhGa*cU!1=q{TED2|!Dl+(l4xY#vA4 z(qm9C$U`+SChN9Y#)PdTPb6Txeh@3lw-S~FjLy;4X!mjZ=AdsfdlLCFC)KBxwag2e zB$v+B05-X)vnC;x5dT6@idAA#xpWf8*wo?|Vk>KMcNWdu!k2JcdPm#gW6xEn^_Rm1 zfte4TISbAKxDSvk(SE>Cf9=+wRxpr0hi+Ds-OKm1D3?yd-tZ!9jq=?pWaAZUXwbWvo z@KVG0dcfs-3+A1-t>W^n(%G9@DBI&UFyQ?2AO3fW&faZ%q73e94LfIh?*HND(v$y3 zXYVsQd(VK>OxYeX|Jp@#_QI{P+*F;t@SJY?`HP=YwlfUs3}yR~+5Sy*_8$A}`Tx0P z{>7Zm-Wt|8H|p&DwN5Ie!z7`!uvdyHgViQn9%f%iIc9e~%QD7DyxA)~O}uGkO<+n; zzpz?a-#nhw);N;MR&XKXhL3uLHks$IPl)9rD@jl3 zMml%=nb4%h{EsjfE5uR`Mj!XjJXi5?O(|jEzqo@q@=HqiY^n~o??*4BgiodAn`cUR zd;Z~|S-Ds734w6Mp`1gjYMEX)=k-#;KPo=Is^T+;=I%V_l%+Gj3u(ceW?Fw#e7MB) zhi56F#~Op8lI1RuQ!7BCh+~KrPPt$VERTnt>f#sjJw4Eh2ilLYESV>!f#PbaCCC1er^RwCSM)j0Bv5$KRJPw2W2|lfqTMk9Cx(RZM#EQ0bmT`H^Bprc3Wb4z+Ufn!4CAWjb zOs||mMt4SNY|<>NumoXdBv^u!_mB!|qv*S$uK}Md(nt}OEVhHY3qh9Q0{#aVf{D^@ zm1xniso+(PuW4zKi<$bLEKc1*KLHDO2SJQR9^H!}yif|1<^i5QBoY&nYYLRs(0R)T zLDdy#nmk|@f9M(;F=XK!v_dH(r5!%^1)K(QC$bz;3A?sWFphH5`v!FH;c6|2goMyD zvt0~AqpifhA7lAC4v+7X)Vknaa`d6*?8=-?$Vy8nQnp-f`LWs6?g+?IMJ*!-z$jB` zPy5J0mifuduBtN9L!ZTN&h@+sWQ@|9oP;^mpeuAJc=+)ft2Tl>#RY|v#?UoDu{4?G zK{kca-ARxw?$IzMsr0;I3N$z`gFeRIkCPzZ$JbFBe(WK&Nr&dAqOU>Y-E?+nQ(4*_ zWO)zaM)v7JE=aV5VIv{9R3J1()7?ps)rxZGxuRj}=Z5_H0XJL=aKn3o_BGZ{KyVovNti{V;z0E3B-7&LdJ7#V97(Xa3h9K$Z^q>E zuHm4Tw@|AN7o98Lt;1EO0IPTccS8pdL%i@R-BeIAgv2%&w=Pcg`)ne);KH84jmB~} zABSMCfc7(|V>Y#x$0quqY*eH$--KkeoKMJRGz}slE2;?h&tIdNuLz^%$5(HGrgR7e z4VvvZM^h&$Jtq@pv3n0z*o8Vb9eXIipcA>PMcqZ1<597MbG(ASHs*M!;yA}M*95XO zHDdU|DI!n1ev{nQGOOn6(L$ai-+^Bv`|=TiXjp^Hu8W9*Q}HM%GAIf*=hJEUF)@dl zJ>!z~Dpn=O8blx{*yvL~shTiaJ^*q{0!`2DLz&QNH6wGxvlV4w1L3MeSr3@0t7xhe z%K_En;+7OMMjCq1M;-v8qL^qK`3Zr`KV78GO8M<*@}xmc6-sK!QxxP8C*+iG|CQgM$jm;SvRed+NF+z8U@o~;UkwH zzf}2wX}L}cGW zM0Vj;@ILJj|7l9JHK#Cs4*t2QvRC%D1ZG?{>mwT<#Z4-(KW~?TwVDqB*pWb zKIfUtL-_Y@ixyo6tMb=PjIJM7{u)<=Wih(C5SBp!Y37s4fF44OP_;3n6=aX1xJ@Ws zp#^UBCeE?X=`^nPm5VfMF`AOD~? zQGx11mVG0vRw%B z)&eMrU{oGvi`KN!BsQD_6(ZTfiDmQnFcY;kkMA3)^-lhn&2u&uML|(D5{0CWmb;ir zyFyZTOQIK9$u>~KJrc>Z-WFnbHY@7HiFG4KfKK z!F&y+zL1-S6HXgQ-eyM3X9%P+`g!3ahb<1|Z9$bF#eDK8b&>^DE1k&uVs3=?^|?#g zQBTkuNM&OAkOW0AQcHm}+#L}s8vVQbd;}Hu>0x-6YUkv|35Z0eX&+e5RkZBKdC1T( z)DglIDDE?QMwmFyQ}#NT0!DO3jWc=3?prmm^b?RRGz&6t?(G64M zgK*B!Ym_D{q(lbT>ua9#b=rVdDGoCkhUSY|u5k>-^6b*Q!osQD-D>JLAfE(@wZe%! z@JS5#)$i*-rKDblRQMne9M0 z;+nJhM7W93vFCN}Ec1`={V((4yVjK!p|3|GA@i{@P{q@STP$*`^DloOEglJA2YnqC zIUmJ+QWe1#PjXv28VNjJt?x(d2pq~S&X=;^0sTqrB2LFUc*DzjQ!LjNb&hoQ0ga5B zNLoI|vOdJ8tC}JiPxXUuBi6!!kkcykhs>j!a0=u-1hs9BZa`xzh*2Wl;3+_%U>?MV zRj9}i)asmLpj%Y9lpW?J2W?!daGadbHSjvw8^)3yba!m9q7aH?`y|-KCSF1%6DYuW z2AZyi@pFy#W|*But^MCor|N6DmLUQmKfHK9la1MX4oXHSWS{+o5%1ikf2D=RG{|m}X z71A5N*#>4w7x5BkXO$!M3(UUt^O$(NK-W= z9~ombrSgd&zf=+3o7b>m4d)V4yiRfYseIBaOO|(1gR<|G%>N>Gwu6$CDrRI-(ZK|O z4ak}~UNO&6B{yyugC6pneCNlM=Tz+?`5OdzaN;6xL*#`|P^|1QT4+P`=$o`kw@NA~S+~*aH)Y5I&3~ru<>{ zp-!7{G58>3Gu5Nze#$zZ3lNK5AJUu&IESOr+HnD)Q!#li`WXX!O*ATy#G?z^$qIBpXDY7a^sh!U&5py4pFH3W$fDT?r`Qp;V2|YQxYBI_ik>@ZaVf=7* zQ|JW1XucvDs?rEqniQCJB8UI{hyR_T5i;DK|FPi)Zrv6Xm~Mxu&L54C&uD}M?z z6r@G|XoPf8V2TW7c+OXV za#}p+&zX#JHi*Wg8>UufVA63g=Rkd7#Fu>x(oGNG@ z&eLads=tw{`IRn&RZZSaXA#!`+3|dgR0J5Kr=BQbJ8jK$v zxfx~7hez&qua~|aB!a4Cq~IzxJ;DUIYM59~GGw^~yc{+?eoZ#yk*2n)s7aj6tdyMz zJHE@2T3xsox&%hvWo{Kd*36Ps zWPniPU&XC-;Z9BFx6mLW-vZ{h2FCH@<*Q}A>E+K2 zFTaMqGO2K9#aH@_S-FVFmI$5>XLlBBAm5!|c(P;YAQuuo%kY$6;1$d*-CRJ}2c}JfwHAK{(^_ z@M=l+@kn$|?VZHkLxpB^45@fK<;zL}T`A#(bsKk&S_>R^Pi!~V?;fZ#nu2IJyfOtX zqFY|mpf%eUVl!q;oDS~)2V;{r>>*jw?O+RrN~M4(2-`kt@NN7q)^tdHe#n}!AfReO z{tO&!&HP|L$B#20i_l(2Z$6YR{+T?k?y98244^ zBbYQEPNZ6z$21?4YN^Ub)m5OH6$Bm#sdI-ebti#=N$xSav{_YwN(l#g=FlcxxpftC zn=W}GMOcq54MkY*f&EBrgp z>EXlKoVVp`sja^74FF?>1LP1+=3rBXdAv`#3j*D`-{*ck9$!`uwV=v5SrpJd=bWjz zi8B?Br9UWZy>Of{{1}eUl|Y zPyDk#oT)#Ysn_4Z8)eD;dl?>jcr7Wt`wwS|OH6-w#+mY1V@UFvaij9hOlu2bKrw$W zh~uLhhwcTsFUG`T_Uhw*p5Rj@YO*$6CX{JRE8rGUlQG;mp;jJlw;*b=6fToLq9z{@ zHQBVwWNN!iJ}qhzGPrq8-^$r#0@0S6c9}TqWs}W_W$Gs`Q&iEDjm`^<(U*>+IZIllC z^661*H4W*8FlTo%-!RJxDll)e*`1Xu;Dz9^2Uw;iZwR43T z2z?&HwOY(9Z^`>7tE3Y*M!j7lbik#zYhF|sjI0B6z{c=-oMHL#FT}2rYweG70?GkM zCL}fJSF*i;+9c?wjDrcuy*mJns@iT&put7Dc}lazZ;YDk_XE&MFZ@_;Q#t@Lz3@7` z4BP^8fez5pm>z-xlpebUK?`!CHg@Xn5U9C?OHE>j6q=eRWi0#+Kmq0^kIXIn9npe} zz}ojd5{q;n;GZ5Ca~D$gN41k#f(J4Rm9Y!7b?+;}P(_(2BeeqZ4cX0}DUkaNG$^1< zTmdA^(T7{BFkd_mHv=v19x{FY(6FGZ7HCD?XMoVKe{v(k~2Ij7lp(n2&c zn!Y_ZwcL44@uw8y%{PuQ3miE=L|4KX&+Rn9+k4~r`TJLM`z=LGVwmL%M7sdMpl{#^ zXpe;>vWqHej(=o&Me+aacSxC1J(ymPP1H=+yiS`+uF^wVyOA3Lzk_Uj8y)M|6oO!i zQJymFA=xS%HTr?M8AmpfQr)*$e2j$QqPFD*sx)WvYk! z?hH!R!&n(IdG&@9#~u^J9&FkNf31^RpR!AEBpv0m07?uWcB>7_b$@&niFPe$qMFCI z8OB+liRYBBHtSJ9)Ng5wdqL^LLi9W3O#X*El>ReO8-{?b8g5TXfnjjzJ+P;Ig{`mx zBQ^)_{4!k~rT>TW^}Cd>aOmba{oNT#AM49du>R>%zQ(Q9dUOFw|GCv#I_1rG;Kx)n zYsQW8DmL8YM5LyAZa?Im?-3?`T!qc3=HG_}KlYHUU-xMp%__>>)^wReY~!%~TPSx^ zxXeTEdbqjphZ2AL59RIyl)D_k`Q$RsQsAyZxeEZ)c@rsjq3JQ_uDaZ14nxQxbJOK6 z^P%-J|2XB&et0>3G<&t#3HfVbpu<5&fEf1YS=L-On zNP5XPM==yxF)zgI&)6-St8owqczRT+LvOdxEkln7M|r`qG`E{%VLq@M4mg6sv9z!o zs$!!&H49n)(NC=4Egx1fF6qjxxJvc$Bi>+1Lwo)R(AqXdcpGEm?Dg1~?uRKYd<%un z0aj-2cQ@PjY_I9Tuj1?FkFcBhz9uI7)q1$3}o=`ho*FhCy^N7tNH^Ai;G~S)( zXl?;epyHxu>_Dp?h&qaG8oG_(KCG`bD@#)S2(e*ZuOboy{+wQ7HmHpZAnohe zV1D;<0+IE>EM#$LsT}$X6}N8|arSumauEy)0BoOJI0^Zk<3bhrK)ZzhNVxOniX8Ne znK7um9yssZ2*!cWYySPiKK4etw{U^EURiYGJ@!cblPo&F3I~m0whBX5WSp4IUVeP$ zaH(ZdX>19}X(CRyllcGGEBOi@`vc`NSvV^KzLO)H7aFoTA!iSA9 zx$q(OZ1~)L5bEa%%{(6*aqs^J>se@Zb53U!W1%sGd2##=61q;TphccpyY2a_Tig1F)Uf-fw2`1@O7yG1R>Qw#vcljLLio6-5!+JiZ5}h;yd}T>Q9mO&{yia zAcd{8)+*WeEb~`2R0A8_V?U#s3aQO7TDA8U8HU?HhQRA%ltEkBEFGxk@p~Tm%i|Y^ zTH!a*w|VYy`8*z9wlBu~DjAFxT57`<0vk=6*-bFDbpD#XzIk*_=hzXP(saQ(5mj@? zh&VbZjujsNy@IxKA$y!kAR{kKwNf5%Xv#X{`MvKT8NrPj==TL}A3I3R8ewF%9@^W5 z@MFRD6aeV|+dn+e=T!@Mg`RUd=hC3_0zD7);`W^(C;vl2&u?3B3cDesZO^v#aPuYT zdFak97|u?i!k*o0f9s!J40^tX9{d`cUkdbm0`%OakJu4<4m%VLdX5S+=G>Lgb3nmU z2>A*0JXlE2bN1iM@W{fyH|1z@KmTqDk!RX6!0Zh4dd2$1jgkPI{iVv>D_DY=;oEC%)+1O@4HVtAW`< zflD^rZsB77))mTK==Y2KdqKkxV6gX#+&%x`(r>#bhKHPDML%r`dH=Hh@dEur3@_TZ zVR_kqFGCDp!um4T-9KGoxWm8b2JJ%kNLpMgm+XF*$W?h3>;5JQ)$&c=s^i}Tvt~(% zo4gYhPR&Pn6>?xdr=yJ@#vzGM4qeh~hWzD^piU5W9f7ci0$6q}Omi$?+42#P+X|S= zyL3B$zAJKne0lR^v%&n-;9Yh!_s4H`;%Ep!WVrD0%{{4Bo`=#Be`80#6<`;evcJ~R z4+H&C?a8thO@!X+*F>zlDC*r z&xS%}ih1FZo(9uRfbAp~*JBddE3^&C>rUDscH__XY5r%0e*Dky7PYnE1A<}#``Ej4 ziPBK~PEd;@#K#6kc)a~j+y*9zobuy0`za*1!7peU?1GRCk&1?q;fcUT&xcZo^+u3d zDpTC{5ynx+*o7EM4&s5tk^cyB%H?}r$!bPDC1wg7T_2iQJ0MH=4c&*KVqbHaRe+XP zm4Zpoa*Doe04>+4u@<+H46(yKc1y0+yo5lkgb11qf3N{gv5nL=JtpTf@mXOTE%_yE zfYoB!jyid*&WUn&nJTvp76Bq7@3J+|JP2Hi373Hn12Mqv-1-&)Yxp-2Y_!D z(R93)BM1)k+D;w@tIW#;P4oaqD71~z`bd!n$w8YRd#LggU^}>gXeJgRwB&{wa#PjY zlo1s;lMpbgFy#n*xcd!<^sX!XepN|+lq@;eFXRLK5---UsBpEdz4o;%djo}u?e(%P z=_YZS;nP~d!Z+S6LM`FZHrp_qSR6lhGH*GVoN@jjyhSL$DoFX3Z|#|+Rq&r?%Q zvJ|4s_Ic(H}qZxd+Hn6q(NNj74{t1BXh+5s&uCRA2{ zl>GLaNVkx!OCfDF}^`p&3C=4b1VV?Ao-95L< zaL+`F+1(nL9-(d>ZqKcG&-T*XaZmjSiP#G8&K$zsGF>~n`$PTs+fqNmzxRjw@jKLy zpA=gjSE1PN_MtTOfFZlkI`%GGW&2P`_zhUQ(C+b*`6`r~1Q+UY+#g?t?Hkg%1M#M& z$5D~iX-Yq_E=VjIjGh;}2a*-JpoWB(N)&Zo9gT?vz!H4RR)LyTmJ3Iwwk6;9U7hQ~ zOtQ9bjm;4HZv*BRx6pPPOroPzpT3qE-iNSV=2p-5K7plnVW|b~b-)X$G6%nX60p!O~pQ{}3{S{<21ocBN zrq{++bp~&9vPmI?=(F%_7vRK>!EwGNziC_aVZ!BEDlBB7e$w z44Qc&E#{Gjv9|db$ljNShVXKFJ>l*1H*4=>px#n2YNR;}+EP=Vr7$5SAS4~9y9dD8 zsl#??D-$#Vi>{@xkD#eH=a$`k44Um71dA@*2Bf-lN^{0hZpM;%O`Hs@ZVDwFG$<*^ z*hPHc@%VB94Y2+oTxJmlp6a?oITSh|OPX+T2c)%mB; zL7mW(^Z^$=)r^`?L#kTz5|@w0$r@9PY|$I-1w(-m{v%T-uFfWNXrU><)DCxD{!Bo| zEyB?e7i{gHan!5i42}G4H*@*%Ix8t7R z6XMDOa{Ux)r+Ivx@BXu^K|ljia*eP61p?|OKtO%+h;JbHXm%cifX4Y0GO+oW}ndksS(Qs+DZ4(&#l{>Ge&$enxK%S=tt-^gd<>~HYx zrwXgqyIfAnqgMHAMzZF|=xB?@E)DjObp|azK5gj~81dWWv-Nb=&?@e&-+zC6d3>0Y zt9@4hIyVJW*Wf_&CypLk&WEIJ2d4`ElbK@{Xee2I88;c`*w7Q8xMbR8HA)?Hd-hP3^XiA-7(G!9E{GIT2|r%O zy*$C>T%w5$^QLQT9QC%woVf=+KQep-A$d~O(o}Mprcy7!yL=Onv(L^RMmPoOu*4QG zUJbU3Z1(EoUn&(EN4XYbH9$1sn{hl9sgR5;56v(SrorvTKjhXB$nSKb%A&85j&?Z8G_<8M7U| z!h4_!y$V4Jtvru?6iF8OsvwM3JciAbmz-?-=pK!lec>(vL291z9Edu<221rS{%AoJ ziOp~jP5I$A2H*#0fVel?r2HO;q_r}9`xh}yCog>X>K zlhSf9QBIeIzUt$U={Agpnup7`4I=tFed;*(emY4Lx)0Y1KerR}_X^ z84$*NYJe&+Vq!ynu~`ke^vvcuEnDnqdF~rA-ARNfm>j0)HAxb&W=~h1%ydr^RHp3d zst2lkt$iNo^drkk+=!zTwuzQt+1n4!K{#eRpXNUARFsZ<^E;JEA-K;HY5ZZ!-)Cd$ zM~KUy27Y&z(W%2qC?sL@MPY}z(4hq1B58sC{0NmRT2sW<>!5j5R_<6}D32TvJxogqF%xoQIa0 zJhSG3G+Q6r13jd?)}J5e{(t&f*3EypNmCA@g8_>nm~t+iFt_6$%Vz6S7;)oVJ!CJ8NGGu?=))vyf?2i)ox^AAcONeM zhfZqpn;!aMlT3sIatEEk-NZ3l0K*mwAP;i0EDqIJfm+>>2MXW?(%^!(?UJU>Yh+e- z_z3E70?Mn=G!O`|d>_c87D0D;kdH!X3F?4?GiCyRQeV*@>OoXbbdf|irsgO-f>CJ& zstR;?;0Y<74_WAxx3zVlee?*_&p(8q=4(zuDIR@SBZC@`;H!=7yidhjO06Bd|y^QBqP;UkD zJkcf)TudQ?9qk8=1K;No#tGAlVJ`@2mx~If(jJi?45J#?*+6f zRs+uvJ+AYNTYBp-w+3&_1Pa9x*NbL|Sv7}P%W zk%Zw)2LrKLJq^q98fCboJaPn@3=iH|_yg>3dW>poM})s^Q&Rd0Dg-GoIwn;0J|Lug zUO*4Sipe?rW=no!N;K4fgg{SkQK~zg+kCjxkJRcV`P@jV1lPwsKF;!0l~xKkAvmrS zX3Q5phl=%HB!#0<3b1< zv5weudXAejJOR5ya@oOKxCa_?Y!;%UNlaQr=I=C5ImwOhp~Di?3&ACoe0-z%lfd5~ z&kh}fai}PsYw!;K58mMq-r*14;Sb*7%6NzKSgh8mzz8tIa7gm9J$)C#nP+m2PV7{1 zojkyx8EWtY3_9KO+iKD{uV^rqC~p`p6f1%1yG1X9De($#iF$2u{0!@M&Q^pD%n84F zShnG7s^#zCr9wmoU6>(U!BH)rEDV@VWymFfsUg@|@{=Qc$ z^G~+VAwI>#Cwz6Io$>RX%B^P%VbJMd(Om&M^{+>N+>8{n=MvOTA@M>y_}H}PCa$z6 zU!o+Kd0)$nj)f&uh^@d2q_R??cFly16e4E6PZ*`e5FjyywE;b_1i7*e{joJ=bQH=$pJ3;r zevsjk+U+B?O%-NXyU!4I`chzMIc~MEkHWXCaZo&KI4?4SabzwRO59N2-Le~r1R{+8 zT%b34o3QKdJE+YzhfxY*B;Y`E9``h*0}n#aOj+b^73vL5s@arYZ+XBCIua547*3H1 zkc{0V6LXTMIrfl$2uqL&Cg3LIZ8nWvEJ2n-m|nNiu%U&S?>vL^J=|XJH{%>^x0Pf+ zHK09++&G_LIH1i^rB0iL%Wf5FzDH|Zt^!X~kfu2JsdZ6&#dc~XA)_M>mAujt(5{Wm zPx$YcP4B~pkO3bnLu2>@96Ac>!8q4j5?|}hEKXL@O65I5B?>a~$xOMy-m9QDvxp}m zQbxnp@L_7V>p&gm!y(O^CeD<@6<d4^N_ zJ@ksAfGu3$&mE2qa{e_-s7PF8-C+#r7kmcTYZTPXkz}zCh}+&&06q7SjCA*W-mn1R zs|!%TiT{(ZB2;}nG=COV5S-RBsUg8yP}4>MbWk4da=WSRWaQmUeApc*AZ{YPAe^U> z=c&VKmN{q`u`}Pp67m5F?F-KKZ#~D{PEA>nWG_U|puJL1mWOgTRlndbVeRaFFQJtI zMYK0sMg6tQ(Z8rLc|F`~-GB>yi=p$?Qr#o_d1 zc%Z^t3pAR1j9ijd32pC`Lk`LW(%Arkufmx4`($b-LY{;3`Tjn?-j`Y2^a%nM=TY=D9cN<4E19v zubyTeM1WjrCa~ng5oeiPL_FRd+7U9`8z@(t1p?T&!W=Cm6E1ZKtw}sW>z>V$Uc(TeT8jq7QlncX82$gxF z#bSu=Q8B6WPx4`L$#H;NfGLgK{I9{b%5CHHGstka1DgB1j|Lv|jnJ5|`)c5BX9rrv zGLSJ%mcq(EoP7hI#$qc7_qhZaVdWc6b87raskQ3SVS%UYRm+pmL7D(-_CbKa=jk_7 z=%Y=GM|B%?7iz}nHBB6OHgRZ+Bb$3uTl_T1P)8H_URsNGqDgY#xd0Us!|NG1)N?IY zcf)DMCqTl{#k9q@nzl@wR((VOrL+X`QXu*wcDSMaSRuVJH>4LQvI$;BGK3uvfk_oQ zIw=%=LiSxfz4bqn*B+b+M9u=VS3G+c|2@&7~ZOiXGW~MAy=Te*!j#Yq8UIi z>9PbFLyHmM!7sZ2W=Mg=~tfSCX#G~3SwnwvCN6HP|@ z%JZFv!;E=DhmX)tV7UOphCTu1TRU~Mo=fPb`BtE+Sybz5y6k#PJzxw$1L=TsrPh)Bil%Wi_-APnecSlXy%K7f-ZZCf>$=#r`noj@nm0O$_FjvCv z>%@uIDPQ0grB)7wTYAQMIQYwSnT4yF23vrb=0&yZg7`kLNiAgNsxKiYu3#ex>IA&t za3EIT?o51u=Yf!^{S9V_NT{N(F)o-6Gt5mr3`e_q9M8fG^Pt(iaY-F62Q}+%veX<| z?IeSeK9mNXsfT7p3hi%_D(u0G-_+9K^R}9066ZGEo-EF#Hf>F!t4F%w0^`?@U0$p# zIq+$-&$CvYS956iIT6T+yJ#u^8PRNn-wokjJ%l)ApaFrrTavt$+7l}y`%4OHR5ij9 zWb_%P!>sL*5f{`qZY*5F60mG5*Zq45GJ_Cd5|0DbuYxMm0(ug=)+5){VF@z+3`#yY z&9Gi6oCWGFGi43Sp1Y)~89shM9_DRM`S?C*c31TRa}o8g$*Tu|U@_1WC}~@8c4R1J+W^cF^Z8Zhx9gg` zN1os8yN?>9bDB<>?&Qbgt30E1%!Qu`N54}bM04PEDWYI zw*-ITZCHxOc2@5p8fC2M3oS_IplO{dLfS*QDnyR`;Fe@N=TBqY51ccn@v|ize%zyK zGLP9XiO0Ak3)0chj>89|=gd@d5k0bi+}08Ap()IKXP7fgq0XN34pNAO6Gq)rsApzd z8vNKgV*bn2HBBH(k?>7f{A2H^ft>0Q2b-My&c|{@X zzX{8!YKznip4LBur$2+IKZB<~gQqJGo=SDR+J{4M)vw;D)(_9fmQUVMp^ao|e~tpsPMXH2p*J;qLy9f7w^!5$3EASw?=9yq9H_9WpE>$Z)%ja(^My>VmYI)KMlU<1E+!@-4v2Q}1k~`&PlY z=MrSm|21v4pL;2eI8^D_rY2OKK|d#z0k-4zhBq8}p4m8&vi-qWGCMVWFF{7^VRj6b z>2^NF#1n%}*b~@%|DfT7-fAg4X|O@<9iw)aH!H(Ar{uH|&RI~73NeKqSQu0GGTD^3 zIP?q&V)v#g&0)}6<^{dti6G=2kUr-_$V8UV>PVM%EI+Gwz z{4k@+)^$;Q@i5x&gqhM)KAg8v2z=@0K*PBv@KvosMt+6&I#EJ?I{Ow!g(OA#?;QE1 z=6w-zr|9!QU-}>--v=`#hy1+zTmdtcmDx22^z7Ck+gkuPvZ1aNM21LGjpk#Pe$z4y zYJN!460=-@@$wbELr0C)s`yQ>xYUgMI%t|U6`V2Tc7gutY%a}@m#?Dkvbe9c zph)#5+}Hi_W!PGXk~fz={%-)M1{wDSpe}(j^V!z70jG*vUjUUrYQN2;2_B`Y0vb*Q zUuiTE*nC9kXadUIpI+5f5C5=-5S9=7;vq4gJGjscqloze%$RxS%a}WCoi)923p?L* za7!;=P5#3s_G9|;^GFj1egb@_UFf{~n=NWOC+~c+;cy0dDDzhqxc)2^NE)%iO$QmD zcOk6ucR=rwF4w-B$3@>0cfgsI2?+}16;!=VOU^!+t|novJ(cQLh^0`4Q) z0fJ#MXK#1%G@#-(%^+@AW*cFMS_@aGaNlbogBzu#pG%N6<+6$)`2iCOeD<;XcwRp? zvz(r%eICwR3#!cMTeC<@W%LS)kW$0ztF>u9oBUE%NY|~RIL#sCAa|yB}^Ab7? z^wPld<$FW}utyy4P04ReOHfC})BL)lc}Wzedxzgpp0Rof2e}kv2QJ=rewJ(rl#jJd-ZOkw8L}&Pvoy>C@@9qcTB;%T+eF%W`iXT(Q-(>3p?pO zzVEvaAxmLFY8RUcDE%?N3d(1V>2K@~O|y)aYw&#s`h)O^3B&MCphY>j(hHTFDKz%B z{3;+?wz?!)vdt5AtB_$`pzx=#l#-wq=Ffy)Vp$gU@NN-uXEUpLU#J|in)h84c-1Qc zIouA&AC1^@Yk&$juZU^ki|Db2R{QZItgG-HvhE31P|GOGd3{<#;snKeFM!wa%hf7A zGI2rIR>XhCRQGaWD_5S!WcpbyS1YTLn59l|@&4ZC~MSf5k z7OGpn31dd_H+UF%pe4*FS5|hz$xWdug_C;(_@(U9=Es-CJz)@%lGEq>MT(gyp;6pS z=D;J%KST5QaFG_q{NNYdUf;eo@Z2}7+K8q(11Th_(cBMjAK4>*gSmfArCU6?GDHqG zD?@s6^W;T(x&a-EKTKAflYHkA*3E<}WvBhHciyB!=A0h&F%KKkz;kYF*wjKVUs`J1 zgbLhkPVU?_&$z-xH;n1YwQ9kRvR^N1hve}~0s#n<%s1KKv7*e>upa?p%s1h?n@yJ) zXNXKAHn(;HnF~B>las|Krx2cTG+X^GuVItEj7Cm?b8_5liTdy?;BTmtZ$;IQD!`K2 zB{QCLHC6KS-0PA@Td)7|__8G$aNH?vbI8w(@c2u5a_wPXc7jce!a*pB%IckOt%CZK z95tEiW8}lWQvTtIq35t3z(8Bu#yL4^9;en7pwjI%Rz)MXN@0Oq$QSV=K`&!qi4OHA zS8)Q*R3nyo{;DS9r*{ygAzk2y*zD^cA6C6kS|aiowL`*hAa!XAY0k+JnWZa0lJ)}<8=6C_XIJ9{* z6{A>!nw5tpu;X}$ZbJEPMp(ALrIHObQcN{dR{1P9Sgb@x>!hqU(xvesWVG7-nx&gvs`Vd%xEKUZsN_JH-AURbzCF+GJ9GmI{me4GsgCnCRsO9BDo(0pS=yX()H?N{-_k zgdE3OMG&kcGz>fdPA*(p-lkK!+ut%Y2tADhG^n7qntDR-BwPEeLQNp>MC_@CG`b|X zO8ZM*6e0N32(DJGq8V()sR+Pk1$UMdy|5GBXg;XBH8i7x>cRQcZXj-va$J4Zpx(bo zN9o9U(31F}DvqX|VSB|Yt-Y+=Euz_V@laW|5N)hO9w)1B-Cn-|(}}`98aF;|_|3|6 zqN>kU5E8xThQrtFG_^%uqZEMJafggAR35pa(jZOlZog8S`dEQ~DerIeRI!IM1@)X~`tOT|t8-abo zJY$a&<2B8itw13s&pwvq7*@KAk1yjxTP9DyJGXTB%bQ1r zX{8K?8gy<{txSTgb?&Ff{g(EuPvid5>*%TziWd7*l9875*0sVVngi#@1}x>bFAwK@ z5_u}@Pi;vmQ3kjw}Tljy9iyrLaT#1 z93UgC}n^rO(cry~_8oINmKtL#XH2+7$#I1GiP0yvD+ z;IIp9ZEXfwP(0?`u!pcD>?L*@I@x=uq4kHobUOH?k?uGr`>&?1JRi5I_nRBRD21Q^ z><$Y&$PMQK@+goFu_4oUt7z@;$3gmVx}SVHH0+`Ng99N8wg3c0ltmbWPWcf99c{7b zIij!ndmp9xM( z)ATjf3UsC29BOf*D5>EvR0IuGNrey~n(;-D&`D0|ZZxt+ozg26P$xT7KX9+rNl~i` zL+vs75;fsFTOR)7|Ng&xx%Iw?;ild}kWw5_A%be;3d|z#3~-aedDod*^t)B4Ss7*? zD+@)WCwW~Mx=j1m+jppOMz1&&t>7D}(1L+1fh#CCEu8k^Gx97hRLxD&(XFa21yxih>scI(DfSLY2lt*5&?wdp)q1 zZv2{SD=uzvSRfGA1f4mnXogp3;UAv|=Az7QQ^;2@;Ce{0w)r&P)7KHbkO`Tt`4hfsC)l zAcuA`NBc02%}=$Ms4>l^y{}b>`NSBXpt4{3&pa74@Z^dGMOlhQibY(0i7^R9EH!%1I=BgD!*m`u^WFiQ{ z5M(0CZ(oJMa6Wqh9P&N#s4yzt_XP4{Jq7X--hMi7wqX|@n@4W*e>(CM6Hc|yBgorHemGunVpj;NeNa@Ip}X_FH))Zsj%uxu(U0ln}Phhf7$VF|K0 zE!rGZ5*toqJ>X^=zd|bAcN%7X8r6P>nQ04A<~CJ+4eoFlh24@v>be|K*-tJ4onCrQ z#g^G&PSVBaV0TE>zGVyC)dP4zou4jnSA2+Oe?=NyR`@HTWkqNoaBQYjc=sXXbRg=O zB&GSe(IutSmXwBTU4;y9Szo_JMK^~mY*M+Xfni6xZZg^xx8}jaL|OzX1WAzTogX7M{z+`JWl zfI$teoWy2f&=rfIVx6f<*{wp(<02Fz+MW0=U?T)Wr%r-)>UGUw+rb^AfG|0x za_Ca@x+T<}8+#z>bzPDGjndJt*R^fbvfEK|P739h1m0ZG9*G<1pu1!G7Q0o*#JMa` zrZD!(y8U#5nr~_!l(2EmQh-&?2U-Uwajs(PG{Bf-;3POoguR6U#`mo@evMU<5o4BTGxmJ?gy-^0V0Q=mjT&*gjR#_V^k`v;xN5bqSA?+>@Yx2wiag2 z>?t9{@(-&G6E=DIfbtEcZiepEPcL?N(R`k*$dXBh)naQO+zboxlJa9=#y%&Y z&SIVOpmB7N|(^`cc89O+H#6U9Sjgf~QiKj|O&6)SBV?;t zBqW&kOex{zhpuX3>JV)|%*m__zG-l$XGOt54+gSU=~p?Ky;34@-#l_G$F*|Hl?6W( z`4Gn8+wWs5d4GHv@R}NQo&#QE=E<8BcpFxvy?S!n_RfJI)(i0}pWKg2X6MT2*hUgl0A}FS zPj%r7*!;_O4#V{OlK12o>r}F-vJhUoNJx;CE^sR z3wrgQ%c`3Pb!DS(YpTj^sdW`Mh!EeebJRz?|M-=fJfH<2cO3 ztdb>a;9*mdV&P~+=EvEw^OgD-+jJ}+NB1MSw^lE*ij_|C1)^INLl z@hhgSb89D(;PyFf!4y*egJg+;Bl0-7&GS^U=)xaLoP;RS@caX%A1by^0nSq!^YP+c zVAzrG6lRcvvraPP@O`Db|Ni*RkTfO!4eyD6_Y){SPdW$N*fQx zz1bNm`%kfL-A7{czIi@jn@FAt&r8~nEj@k~C>`3?!*rX!mRf~+loB8md$z4ZoSSVo zqH}UGd$Dlx2{S>QVV_*+RU-N2^C)v*fb_$?f{A}Y_!Ad8`MNtQfbs1&j~1_y%r=|I zCVRgI6wrC^$3~KFi5w>%<~UWIjCvs<#OCG{o97^%hy`jFs6pvX#~s-{hAt0n)6Pn>UZlQ zFawQMALgZg&2DfPbZh*L#v=j`se+NMS|&#gC<-1!11gUlT$3JaOcSg`yG8Ce!WU>u zBmHmSz?W7M0k3=k2hL)f9PCa!LD@ZiN7)Ul0Asdbn2C?DrXQix3|w^}jsm=7=%cHV zZ(?`=#yH8x_|@9|UDS)}0Fjy@8^nB)0m|iuX`33xD@$s82qb|^Ny5B=`apZ+IZ#>dMS1JC51|L_dx#uT6%b6zKH3bAR%%y751GtvTUoCcs_b%5_eUJJ)*r z<|&&H^@qdM+joij_s9Q)>j0F)p-nohc>6ZcvvYdn`Sjb*H9h|ISMAQhqfZ!3a=3#( z7lihDtfFzEamvf$2AoEbJK<*gq>;>9V*tK$`&_#2ll;CQbgb2 zPIF+@(+Qvwf5Xl|n|98R$wDscL1U%nZxyljjMM4v|NY^s+Rhjc6~?+AS-KBxuLtDN zmb2E`*0i8d;~xPe7N;Gd<2^R=Tbas;^1XZQf`q@_LYe1r)J1HyS^sw_QHS zpO6d%G7nt`sm)vJ<%9#Ej%i@1$-W>o6Y{F9;|9Pp0d8O>S`BjLI^|+l!6$SIfd^$; zEhT9+K~$xg!I@@Fbt?;30X4kF28!BiJ@PNi^p;Wv=%~(cUlL= zk8pX_#q#5SLi2Js;NyfnRB;u^3One3v&=&OA=`0tH~2B5-LOHc#AQM8Z6+ByO8vCltfe+`@gJI*x=Zp4hzOf%{ z>n7+)ct5L+{j#K*YO~@)GjIa8$OowupKp-+5Z`x_Y9QuSx7RZ9=DWc*j{a+|JsLO} zA?@Ns(}gLhpRLuPYD0e|@V%p6krPM?QF?!s(L%wBl_I|cWZD7tY&-jItA>OMjB9ix3-Q|8~V>1 z993*@vDR=maIlEtKtm%>>f5zN!Z!iM()M`?&SGL1hojHQlSJnRlU+!LIPsV}m^Qx2)EA zDY@)T?xE68`C&7kgpVkvLUpCDh12dM$jQ!>ZVTY(^3fDsJ(|s+hc;Sq zbeqZqX)qt)l6iWlz-PtLZE9OPt9|?~7~qLJ%e`XQzGks}ita4P)xr>VSgdl!YVO{~ zirbPngxmGjY2Y`=gKr32p@70DqlB7RUr$0W)WrQG^pb@AjiK@VP^t}ikGDVO9_4eO z59jm*6K{V@6U=jLZhvb*IlXT>!3c@`V!lU2-<{w_O92JF#R)U@ga~dm?;+VyDQ)}K z2zy&j@cFoPkdZfuu2&TN&KFwjLQxeDT`23gRn2U8j3YdHIX?%t^cZ(WO$^PbiGxXQ zzFW^;1N#%mlC0_&YJS#+K*L91b`1PbrwQ|*u7V>Io@Ru{d`IwIIsW}4sQoI6!?I(7 zLhDVzsOlrMu7bOotH_RJqtyAB-Ut?@l7BHwv(A-4QUn3h&@y%fkDM(T5IG39)^tqH z=Mt(-5uMv{t5pGT5^o^RipEauTh+0p2`}Qe*Dn#JiY@Z9-y2DNe9qd4XbOLrvRxm# zsA911u%4|Gxmov}0lS)WOz;u&6&iBFLxnaO#&qA`KqZ(%s0Z`#agEE#Jw3-330ZEe zDe@~0vrLi?nHiOY!rOeX=5uRU(=kBE%3ZZ;SoRaB*(o(kx8xYi`Q~TpSmSQcg6cG- zlU?@)IIsFN78Rz|E{R`Mo~y`@${#_k(r~G9?oY+h>^b1QhYP`hajvZRwtTTBwm<|y2;r3pqpQ#FZ?RDB7#=t0e! za<=9I=?S==v}0+$+hp(wRD>x=6TZQ43a1^x#)sv>xO5TXQH?;Ns7|Vc>r9SHt~KpKEB%j?USLxdBa>LJnPL-=BGzDyVvGWUVbN@;<4crSFNJe zT{FGg3JnYfvB2cT9>i}ZWLmwx#3u&D0AxkE2HGFkXT}^u|7iM>B5HGygX9XJ!BF}4~ zSBwM-DK8Ltwm$1_6*AEzyj7xF<}}#k@m(^LI+e{Efad;9smqU%z ze8t|7sW0KPE;K@eXn3JS1|xq-lOfZ1f42&GJ`U(2o{0BrAz&iw!^vhNtW%*cn=jpx zbU&ta1-DP^a3&uhI+(8D-M5fE)JJZH@I@-5vw)bx9KYQu`}* zeP0{jmL~RY4Qd9ON3fk6;&G(KTA(5#m5+n$ZnGp?8(4}0QEN-O*zq(QAS2&TFUyzT zl9$gpLjEx4=Llx#SJ*;wqKeVY0W6Zgl5vfb*wP1wx?6>eg+%W$(bIghFvrO%J|Of$ z6lheCg2k>Cv7kaZ+lJ*pPlb=*(DhsTL{wRVY2za&`BA>&K?S{H-98!gTDxsHAJ(Y4V@BpcI&8wk{-t}%3a>w*Am~v+)+g3SL z>?ie>I}B@)_Kl|_LTb*^)x73pR}2wUdOL;)_vATgrn~&1z&U2_I>Run6NgIn13%1j z(620*-I(S%RjZJNOklK&OPj6Yd|S!kW?*}Hsb9r;ds1$Vp=l5OiP*Hy?x$F|-I8R* zChxafh1wFp_KB@)BoT#{06rEORhZRwYIn;`Ci=>y`^gt5Nl{txwP(JVQjgs#)J`9V zYq!^L5t(YoRZI|!iZ3ywUn1XR zn*8f3NDiy{5;Yf|dTaA7y7a;;OwF?wo*|RntwF9$vy;(6yA0V14mS5!r|z+CixazK zuU(HCVvJ8N?HcKVVv|G0>V3S)QGR^&?VDPzP$-2RknaM1R^4Y@2uM3OqJbseSy;sy zMl=XFvxG-jh3ro^a0@5RzWn7drVT;`JyPm4jjaT+WAnSvC)<*E+PnEJyVUh1pLdz) zssthT`4Us!r_b$mC9&V{e?Igl`~=xPJO>t7(q;W-Dcm zL@Oo`5Wh@jLGd@ufmN-Y*YP#5lDJn2te7<{$>Es|y}jKhkVjdl@4{#_VWUSKv`$kX zFSFflNyOwVtAd+!OOjXHEGxDs<@OS34=5~aXb*t4V@HAZP(whe=oQP=5PQX`#$Uma z6Dh`#Vb$wXsEta#MS}DytX(0Vo%l#riD#d8yj4mu3w`z}NQ}-0DEi;A(+{4z0U)|t zgE|BX-@(mmlFk)sA!Jx&8qBdcI^Rv-J{1|B*tb)~;Fqsz#nMC9HFa>CI`Qw+I*l^C zF=T@MTNq5C^F@voOp-c{*L1RW%#6dCo0F@kQ1A2lLcQN<=qwjH4zn_WAdIG2Bx|P{ zves3!x_KY1H}X5mp?7xp7M&&ws_#Hdu&3$-J2oTfX)H7&&pSw}i%0>7n_WYptKF$B z+$=WFjwB*feid=ndFV(Qrp}M%g^K=+1PA(=%v{cCAB;1etv!?!&(trL|sQL(Uwi*@FW06o?t-;92g4R zSQzzGNney-?J$hbce~Zr#oV^3Mtr|ELwL8%w7NMBY3G*U-0HnO?Nn26u%=_8eoHwh z`Q{th5}07vA*Epza%N^x)pbc`W|b8QYmn!{3FWd%j~22b)T0fD*G4vt&0Mv86-CLD z#(I^HGEYScH|i2`Dv!pSBhK#K%5z@yG1=IJknedBNGi%0L9^}k$8HhLb6$1^ES#V& z6f?ES89}!Ii-B`C<=3#Lu#Y*WFg?~Fi!OuL1U?50+zYuQLKHEYJKkKMBO%Z609O^% zKtYp>5O6fN47Fgn0~D81tGH%6je2E{H1RqI3CDuhA>=d|%R!du1j&%w z9>9?>pC$CAa9CG1{KKAstx+eIwA%h);vQMj=c1s<4rn<`jIMPoB*R&ms8AzkJ&l+q zU#0Tn%T$d|_b?<{ zqn9r;M2MBP?okM$)WL8x--h2=J-xn&Ui~N4HkE!A6Me~1H5Z&;(Be2azjRU)QXM-B zWBv-l)M3ou2}iCQE@oZw3T4RjdMbvnql|FE-6~|s_U$#yM66(;cN4KyuMMDH-c+jF zU+e|4OM+ft0Zy0}=e;|cs(U1D3C1~D#Z-V3Vj{{_Y{_qIakf=HKguzBK4A8yb_}aY zo1~Megq%EM9*$05a$X}P(obI!qngR68KF$I3@99VE z7SY^`#-V^vPYvg2ehpAnoTG~Er1o(M)I=XQBv5|Hho?N#rPp>+Yf^{_u{y@EC69~& zF40FjR&*#jHB9B=2wlR+N*F_8S|CM+>xJyE!*8ssklVg7$|pVb{HYk%*HaNOtH$oe zB;)51Di3(i3cr{G-m_YWF?Xu{;~RL@tIdbVG@--f1EI~Y+4JB-V`Kujz=y2XZ)Q2k zunJl5Hc^3QwXhbz1u*t>NfKKlGgRz#s6e;2t}pUeK>Q9yYR!)?^8k<|PzWSftUClvBW&&8+--J!0fomNE%5b?<(b5gziWMel$?0)8*sC3Hum!B@ zR(m^S`z(qWVb#V~cg7k&YO+c5th*VjSkvG1I-cqVaku2Xviq3d-=N2{PX;97Vv@t# zt)f{MYEHP+l4uq7$6?*P8Owqk#ugLXj_s$$&pQwgmG7!inFicMH zFT=39ud%ii!h4;dgGbNs@Luh#)bL)_jCz6sFo#rV9pziuE`>SX!nf$Q$6F0Z4j9tH zfQJG$xFAX7=;RuvnlUnHGqF|_{#z&zRjX(f`14D8Qu9e5Ct2yRtxC5kyNbWoS#5Zc zAn1}=VL{4<^Qm!6e`8d?2%kVUyntN_NQGz{73NdKWVzRL;8AP$*+_OFUYMTcS3yS5 zl5V7$Rh$6BoqtwpW3{)?n~5lo{oN`I9A&bH^W%xu*Wq}Y_Qqd>+>U0@=AJm0%euu8 zuE!qQ9b}m*2_+DLD(QhfNPRhLYSwHk^d3vqhvx-P>D356%RM}p zIFyb=%g-5x#JdlmHVshX;Xa7MUj>m+xS+Jq_{yQE)hE~`D*X@LzicRiiM)S31xB>N z;}FZzSO7i@uNOh_HpY>0uSX{O6IvswJf1uZ_Lk&@<|AoDq;<(D9v?wfS)*5Ds=c`u z5Gw}}_N~hv8suC8;BkL@y;zHv@s#`q2}uo?xO{-+$A_@S^7`^irZYR(W_WyL;I{ZP z=p$`pLTg$JjO`Md zW;`ol!q8U8FM`?%oPt1G!Mvx%7AA99RwYVpwWLSVoR6tnh-l`y{w_t!X+GRW)&n4; zWAO6F*VJm*3auSWbEG1nZ`QP^_v$4p8!fHqJ7!8LANyYwLT_n}&`K}$RIv>r9{XR; zC6Ul8#}_HkL5<#`QF`D0>V5k0dbX;iAhR;EuHgldOn@cXTtj(cy8!0u@%Nz`IX2hw z1v&EhV{^?`jf_t34MJScr58Yf1q#k3#h%0C+w@W%;YS^rv~<p{-bKV9g=`3Qy!!&IAa<2?+iDbU3#TKVx)6Udr&0vO<2a%%t4e1PSS8aTEG zGJ2s1zzLX=8_Yc3l6_P#@?-{gE)n#mf_!&=WhY*AHHX(#RBfT*bqg8kwvQ7ae+1kC zN)j|B+m38u^A)DHq}DAtj8od%%Rm0&k{j4~g$?oz_VFUV>IBFn=Y%}Yo}bTu1Ij$2 zilGsmIf+w00$a*Ux`hfrq&HPDqibgBq)pjhNa7-BZ!fi9^47kk$F(2yiNQ zH7fdo%F~p5xFyBDHGhQGg5UxN`SJM8DfRCkf!!Wb;qM3`b2_#f3Xm(PQ(@&iPBzHZ z==6jFH}avc{+kC`oy|-MvzkEoyhIrL5`@*xP*CZ0rKt@@AjaoI$G-rX2?!ZxRQwiZ zg^REXnYWOdUsG48a3E5NFxr-wfuLa(Do{HJoq4jd;Vi%9ec8gq*KqNHOl# zpz6LLErqf>^#<|*)&)T>2fhYPl4~Ru&H?kQ%sp#x;X#E@#gPf9-cAyRtJ?co50FsY z)Y-C)lqinxXO~0+>nda}4=!Kyz^E2cV0vxIx1j7{CsE8*=BpvJ9ONYPtKcM!mppo4 zo!DWktTQ|^w7g!*1-l9$7^qZ09Ymw|WmtpkeHotT;J@w*-j`cads8;16RT>Kd#av? zAnc7)F!hI10jna?HnuKV_&Tf242Gsy$(>>>qtfjGDQX%_Jsw|;tSw{xG$zHjZ-t1e z6=>5~(9b-z;S+-7W|pFQHdR%%>DxHj%prRxSu?+y? zl@VJccOx%|Et+LrIb2@IItd)g-^nBk5L?%WEO0-?t!-_70;25;DFtHan#NG`{+F}U zEL!)x5pzK6)?5JvY?0gtz7V$P{`7xmko|q*%QTbRIBKmhq}!6nX@7jV36D+eUITv( zCYB8s1yol)w{rU$fHcB$&ndUVfzOX?*NDc^gXX6x4i%tq)$JmJ!s$v2|FirjASj#> z3!rc-Pec9&`OK%F+V`f+=YD?sK^iM)CM}T0iquC8p@daqe&9y%B=b9nisjsOP|mYq zTJAeYz5xum13NIiL<{7nH(^?Mf2Ara*78ec7vLUX5`i&hz5<{r2S-qlPBWMAGBYJ* zYzj2Vv>|77sd=1$)=B;`2dZFs4t*bgkf$}NA^9a_J9Jwb=vt#2x_iOdHVPE#a1HO= zm5nFh1GvVB2Bv3apDJKKG<`NmY>cTrGb56QMBa8M?)GMvc*tlA^cqJc|^I9n%W(C^AVG`xee5hb3%XDp|9JVWO_U4V z0gtUO=b$KG4qe1TANoyQ#L?*m{1r(lW3vb4Al$%M1^S58EVhzT^ysNa`xy*m^&tqrY9(St^{H_8;>CmHE>J{^k^a-O=gSGEVdG8jOm) z6-!8csFDueH@r|!bYhRGhkCO^_aum z4sen}*$Ce}HbA?Sjk{H-NEBQ>EM%#UQ2@Z9Wwa%ULroVt7ixlF;xc^=YvqPQtnm>D z^ZY|-X%362F}r)K^8JGg9Z$5I`DAxExG$+i+Eme&s(GuLBNtqkMl_10w|dSw*i_yG zMC%B*2rVQx7dQt2kwF!^5H%h)$bEK(+$&3!-6%GiPjbnz86{_<+rx%KgPN_N3Jd6 zCvZ1-8L`sF9}1N=F#1d*A>(1~TFODl((LGsdRGtcrBDm^cP|e;8-^wCLN4D=uWXTW zo%`#)cP`I4r~qE8o}>|Yjm=Co?h4>Ftbhr;HfKO;fA30{@BRGB*Vlz6K7)LGuQcwz z@153p)s=Dw@e@Ro`2*@byZ>YJ4P_XFWR1D=eg8LeS9;_QR@j<8T(C^br}X;j1Y47x zWr=!Eon;HCchtaaiSsO(D}Yw+ib9=x7i|1~0$L#pmq5MClN~B8c_9UQH|kw=h^7X} zjT#^*zzV&rAW1~vCUPKe<$cu7b`I>zV-Wof_N9Ie&kc`nfi9Qo$G<0+qshEm4gP)K4T zXKWsg$_>XPU?^inh@c94!@j~zat*`(5U;gpGevO0rrGx&PTf-a&ui2ZG zxSU)GhkU^?a-A!{zRO(n{`hJuYX+3$K)1;ozQ0R=<^K3GqT|!fkmnQOTJ-f%417V@ zg3y0H`T|WhZ~y{bZSxM2^S*;UZAB@TW-Cf5R?NEP18^nw`k+63ocx9t8Aw;_nCd=lUxiK20-6rSLMM=P3vpXK!N3&Sn-GHM%c z0hpQ&w~TYq`&pFlQZ%?f1+J>V=c&Fe5uXR3FY$T#4Mcn%6}^Gavkn-YS_c!KcT4k` z0+HLN@zB-p|MC`U?k#@`+guFiGMfxt*#k4IP>5NkLKW$Z3~)Qhx(;XQ491F)XS6{~ z*s4hAs5vRh&H2}BqHKC7Tw{;7B65`2eQlC-Aq2@`(1t8k@@S`@P*^y#Pr$PYc zP2kAU=@t2>kujzE@gv~KTc&;-%|5Il`p799N|=K(f3_(ytk7WOojoUUxB2|G4wEhc zFxRsO7xydY`Y4lZPZz631EoR-g)(uEBG60#s-xU7?9AL5h%X3xZd^QbrGV zg6;kto!$q^%p;38*t;Y-!2H*jI^r_`jyB};C8`_0~5mjLtW6#yd7p#%3Td%rpITww2yPEQ@KwRxH= zm``n<;t+Kh$FIMJn>q*!4@8RlIKeqDpF=Mo2!dR(#VW{{L4;MP=FY>!4(4kcG*45G zp8_;x)N)q6im(P1o#ete4`reAL+I`1b2)Tw(ZS%SvAHzvRv~8-Vf&1{o6up%k7Rb# z#&9VD>SxYK!z$Fl(ug1_NWX>ex3io?gT~a@`rrII-It&il|ZSnQ-Wr1p|=}81Ba`e zZdmnae6xC^fDL*RR_yuyWw>OjtIGGEM|-lH%9;QJaf@Hw^Z8}dVH`;WmKA!W1^wm_ zz@Yx=28cjgC#$|$cv8UDn6$4d?gLeN19uvp#|2)jD|x2jBF3EtK?O5h+kZrU5>@d5 z-eJTC$pPp>lgZr{Qm0HK>_*9)Mdg^^LIA`?=4`wRO~>Hb9FjHIHzNLbUMQIIhoj9lt_ zP-#}h6!L-qcc26c1^I_c*xpaPn&+*mhV%(#iUayOZ0>x;NKoHIw1$A#D~znwJM~H< z>&Mn0#S={FDB9?_HB}tw)qOJ6d?9+gO3edl71A-RehKMp(i1PX*a^Vg( zrUUmV)GDkxB^OxH#ROULnr6jT#GAZ@TJ&&eK6ltchJ^ANg;cc0mQ<+Yf-5Y40=RkT zWE6!R`$QqJ?;KI&92wyzE2xsf0|J%Rj?ZR`Lf1#0XUWllNH_WQYpneWOv{4ECCVfc z>BdenNt@cT!M0%k@m#DnHd+tTGPcX<9$Msm0XV0kx0rg=bV8%v1N$emb_e*W(jGWE z8MR-o&(Nr%kVuU4$-P?Wcn3q(hX_eX>cFQqHT-sGK<(Spp%%fKk>lKT@AL()|GZy~TF*?B_5s{5^q~ z(Y1GIKLy4sHTPv6%cqsi;N$mW*8q4UKn}Q$Xp;hEQY5_)3%p34Jn0e(7 zz!9%=OTupRCum0UUjj?6ClA+p{AOL-3lK3!*F4rrQ7*s3qtNdz&_F)*_z#dyW+L$r zx%b2EYpJ~h(o%Llh~XG(!RMH2kn!xmwg`Ck6hbq+Xb=TDqUP4 z^KqZ8z0%8OtnpzmbB;9zYcDFRSmE}Q+)ny5{VNwv?i;A`9!gWIDdoXCBU;+J z>p`T{sL!PaLCzLe8VMs~$^%tB#xE39gXFV09gzjvual1K;)seCW(w$%uw2MWj%eYq z3bV|-e34#Cqfw87IKSg-C@H1^WAgN!@IdzypmwD%mc{fMX%t&{Z<40FKYp{a)$;oI zzo9F3-#m6W$1f7V<(Cg+)yVz|YKeSL1|N(g0gk|}P@t(53->WNda?Q5T#SBfnpeQ$ z4qtzbvX~Frs0jR|9=Uuq&ANq}8zC2R*3GZReQf@Tos83*VOV1GEBwZXPiD>;x^Xc| zKr(>-$24)Xq7e07Gke~0JwvG>9*kKQ}I|u%d^A*`@Xhhc6C@mWLINElTY}`$|t)TSW@%u z@1=)Xnd5x|>g8ZR!ubhLgc`WFCJKny=+S?!v>;#&HZFNsCtvf>YH-TQt0a#GcMD7l4 zZf;|KE~sbqO1^QR!#X~JpyN<0kHAz5i8*nX!2Vb!^f+khV2W%l)EcyJu3&57aFVUn zX-q#}UU|H{yPGMayf7=8^zSG+cAwxQ!s!cxdiZSMJ64q4=g6h8vT{m$W)8PzSi-Pa zsg&V)K57fVoAZ|RyxX?*I?wX_AazLjMJa&$d`RR9-LVrD{N}DyqqGZIaDqScWgFRukVS~_g$ejM=2psJPQ0;^P2J|n0QTKQ435c z%q&!U0Ai*UL4>dOuy)XtK*A=UR3@@ zGEI!i2e_By$=l{FH8+ylpTIM($yqFsW&n(%Jqmr7%vpila6=FkGy{S3AzyCkgYO*V zoTh2!0u5#2lrSZ*Y6i-W&qV!T0rv~&R5|oBb{=}Qh0a_mverqkagZZFjO2#{Os0U; zNcGZ|vCF%^pIdcQu@h|$j~~MLk|#_~;78)|;YVP`W$SPM9Y!0{dz(S%3I<4ut{5P3nYK*iMgWN0XB zoly81EoiXcmuw;KLOU!%ghOB9A#q{b{4(6PB@aO2ma@!@dBA-O%WZ@U>E_+f?gw;V z-zI!N$YCE$;W70u&%gYrT05p0uqpE3@Tz>_0iz8@5)l6i`v>zQ)F70QvdSLM@(2$+ zn>E=#zfgnRPp@p%x$xj6+yC?MfI+^wE|xdQ;D%3Y-k+adbyA6fbfM)#+}qjHiw-TW z-Z5+_8{7Y}{hK=opBljDdGfW92aoPBenJ=znhB2t#JG>U(cKEz*C8SsUyBc027`U9 zlI6qOj5d`O8de@=XpDnPkE8h5$F0@tu@m+i3XpO;!<8SgXxA-k!4&=Xw%mGNOy zFSf=2wuFlDMW#AqPD(mSA*q3B15N_zBvy2+d@FsvHZ5bny?;W#H_^g<+|XJK@6?4+ z%%cLbwJVlZ$U|&ZV-Qv`I64?vTgM!W+H9OrtFv3@PxIXOY0AitZ=skT5F7TlFGC<3 zhNf?m*wkM>LPMe{Q-sT4G{P(qWuf`xswG~_gzsHyQ1{1g#S6q9!F;y$BRu6WWU`7` z>2WhFgqDw+?-Dh+Kfc`Ox(?R!esa~9KO;&e1IozH_#w4Ls6E(p8z?6CXBX8hU7Y1T z{}3+I!DdwbIDu-F+!DP5Z!xyTLXYCnU^70xjM%wQ{JWpPUg{E6V9m7GU-kG|#Ljmz zyG}!Jw5TO=aB`0JJvbR_T z3L*5e%3hksEf>(}yKjSLnU_z2k59%es%ilHadf`fM*bnUfL)UF`~%b*MkDP|e*M=X zZOB>AhOCE0JxZj)*-Z)Monrr>KYh!+o7xC;;(QMJ#RWz2H1HH`qTY4yj!y4lAiejm zcWBJrf0$=rvWHXy`){<3sqZ^qHaWf}A$&@kiFveIl%pT&ShXzLY1HPHt2RnhF zB#Lw?KvcZQ4b>bnNTQ1K1uW!F;Ay}rX6XSAP=ym>H(-0+%B9Pcpv?ny-v(J*=e2nt zBXF)vB0XoZT+9aC4e&quqyZF=7as@-5J6K>#m&}wy}$ovjVGlDe4ED8+B^{Zl<8|R zlab&4l^BQj$8YvnxB%(uzIj?jTO{7boA&%J!kvDo>FwHHMWpPYd1iU3x9|w=cI|FNC7GKfbI|aIK>&j|@Mq@&7%;{|RLM z6eIRC#E5I%E?7@R9mP;jp|w--SZ94Dr_OVj#-c|=G2-*S#!-yeimX}H#@@wZqC8N@ z+D+%{@%OnFsktx-LO3=w7)>-yXe)n%d@eH-d7;Xk<#+9vd zSM}3(QlTeSTZ!N~AoL86GR^5|NE-BF5au{%nJg1M+x z8B}Dt92&L1VO*$|b>oP)kIg_cy@F*ux~OCbZQM~EHc%s=Piw1Un2$^bpRuN`S_&ni zh&+8Y2vP>7$>^jdry~{<#iO8*RhL-4Px9$Vo{MQpM@pMO!^RFFuNa_26=y6J0r@Pi zP={4VFCB3E@&RF8Uc>P?L!`Y+K#(oTFlQk_wO$_CC#2RYeWTJ|sC@s}3jy{qphtTP z=h6!OzdwoGHb7iGD7+P3qvK5wUL*364#)$iPODa+t>AJ7Jl2#?Ent9wfg2|*Hg7{L zK_+M;RY)wFOW6@%b4vogv5PF|y_<>Cg0P1mKvR$ynO-AMM9y}}PgOoNl*eNXW~-5+1> z^Iym@=DvBFX|untBoWF$ucmFwVO$%c&zfHXg%A$jAJ#%#&N_+x;TH&ncwPp;Toc#OKJzeh;VQREAnzw1Yty=KYv=biv+x*>?+2T*PyHmcppc!_*!a8R8zyXP{NL{0a%g{qbcM zyy`{d-qy0ZKfbJEcAe`B$5$Ow6Oy-? zWj_9Fh(E;Jg^x0r3z%je=QZx&X7jeh@Td6rmOJ>wUwj_dFF%RGW*%0lWD|y2N)@lV ztW7lxW5BgmOM_r%2k?i(W4Rl^b>=wTs!Gm^|J|d7v!Sb(Rk2}kKrjI2dFQg^k?tKO z?)+##^QWka?m=e$UhA z@oTBQEt7teyWva%@H$sF9!qaky!?C+=$;^g;R8k9FL_<^FeaQZ_V6zJ#;oC?C*Rk} zUhxHFAO~=wQ|@toSORJej{OjeY}wQ3@aR$)k0>x>O)Px(e*LJ4jU;h z?21WkON`5Fm>^+w&cYU5_@6i+j>i#~We-(@saYYLz|nNH{zF?LloFH_;%ujfn_w-i z>2NlWfWZoBzFTtV*OX@GOK7%K!xgyG-_M@Uu#rdyL5W&psdjSjPjYoRv6u{h2nVy^ z2}j&1RBFOdrwVs^cE?U~;+y5873^Rve6+Jq&}=AlaKz1~UJOR`qoO0OX}paksB?k^ zU`@1a0rbzqC0%HV`Wu#j59v)!Ml3;26oB=qx8$Ln5|XvSCZvXUDl0&vp*bY)v@k~<<3`*1;x>h186?Err#Ciko-b1)mHOP(@^9L8b!pJ@m`Rqhf+IWjBl%HFYP`=x6HNRtje@+X~wT(b z-mznnDgYGBF-$}Mii$?grJ<9~_9qmT@(LL2TWx7B-6e%zXN|X^S(T2KFBVn0>Lz;WBdB13z-pUNrhA9{GrNnX za0yJkdZoL4)K4@szmH%dazoWd9hDwf71fB5(S#Wi<^XvkMw*B)OU3m# zn_$-gh;`2#moHx{-^=+ySjWQ7b!a)%10>63WP8q#&c;eoOW}k@f<_mqrQIrIHN-^x zY@gp}_XnaWJ4B#c_Rzdio!cXHiETI3<^`sF(jj6mee6*Ls4$d|&y}jSlB}bLU69T+ zB*qfDz7@y1BI1kbPc+P#&{{z^v><1Q5SwOjHoI&bhh}IW=Q0)0KIEJ{(dbLqrK)x7 zuCVz5%3#0C7i9RxBqX7WJ@jZm%ck)p?+GfusAVRyLU4Qx*uLFSko#K)To#g_3V0t& z%81+VT#~a0C|6}EyMHWOZzE?-te1+YwECsR!^^yIX6_N3)$-Y8Ujeo zuSxaJuZCv4u241rJaxMzSHoCS{AB%ILP55Ux35Vh#^5~QaK=Aa@vGk(Lri)Id022+ zg(07J*|+LL+iJpF^=QRS#!CIU1X(Q(DMCt_D#&cIm`}{A$3AI~%$Wy|^`I15Xf}kX zM4_Y=9yPW$`u8^wuPLUUo@&k$<6kz)<8Wf0Gy!d99c6qkq1iu*(qGG2h$L)=vdd6A zs~Jn4o@F&c&Is7(qJtw+Xi2bhNE-0ksf+%-1oa>gnYY!SWj0>;5f*+GTSR^IBt|u& zB05G?*&0G@9#s~&*VDvFASl5026U}RgDqoAEt@=w=^pc>QN}0gEyk$w#9W~Z2^dR| zE8$2sO}2S~-4lau_>Zitf4UT4>Rw`aVVBgS-;l+tVE_4nID=3Q*leHhA!I5C5P3=J zJPvut4Lf>FFOWL-n9`5(Y%Fv;kC8yqSZb$$`5!aPp14|#) zpFY`q))OtK8o;h_APekDelsx`_m%F%W|D{d$d;(Sh$_0^`v8VzI^3_B8=Um3RR?$% zv}boiw9vRMcv-D!u0+0N7x>NCjIc&GrlNu)sF4B_J#g;3MKn*KK4m+7HoH+B$$wZu z1v&vM@C_?CI}37G?*NX)-CF?1roM(t7w=;%LES}pfO0{P8si97hxtK_t^CqqlY*IRKhz^7vpz(%+rC!<=)_X=bZJ9=CP^}|AYHRL7KyxG$JM_~mg zw6fv;U;lEos4sR{Ly<7D&7Ep+na-!$v&OZvvMyX00MA}3{K+HZu*dL5b*W?OyHWCf zO?K%IgULjtFp~RvjL=l{7JHvZ&G_sR=x#L-TY=d}bzh=%I#n`vxF;vjQ2hupO}0(v zXAp2k{3f&>S8Lb$dkHd;BQ;Sv*CeKQ0`*V%St<(pob)snPInJ^L->6ekal= z@hIfwv5+y2iI|hIBHZmHdBBK@3Q=-rE1kXHVjvB_*^=K_SJBK68|v?eDIJJ$Oj=V^ zofz5=!_&BBtrbpT!5z(n!XH-V$%a$?i7OkLII z85I*yHvrB8e3YZy%O+Xy4&-P%JYOmOyrt(ELwz&6|NA`4@O}tz;c-~G=jWcl zGe_?sjL@yX`0pji>GL6~o_fWTFg39GjxT4*J)aAZ;VmiYekZ+@O?rTe;^iiYAfA5% znY0Gws>8_eoU}HiWsfy|elDSP9>a6SoO&V3W9lGd`yCiH9fIJF{ zU5Ka{9uPxV2@J^yMLqJ)b(kKu!CKx)KUNM`@ELl{hrA3I8u>wAimI^ywxK(dPp;V- z5si~Bk7`5i9BevJQ3XO*N#*v~Oa`N~hoqsGyF8c>roiw5pA}AzN>;Ba;j1yYe6K*gWj|ijo__?HyQQnJ#PTb(dbS;fSi7hZDY}SoD-B^Q6r;kvtEO zj4ZIE-6G_vdzLfQf^4?}2EX@`+G@)d@)Z^fBVn7p^d7#Kpk{5im}VxWNWP=;CO3oU z0^~VTi;jLe$pcER%9i;I;C7FVZv9$n`*T>x55zCAp}5r!l&mIt(%Os=araztIYPCyUZ&6|$SloC!4*Fe{EG*fXr&=Gig&eTP4% z=%PjCxD84C+t5p|=ctXOI1X7>K1ty)?Er-8 z(UVc(XjFoa=4ff^`U4y-T74#UN*6g-^>U0*D1>B=Wea>--~gwFJS+bh{#s^Pu@5b6 z@)^)wT?%(Qrk7MTK=N+nm49y{we}`CnShV;!5i9_Bk^lMEcWA*h8vT2gmv@jYJiubR0~eC2q>nACIqgK7iyM$0R5gpuLo>VbMJ%zcFnf znGT<}Br9;eTLOrQ>VQ3nRVt(uBOB)1QBA0D2H*<9ga_bYQzH4;L@Kle`9YLp##L63 z>IYWyAmME4+&mt?6`{}lOx4qZXb=Eix30;=WNB zF%C@9jyG`S5ap--z+cm(9$p{r-wEwXAGX1i51l@Io(V&KKcbUhOCRpmZ-pZm$V_DF+28Fbe*q29?c+S1l!J;>5#?Irpz5a4MApCE-yk zu5fw1CxLtHe=+}zJmfXKyz=?yEha~qoVEG!TQeUabW#RyOF+GKqSB?3G=*(FrBK_rB+PMJ6}zj8!j#`d1R+~Ne+8M`|7cE)V|dQC3?&_ z!^Zb{7e*K2s>j2-@CJO<#y^{hEaUj~&~>g_M>0!@94E|rR`YgHZ_$gzaWNA5kbKX; z9>hOnH-ry;`2|jdKFmOtn-N|181qfC?9iuG#PYHH{{F=SIR(*;8Qp*>z`5tVjUwLv zgr}dv)PJt2VNa&4lbFY}83bdV&rb#ov>rkQliG-F(ON(gYD_^;H5zCYpoyv3@B347 zfal*qCHZ3jNJSd5ee+Z0(DL*UT;Z~7)L+h*&^nA&G>1*Y*t6rT@(n{`5-48^|M4OynuCiUcj+lzOvWqZZ)Q@gJGHEJ5b6_+$y3V&{p9Al5nFjB|ZVIzt1=G#1F!jD%xFzk_TM z3PKs-{vlne$~MXv$Qe>X<4`M?dw8rlNt`AVDgALeWj7w&JIQqSHzq9i$5$sT7wR)( zU#kcQLPM4`J{Ii$V*GhsLKg~4#9ZG4k$JG0WPk>kg^3Mqq{IKvPPrwIj|+LUj;K}HaJ3!SZps#TW|kegUV7Wv7xvW}r!}YWp!gWPRayucuN>%v+`vDMq?uY!H8kLqQhZ5~JyX*(GxIz=@wkBzP z$q@y;&mldL=9sSDsvmDW<+gMD0;1+{s-7G#)rtm8_Ha#8?W^QP4H%|RZaUlWFTNKw z=c9>n%1c`FH3L5Fa)@r0X?|RTS~!3i2viOf^2WSbPxRYO4GL>S^v+N@b1NKSNayl- zWAg|{U^5$V1RFu}eq+cghEuX3XyIHyrzgA&&TVS)rP6gLo=6|}`|}T>-8|U*;p1*r z6KJ}_kaM=2HR7UQZt4(UGr6lck?MwE0!=Yr)b{5(%tLB!=j{XeSw<8bWGzqCZK7*1 z7l7$fr`Rt0JfgG4>FJgfwuLM-6&I~Sou8poW*JhTi#<`5ge?j5HC&e^H0#1&!C3vd z1X*4b$Jr$tX($la0488x6rGqZ7$NnFZE~NbtRlkLF4O4n8Dw9@>lk|PK14sSt~cRp z&h7?T==FEp;ZM$k7+HPT2NPD|Ln7q!O(Ifh){tiSDX=Psikj{;X_kdj4`>e9nzX3N zcLL4Hu@we1ae@H_+k$OK!h_zkwjj-M3~2k^8tlR5b{=ffPJ>_TkHqPGPew_T z_Kw&$#w;_p1dkyxenWXFD@H=K4vCXR;)Ku(eiO{;M5kY+{v68m=%7{zNPyO-?$1?5 zdd2rI!_0t>CqgKN5`G$|C1caaWGSCmo z)2OA0@+dsMWtj6gz&~L>|C%Os_j?yqTLuvG$0;Of*}j|)S(8U|)P)b|rydR!DjQ(f z4%-Jx9NAPN>n~hIxGHZS86&6TL}PhEDT^*5Uibnst0X)Q{U-SZ@(S`CaWMfDtY(VS z7TvrHwG!GuME~Ruumd216(g<$%YXnMK(^M4OtsgR?O2ck!iWr~_=Z%_dRnLU$YMF-!?hlJ1|hh6m)C;`v2Q{E<$q)` z?*y6qJEVcIUXYGLQc5iUe)=DCf%{faJD4FQ^oVILcntYGUf74LpJG5h*h5V<^=!qA zPUm!?9Z$|eJu+xKr1OSyDlS2umFfts=p2BWoE;u>LL-A05ScmL0s;P9m6SKY0ySUr zWh5h>5zW)fs1VJW&XXdG5ln3#KX-;zbRQI!*v|G3XQZ>MBR%UOP&)^{|_Mw4*1JbiqbYHMIh$oGVDE%}x=#y;#(Qpv%}z>zb< zCBp7s>$DPsB8@-5?$|`i<2R5wXk)sFdPv}W03h{2V9R78;@Q_Usu#v;78F%^BdIw$ z5x11<3>&F%4koaV2q0hUqx!!ob!o&qX%6uB1v%mzj`fny2&T|im{DQO0XMVMsMQwZ zs9K#ulH^AAN2(0-Lq0RSzIzEydWsZTWWP)Q8b)hiQ#>(5^*Uku6nDQ&U_pYLka7!V zT5PK^DLx2I+HNwH3rIB{LLf0pDVC3w&d;xW8 zLhK#+alqacP69H!G@{lZN^*7jzqoJ3T9u5b6$q6r`u{*)sG#1HHfg;;tv>HE$dmtu zE(5|RP#e*_v*K1h!AUJ@se8i$pu$Tbz`}(9*dV^a4p?7R>K07iMt_^Xs^|Ya%0Thr z9C)-F>`2U7zqtcSA99ve&@(U*SYZMU(m{@QQOlGI`7uXSj-GA;7G0liMr%F}MB>h> zTtyjMq+YW3A}#dcA=DhQXg_CnlMg`n$)TSdfH{7(VvD}Flk7s9NM$Qr14phw+Hx^1 zK}|UuN|(5o4(?=~B>&WOq0MGA4ncb+Y`aycNS79qateYZA~bgU%q zpC6XL#bF7%xK6lB4gu|3vO>6;M=1yF3{QeJp@`WrEo|1t5|2( zvN`ma6f;pWt-uIGHyeQ%jo+HO{;Z~4hwZBx$$@ReO-~p~+FLn!qMu$TtjzuLRUPK> zK%s>>&;mTX=V9t0Y9{l5z?flH4*+!mZw5IkPnH2xBF&q$H5XnI+G;@&jNN=UCqd3e z#(F%yngkQ5HzqN9&7U!5?(MAGjCq?HBBrpHXJ`^m;n}7sYI9Pn>bnx_;t5ONz1UNDTax;EF+BGWHGANXiGT}ZP) zPvURDm$7vTzu&*yB|LPF(KgKMielUo2|tjyvBe+f)dPGbObNb#jQ3uX3(TYT%O7IY zi$49$XfQy)0#tt%7_ZMmx`py+5}b0t^a*7$1J+gFcxHtzk*u#7UKy^i+~dnr=))bb z{MH@tIKXO^)S{ke!t$JA9L1rJ4deK4vVc*XMiq4rUv3m_egwlSkGhB4g#&0b_|f{+ zTs60%TWIM%#|$%DH6P$?f|qv*9VKV;CUg`n&!CJ|^YTyS9^Crn*2#wH%xX1J>VUi+6JQbG-lcx{N(a)AB`@ertup~eiiq>D*HSl!=kW52rGHP zJr2+5fawrf%}Kh7nLZ+%aOvl33=_hsyn)o{!vIYP{d~TG@c1s-K=rNk4Bl8x5Irom zH(u^R%PQ}h;=?UGK|_gJR#mRL1+=u94@{x7pOLCgA&|^Vw!ogkahXw+Pr=9I(O{{2 z`f`FO22dYB1QRfp-UA1bH=_+3$YU<%f;h6b=`_YgsD~IZf;gH$$#XtXVt0_pQCpeh zyj$A zIhX|8(kiP|f%ySP=mA5({9S~QgQS3iLz6t`_m)<7!!IS2xx1*DfW(na98tfe9y~9o zWW(O1=gu8f&=o}>8V`6Yg9S}oLgiQJ(sevTYOiYFtB-eZ7q!{Pj9-dv!b@90(r zh_a)@6VtYqc6&AHc~-Y(aJ3NyuRjb#2lmHks?>uYx~kbK0s99H?sLrxwxBtZHoi&` zoD%?K1E3+dFpqxpoI1S9R&#d=>5VvhKzbWgRj~jST^zum4uEY6WES)=w}_HUQrI3A z3$?xcqoiJHVpPJZ1J6nglrG)ph-Xz(K1#CDc4l0Lt{5+e%TC}cdL-=`#4$*9|u-P`P>VM%bJk< zy7-gH{9z`)DUr66{Gz*cNPasJ{M2j0hwd4s~AXMrHt#>fBrB3_+P)4T2)bA7pZw34Gc_&b@ysz1V1Q8Nq!x(%tE<%0B)Nq z-rXu>HY?Olxr$JOm)J_cwWPZ3HO}N!hgH3bU20rP`c6KXK<+n_@)LH{)azTw);44E zUdaimSOr6l;3#(rYF0%Ls3JZcgg5is3B*fkBlU4H*nJ2!o4|G=QlDjT!P@!oAyNRN z=|i-#5Iwc>PF0NKm6zfvZRh&0W%lwuf_nS*`uJ~?YTL*kDA>DW*hU4v{07IWd@KTZg`pI+a*cHD*%1(P3hwyh5jdCnh({pCGUE|w^>?CM)|4s~ z z6tH5Rj42?;f>s!^TnStWMSb5str&K{B=IM|`*~spP6t9`Y^#3!0=-k!*zz9qPG~BI zA-A8;>77t|$5&W;pHjH<*h8IRWS{z?kgd!A_|{r zl`W9mA77ULPn{Cy^D#*(#vIiid%&kvj(f{Rw1;(uPck#M8~6X^8P?^;zdXC~Jd{^{ zfp>@U`-8}_Fin@6?!~!FFu5mHa#pgWp?qO*%a9+QAs-(QfI?FK-n3BWi5}8I$3L8HplC}3Xt%-GDqz!9 z@goiwspJL0(t-&PsK#VR%o~q{@dR9+m{~pn^0ej9qQK+-T8pBUX52Tg#delq%q^}4 zHy*#&bjv0}gC(fNGCD2e!P==Ha0sgzh>muZe zNP*ny_r_Vq*j#_!((sbs+|Z4HQx7_!uBPaCWv4o5$Wv6C^Xd`}=HqwEU!Wd6MCO3j>M;ifdKA`%UT zR`z;KMp38djk(xam|p|)P@@rYPL>#Ccopb22Xmk7m*By16eomSJkkXv!a{ev8KF$kq>R~X`#Ri zgyB0eGKW^4J5>_Q=gLG35w;fdv%ZIQsA;S7lx|gbnkcv+eRda&$jzyPy z_Zj4hKfKb#C$~gl=KrQs{ns*2%{H&MBmpXDJ~#}_Q(eLuaq$yQh^piDL&xRZKaEbj zSO5f9jij#o`)_84rw!c_cq^3#qB38vOF?#E$DkBxj|5>x=H(A*PPUNN5qSqlgn4JeDx-F(^g_6NZbo8Ia7 zE|LlQS$1;1j^0qaaf%Ryqm1vr6$eh?EvOh35>&d!rCLlDmC)T0kQwd}gCEh#fZ;)b zRpJ=^Lwf3YvMrxrn$+t!;y0)C6XY3QoY^Gvy(*N!*%4?RfGB|!;-ElX#iH5Du$vm8 z;Gff|$i4r^=23?v0P+$$g)6oI8AFJrFsqC6`aYMKI^u@na*QZwJ`@y}E&5$&M?f}( z&i0K8GA+?wG07z=COugN^!pytNL51^D-0ZTgf~0b`d5#mD2q)@NmwJ8`b}i}vwhGC ze>`Rb`JUCgoSp9#|KCJzBDZ~7rvZ-IXQyHZHm-Du9IK{c(SH*AAOtj5&`q5&97ZOO zZjagYRIX}2PJ}o+yUnibhps{)hQG~~eUgO&u=x+<hs|6NiARg_kds?H>>jb?SA`mCXeh-%uEGN* zjB}CLVO&zk$>GmUp+agj7I(hZaiCh_obKqgdm9mQ4yjz7>KF~)ZYO)6sK>kbkPu#}y&A~MlS2*ig@CWWIcvlgOEG&R60Ekvg9=~6a$ z6;ZKj6*AZbtW_eX@kHnTqme}b0cz`rZkAE6*U?hPX=o-Wy80U~h3gLs3Nb70b(XI- z2pn8M!TkRCW({q@WAWf@)8{@=QzWoMZ&Wxz8IT`tjFG(;cHziF(I*he43xz4HEOO@ ztbz=bp?(!-x0*`ffO$M>SvNwn^1PM9ZWLNMH4ZUZQsrOwOhp!~1oYV9P7ZyJDenm4 zP!Ey7h!xvlgZE?HS|)JX#bo*XGUnaE%ea&F!PpF7cgby5h@~!32a2)O0QuRiLB>CV z$po%?IHaziw}e>^VM|jK*XR&X<;*T+R#xOhE|miTj&C%CqQ^m&sT|0Q5k~>2%2VOu zC&F)na)|R*{e>mAtK<;uM%dXQX_7APEyxSS;vvk65D-0fA zKpwCmp*vW}SNJufia<}_*7m{|kS(XtWjLhNdEg#|BEhDNm5~*LJNW1xQ7&alDR>T z&X33;lep0K9=V9s%0mu7sAXg06R|Mg-$KwDdHsR_Zr(tbXRwrmj~YAC+*!y!IH%Gd zl%kY|(CJXI7OUHBsJP~bit99ejkQe%o-JsE;DJ1LgvmMazBez=h&U^<<(XWnH;9sR z%J0rKm4IgJ*j%QnL*15{+!*^HuZPQM3vrLkYI43ntc7n9U4uMc0@QNEhWH;bs4m6W z&??QR0B&Fe?J2YoojNREU~qDF^6{~HfgxU-*QVXNjVT7wbgOTrnxkF{w{#C9a-RsU zp$EB}g3tkSz&Xi~x?mthwSc!_An$wO^5l$iM3Cn%pN4RjB0^=d$=8TMFj9*Vl}iu6 zj|#1CyBkv3)+Lf&Os`T!(j}hQ%o2PL4t6a{n@=;n=XeiJ9`Id>xOb0Em#6-e-@ba$ zUMgRyyk@%`sq^S0vu2Ptb3%u%eL*4)`dDPm2m!XdkuOg>DHhI`ry87xWR-RDzL4BX z;kgF1Sq{mc2iBHtsD}CJL$%(-ErCj$QVS&Pny$eZW$ zDc<*i%$R3=AIbaW{N)xchj*b><}rqMp+xtyl{}!{cUN)?j93&W*T#^TO_0*1Rd8}W zq>;#K*{HX9uEL?&eFB*^065SA>zzNFd3o$kSZ~(~FN^xprx;y=xd<=Avg)s=lW(DN zA3XdHzdQdAFkPjFaEVLU_}1h zkEJ|Sgfz+anlu-sNha>!*Xy9hAGEZgD+7C%4PAbXvOQG_COu@}g_d_;PS@k{TkjTs zkOwMwkpLn|DuSRwU+e{_S=OZn4)?b&E7~9&EW+C#lHVv!Fy*)Z_XZEvHZTrs(jyu0 z^NOkW$5*=^Gvt{+<>679QK(tr=VuhfZigIr*m4?PZ_nSE3g{>II&vG2t^WamSexlV zId1-)0bTdUzdQ!1lsSsXllQ^;g`}D9Z~yNNV$iFf3uUnP zxBvGBG3cA;)4IkdsG@lu1FWdlSQ}3T&l##YP)Xl9L2s{WOaVoKF?_$&41w_RTDRN$ zRqaHBAvz|X3xVk&X7`$6s&)`fvW#HZL&9wcx_S@MvOcSjhnZPf#{5{{q||Ue`Kh(Y zG08F#7L06{z`tJmN$vTAMuDsmvnc1X6HOQPprb*@4DnS{;kjFdI@^a32_YYhCFkNL zw2($OdbZB?SG5E8aSP+Bk02+JOOjD>wx1u49~EQKgSL*3YA?@AHsbD&FGKFGGXdD` zAz6$LjD6HZH{cEmP$M*waF7*Ay^4!L7UBvW3|wx^BIf*;SP+cBbews`=?bBaCul4cx zGIM3hMYlJJotAciSeCu3#p%49Xt2jIB{}q`{mdS(v2qLZHHHugyb&O2&VJW6YvtXN zC^_dl9#jqnfR4};^W)2;nQ)8=sH~7V8@4nSAk#6YoBnYz_?xP?NU<%KB*0_7Z-dNW zNmo&&fBeW5GP18~K%3n2;7#cHO#vf557|V=2dp9G@TZU*O}1{tBar-!;WE1|qyje} zM7w4wCqLXOphQf`tzuLKx}RfNF$~D!gBnQza&=2CQU!8V8kwl|R@=w~fj1qQI9rGF zet>1X8|p2eW+ZvBQ9x#=ECr$AD&6gW@kS*h0tNdHM{C^5trML1W=;uX5y88pZ_{d% ztmjpi8Xe2U0ot)Hd6PBB+5W0bYvTaw3hlE;BxxPKPKoMuyitB=h9hrDhp*=8rQUe@ z`24IU6;S)&V@iEwXEJ{T!m)AKcl*9WbK~i;gVaP|OZ~p-m|fs{f^&kZ)FH#SwZHG- z=kg%D@&Wi8`&#b~fLhaHoE7!oLx`F3<18Nzz=#x%L^2zQtTuhX+YEjf_c}H_d*Iv+ z#?5fw%gsp0<@LjUyu-5F3Yt1eHjJpw?QdG&48SfFkfjSZk_dsooJWDr1ckph?5LNOvzaQBF_0eM2tiVM69v$ zDjw(ijrsN0a8rfbAUZu9l&kYO=aS-7wl3iA$4-(PtB@2q;8Y6Z`FBg6)yh8~WodXc zM;?%~RjgbEOie2i|BBm9R=EOi0TDGchn>r3|JX=`wE9(usAZ0ncYz{RoA4z#TMGKY z0oUqxc;DSJBC`ds{XQ+Z5Mopm*6l#sg_KLdSSxI1x`bkP51ssCSuBR@B^3}f11F1g7>Lgyf91l!nxIfP+f)JiiXTDl zvV9t1{fP5FNn!srP3l!Uh0Q!6u=r5qPE#I^7-G+a>)8iJ)nrl7iygK>RfbGOn|E5H zwq}`}0<+c23ED3X0mEt3jD%v%f!#cY+i9u9Hsp4ucuh{B2Rh?j`hdEa3fjpVb~DP@ zu$sx6Z+SWUgQ>S5i)SJ()HJUL^_B;PVL)hRHI(1bND){32G{;p)4ZTnrxG^&B?YoX$_H4T}8NReAIFdWv|PA0~ZEM zZbOTO3g_l6q!#vvtfPVffk`G4-DOCA)0i5F$Jd7)G$BXv0mS)0ZRJvfF?=stO$Ml> zx1kDlJ4gm!&&i48vCfB50!kHDW$j`2JHpDe@otewL@Ws z$){%cZa~0akjaJdQ=W(DFkQfzcLVTH6qmc<|KvnHgwAU z05o$DE`V^lho8#>;7cQdaE+-hskhXRd5t)OY>9}Phj11+4Veqwa1PXbDA&#|by7ph zL==3KBeXSw?;EKZef}wafB6_+-ZHv$4#Ex+FEfVr6`|cjVXH86MWY*62x}u-VSm5P z)B^xY$AHWd#y|Oyu?sF)9#&=G7R96Ayn~vZV16HQzl)_}N)c;FG>3?g%AbQQfo-;Z zgnJbF8}kMpM?g(SmzAIYHHhXxP$PZYvZ^~U~??+(Q+|2JdIC)p_I~e}E7?JN*ArmCfo<4|v7p63T!wl&qRxu(thmg+A za@ku-kSGkiL6E?>&jUGV9`}V+$P;5IhK*^GRsi$jq0y=iBd4*xJU;mrtuzlmCdjS) zsqn%1n&NXl^fe~?^ggVjRd0F#tUy!0Je<>fgz|~LaQu&S5@4U@N++C)fwlg?J0QEG z@IRpxCTjgkBiuAp z&Vrmz#Zaae&{&{vgsQki-xyyT5s|*piH$YO#OG)fo?Ko9vDC1gHbM-#ZN`H@r_eD69k9;mYLuaR`F338G2QHP-L-DGRm1U z8kPi;VF;gBp+mXC!9AyCePAeR0T0JCjNg3=x%YFh13Bk6u~&TvV7Cf2&kR_-oeVaL+Wa*Pj{U%*7MGr>G~ca4hNJ_sM;F|4 z-uXd7n0(4!t591#H{jZ^J21xe`G!Ac_Kw;GDR|4e3RO)t^maIkt6_zL+e#6~C2crb zS0Ur;(az*5Ld?v|sz#T(?e#3hl{duM-5O+AR*0G49}%Zj*iS%ik?kG6o*PWL#BLR8 z4N2byW;f|M&EFQRJLykmu!Y*KLAHfL;X*IT^{kqwUV|sLG$KQ~RP&2mCZuTfnua=x z_m+nGd2>j$duJ;e=bB$)$#*2?6kg+@4`a@+!z$#mb4rFSY*FMJ>JC{TRZS3dtGh!d z-$HFghqPgW#GMbw51+Sg_MtwSCZGPM*Qsb8(6BpnI-nWCQI0Y{ES@_J%TyO|0=l7{ zGJ2?d2njmB>mF8|ot_{B}Z#&4(I zklNvjjo&$m8bh8ghQxKH>u@CA^f{$!R!Y`bYCu!4T>zXqD)^+ew)I=cc?5Kbm`9+J zFAN~jF`$_ps?<*NnNcQgWO>a63k=wE611xjH_qGFqujm@TvWW)*w4Egb;@Kq>wny>rHjI#!LtfM{Y!VR~UhZ zWNAbW!OFi=4@RDW6H=D;!=?h40BI|RYv_mBU_-lAs7VA#G`uO!r^mqxJA%HLL|F2< z-6GT?2LQm0_=uP{XG3iCO$grxA~2nr-7>cZ8)_o6U_9SY2v2CkjDqk=#nD#9V^Gt~ zM7P{nxn;xCz-aW+H8whX?^Yo@4rQ@)g^4qI9F#`7)gOwAFVX7t&Q?;m!b`0rdcE_a zz>R4a#(a3}JST)(Ekcv`#gHr|G+m9ZA-k6=_umhyjKSxrz-Dz5-{oub@z$wI(EagJ zp+y2K@;Go71zBTSQ&&Z`IEzh`19-QPT_<0Hqw~4fmuR%@W)GLszJg;kk~rcjI7R3L zdsRKLB0iLT!&9|j;qSQad{}$DUvxNh?Uu`0^cq6_*40zAUDVY{l>;WDCrRZRhZSATkv$ zVthT~NdP)*w%FdytA8}sFJ2h8k z<&Lxl(93-36`8Kc_m{QL<_>ERL%s_amxDwH{nQFI%Zi$X<|3%PjEMZO2301>9{^vc zx)||0%;|UNvzJsbg~!$zv6s+N$-_$tz@QnQW2EY_26;e+y)-6i{#@8g2`Q9qAuE?G ztU(O+@jJNWJLCl>BAp%AE^e?I>#T})iaZ`Wwo_u;s=A#rcSUhJwNDx@Ob@qP7YKSj zKlhFY!lfUg6S_#AMzIl5kAIA}E^7&Zw!1VU)G;L+5x`NzMnrx$QTBrl9hh5o!VT6A zD&v;`y84jIEln zoI#Urw+0#f7_J43Hus5L_O62_U8Gj5`P00Na;X1YQ)PY({uQvkR zQc>1k#&WXGD#RohY7{krL!+Z6$bNTwf6_}1E~4W!-M;2pw?fuLu(#flJyk=bI4|B+ z`OYRlCQ1Pc)lEQX8ZO}78swQw0@bC;cRuL5fGvu^eL|)>0ncPn_68s$FW`Ai&uOO? zsVwL0Qb3;xKG*A8$n9zv*DtS$O%YxcezS0BEEt5H7YJUAn6W@c)lVM&xp7ETg^i*< zeZGXMG=XOI5%iuf5J&{^uQy(&5soTH6xjwS5IDlg$5`6_v8jqCZ?7{U`xPKQjrH+~ z?QK%kKy~hv4Y^h^0hhFSi-XOBC1ht~OI0C?U7>}Df`tb+n_WY}0#(H%bYZH#MJvGQ zfCniMq_CsdTjk|ZQ*xe6@ck4hzO|_FLpjfEV&P++KGlgT%rM-)(AQW?XT(M)Clg1{ zg9BbsOjT61>NJ3K1-3l!(n?kBl&fmHQ2J^IEC<5@kX9oICBI|y)ag#G9(5kj(sW~9 zM_2?PaW#%16<P&z$YmVZn;+nmUIQrnp#;~wFoaxv9XyZ0)~H=&uy z_j*o9hY2eI1wh`|8q5Fdf4#re^&!eH=36L&Zp_7l2=H1kb3as4f>Bz{mr!e|n5i6e z$@AwFiOJ07co)bFzk~{e9uMH9bEjge8SqO)A*&8i->cm!4&OPAN2jNtT7{5`Qv|q7 zO{A$vguD#q{&agky9n+j*+3AF!*>eN_=2Kn+8Kvg)70lY$i`zU8}ngrBMUlb7qSwB z^oq?sookTYi#(6x^AC`#+VY?!ByN!H1E{l!Nqg z%=pg|{K$!ivQ?K-%ggP{1aImF(Ax@(JhW*NZ`QG<1zU6Js<||~5A1Bue9PHO7ns!P z_0n~=NXgA4n+jNR=>s3$lKpTAGD8)io;nw)OUVzP0pmuT2jT=wSYfU~=AMHcxPB;+ zF1QPgg*(hJJ`_%eP_?pytGdr>ewA48YgZ70hxFj|o+xx+ky}&j(eQpfuV$y%0ebzMwx0gu9LVfI zNUFQnb5M+?hjS1!RpU8O1-$wA)9X1XiP7wo_>zRK&pA-nume`;U7dqgmd$|=PaqAS=iA|9pUW;OBXte++-*xCAN<=8H#XfPC< zghYRPJpi%r?$jrq7DuJUGOB<=icpu14Os>ibyzYbBcIp@EVN_8y+rXI^Z~~{X_^|^cP#_G z9m{akCDnW!U;R2AE5=ZD2f?jmPL$Y7wc?v*DZ6tZQzNo1pMsno z(!eo2%(lGx9*gAOAq?HmA;#*BAjQljV^x(dyCRL$?FcHw+paWCTVgUAqu5MsY*mC^GCs40fjuq4FU@c5k z-jp5O4?t_P_6#)zRzs>a`wUt#7Y% z1Kb~924Y_4{5~FEy}+_>ztG?@z5SDnW_IJ(RNLagB2b!w4nK^z0&2o}mTVe;H`6?R zjkWU-T&e+U>(Rmh&EMNtypK;TN9POe&~=gdFjs0I-5+13BwYsw7jwk9brl$FL`U}u z3OFP}G2^kx=|4V#j5fGV3+A5U%H%xGaz0f#pXZ3Gh=2(!F(jTKcCduXLb?*%W_OHR zJ4kL4RuPd1Niga_)KGOnnqUT?5ZpLZi~SGdNpAfBP5pv&m}3k?_fsHON}q-douMQ| z>FxKhj&=u97OFT%qTvq(gSZZVTAYz)R=C8M{pMb z0dB+>jCx)Mi|8TEXbR{EoEsLmunw}Ef!!@2OD-Me^C@%glk@rJtl)@Hsfa_}5h}8W z7VL`Xvs3fMW2np@7?O}apLeR>1xdJ{ z;?|kI#NIeu+-vgLY@a|LEn7PNYr6G}V^iH(_uv_boS-l@-O@OkdJ|fYvW&i;U-v|n z*cFDSs75=@?e6hZp4(k#biMls@&Fzlx?7Tx77%Le5+y0Aok=E_H7#^LNESpBd@ea+Q;7Lv3+EGW=nhJO+>_d2$E+67b*uv`Q)eEx!)gOh8JH7hw{hfciY39PK7wwC&hwdNmPpnc{2Agg&@XgklddKy2kIC45d zRSifAx;2F>?>)LTe~t17F!i3PSktBV{Mi1j%30T7Tj3qbUV?j<`Vi<=S~UEj8iD73>R zV3o&Jh0CtQ*WnIQ(+JMp_&QU6JLgi@R0``BI-TwoG; z-$JeVM7#*Fg$mwxS)r#cPKvVg9rKCtHA617CEkUJy9c3W9Ew1;VpYr~-;%)9y8q=3 zWT@%=fhySv$|Mg}!xX@c)Paa3l9PnOdDH&|Jd^X1db*t{c}C4kp-N1RLgM7RceY*uKK-sjJ@ zcHRVSI&TrG_@=ywNIoEP*vlX)40|j3?C8?7}&HSc&DLys>{EOH z1nTUE(S23hShB;B^0f#dvl5=$1WmeqpSv`btg zrSvvsMp@SILU4Q@1NkVYjZ>~6Uy+#5N2O~_4Me7KTlApC7clRC831`HNj+6l^7Gfg zc}2d;(!2-cT8M^u%rkCS&!mEdSdraAUCQS zpyW8lw=q2jR;_$lCj3GG$iGH82wiFFJnHY>P|Pf;DrPV9nN@|KBKZV*C)Qi}d}u;K zO%JJoHCl5~zz8I+pn%@VA7LtS0WnD>E-M>EPDn47Pq&Ji9KCZ2%C>JJA&lzFzh^Gt zJSIrw;+*2`xu}Z&4NkBAmU0k$HlwEVp+`jt{MuBpiZl4jq*+D2O(o!d9Az-(brR#h z?ulWmPWB~+&U!+8p@iTb3ooy+e%_o?L8N)qPJRg{)J|1Ely%NREL)JE_$BH19RuPJ zf+*1VboBC8aZb4?xl~r~^)~sHpcnefx5#|VuPH${O3tZXgG{jKZQbgMkg{0Jydd>V_aEFXFD@No=G z#r#TE{R?*`eN#`InywfTGNj_aj)X6qN>M{fgE%Z+$=ErF{J4;_8xptYRwr+9fMVE#&Nn%$z1Y^k3)Z!WU?DzHx_XVIhE` z!85$(KX&=GS}oQq@~u zhEb?ZOjaPjD*t#T2yiSSH}xDtgN)h(x0s~mR^h_RSE>U5%-TnYQ@sqrJ9M|?F53zR zA-1qynWlsU*= z#N6`3mgcdh{j&>1&9so@i6XM`hxQQIk30o4!+|jneMDIOmM(ebm+Y~RXp#&4~BkgAX0kkAaky-an$Gy{!B<^c3?!nzHX->y8wE>ja!<1bg<<8go@t=HE*II z!+*p!-PpxZwJala33Y)7X(&Hp-L~X66e+h#4yjco>-vwm6rJs&S@uS-7(1`8A7EUq z>0B$NqKTA1hIN<1>~RNt!r9?Xbe!E8ZVjc=q05-hh0r{L5+_g6U>b5i?*e&LiHd4S zQ_^gklwHxmr#r7=7o7phRXIhZ1ub~;vuBwrx5K-^?W644!S;pF@_1LRcfVWYo^bb(F{<{1@QsS(sGM}4toYwcKHq-5_JqSblNUTyk)+=1|P39_sv9(YVw zkl#h76`X@0H01LZ8-M{p2t|OlVBlB z)oEZ-^C>!p6Ev$18Gb<5P-%p@q+Svz9%`uy`cc(z9jZN_pQlA&{b?#@`Y-8qm$ z>2nWxl%51U<}DZ84OWZj6@&=T0RqtM+_9wzbzz1cjt%j^lw7ewI$O!27a(de2&qIx zTudA&ALclpBqPWb%>mJgFObis-&jw!LV^$V=FL&$l&{a#`JH;(T8?Kc}b z9MC$2&67pzm>*web2U&{Trvxzz=^Sfygz=k{OJL^T)4L0i(Ou1TNVVqEe$^f@bZ@a zrVr+Hp7jHO77%SBf6*aNetK*m$D@eK_cyTns&w?{WB)1QRJ^^~u!8P208w(!taYhg zzOnUi@bmcvF&6phKdxqS1`Jz7d%1T3!|q2>#l>8U45}sqzeENdOM5|v9RrntUM%{m zV?o0=k-2pEBv#n5`~mt@;J8Q4X@H!Gg@4(lSb&nQ2b8R)B$Sw$Gqvi;zej?5M8cnT ze^45n#`SBemBzy&0*-Bi&A`Ubj=OdK~*Yg5uq^kXucF2YO(=@)%_w?uiMF4Id1o z{bKD5w3>>v2(?C#Y@dAWekZDpjciYT%}1d3KwB7qRETVoI#3o{VI`~RD!JDot2_db zoDp9~R|Ek_o{TK7@i6L)6y{PX1_14{)i_bsKR`&}#(6_Ixr_?Q)E1ip>9a_ zPhY$AMHVf#NTMw1XE5hM%^1@QjF(5bh(Q24CZXp>zf*DtbZ837HQ3dpEEX||Ya366 zo-*nlF0>ikQUEAJBoRq8Ev?L1sGLM2p!@nP6qA^!f`naX zH9L^|pdYWo%8%dd)o=w|n!0z`k!1^o8R+M^HTVBuGbr#=H`i$cNH)d=)r6F1sMY1S z57LbdLQF_nH#5&zRu#O8SrXpAxBxeRV;l~E8;A4QpMJ9grKtJ+H~UTee)Pc?kjaS< zu}ZW%q9oA|XE+@M(@@vS>d^DI-$|ZJXFyzn4^6~rT+mQ{{=w&OU>}-@6E>cQ)343i z8cUGxF?19Xb==Zy8ZZV@^D)V&=|bdCI$<_Fy7BfkkCnMl5{w?r{}7CB;Sc6*ttOQp z59}u|bQ=BFThP81~;a0OCh*c({K6dO#o2tpRQsotJ-Zh`Ar{8O{{bZS=;bR;s3t>n`a#d z9fUmM01{#`uLb1ERPS>K$;eThf;rhizu_$`e*w;G(t+RS-JKu%Uq#$}JbyU9!c;~S zK|}00Vwh2I2hUoH8JoUp0(T|c=pz8hk3S@Z2m??)e&!|V%<)ss;2L7joAL+l|5h4O z9C~c0JLp}Im3stg#;klQi7p=B<_*+h9pKaS$JTJa@BikB(;^wm zTKOXk1lo1_g{5V!ZTFijO)VOu9bl}PGQnMR>6C2jdRnNCW@W-(_936Op2y>fMvw`r&G`~$lM^q zc0scRe*ycu1lxt`F^)~xa?WfIBsbxxTfijxR7u;GM4yGAR@m-Bq#R(o`5GSnwQft( z2lM-voiDGGKHv*9!*!@&Aq^FL0eK*gT!I66 zp9GbBF*YVL22}<9=`m1IK}aPBKx#sd;$eT9S%JGHTAcUV;rRy}g&0dv(}_^kdw}%f zxyT*^7hnbcMkEoa)K*e}Dw5oWOGLtSOY%`8D&qe5pAebnDISuWz=r9=22wd#LCM2y zq{PE=G8>3o2(`xzWQ3K?vm8f1j*LDNV%WqLxS#{af>I?vnG`-_-jG!|wU5ENohh*#8+1E$!j#jM`GO$}*?P(_bc#D42EK!5xTVT$ju z=M%O7Z=&RJ|En`GXd;e78VeOnaagxi22Z}cERc@ahX5$oy#M99_d=w$`~L4Itp9O9 zH}}76?Fdk1eJ6`=+>J>Hssa}OcYpn>fA#Ra<2F+znkTjHqdR zZZ5~w5$Tn3no)oHtGPyPm6y_?9_%jnA*-ui3GjZuQ<}~HlsPAAi}pEZ0c|m=E(HOd zFd;1aF|_US6A~X*Ibk||{7v%)`g^O)%jw~T0Ly=k&B?7zvcdOwdTjsZ(E@Ho5=iqO z0K*f9W;hA>J$xcI6|IBz49B!SZS&^g)&n5g0FM~aI`<dfgp*wG+tf>2E9FR<|X%PCn=EQybw`M>WK>IQR zscUEeT=N!Nd4$oUsiNR(qldh_g_;VIR2+Iq z`?AduRDAh9bhl=(-{K##C%}iP%7T`Z565V+T>v}*>U?|$&wRO%N`(Nk1_q%{vORJV z%`CLV>+6W6vd^R&CkWE5S$mLOXfRsm}b0}}u++p7h z;-CCu|NVD+cyM3;`M><*f4%>IYS{;Amu#YmGFojlhw^bR<+g?RQm0U5%qWzmFot@x z+fKsbgqJ!6`-^$7!p^?;g`Ap2u;oi)S>h2m7?7o0=&|BrI?D?_LPbfT`$5n^$O}qK zPzAu}(5rFm)hws|oq(N-&XpsaE3Hfdy*k9$G!f@0VppPNLbxEHBGW_EW|Z~I(PAK; zxT3`n@(IvjW3pg41&{aNY5;_Ll9PtHpeGk_XVk+$PtI0d1?-|KDm2XTW)Jr(Ola~I z7KMlon#_iA4;CQS=L8dz+y*qJ%P$~MSRSR&%YEyr+H7a@*L9t~s_hi8i~!>HnB=># z$$d>^Ki?M3YPsANr&2+CLd*KBvj|Q{58pcfQ6;8 zm1)RqW=?Fz3JAX+3^G$ha`P9E6+14(EtzNe_!5cp`!2DM$Cr=qryVj&)rtP{BQ7=R zdl#PmU1BxzyKnJFW;r&!ZXGMX_I_|>R>O5zRgcGS6`Q>Rr(~Y>i>m>aOIgG*O&hGJ zVp(QC7k7#y6O`a=8AB)3sBodbd`rK+vn}#{>&r6x7rJss-JtNyMGop38uOI!&gFWRh^eesW?S)*54VW!P# zW-7=uL$wO%KHxl7tHR~?5?b+b1flYco|?@>Jw0}3DlVkbhSty=amvTJ@tDMt=D47~ zMqs1(N?2!}YK)BA^3Px6Tn*0i>{byIlY1KQ+?GhMT~H5kOF``y03X5(L#b9X1v^6- zI&}z4@Gi*^unj02M#u``08eNY89338{ZskyEN((A!wi+hO+`>R!Fs8DL^q(u1+$+; zZ@#=)BD5>K$e~kI`(A?Fqk^3*vWyM+&UufP9&B686gwZ|A9PxmVXk)toF)6F)D`aDRLm-*6pi0Bj%`TNR1ruW9MO5$zvP$75zxWUVsU6(Jh; ztuI5vTEJE4s%vK zLiy$ZR)}&11+q3e_h|b0s0LDmn zN7|M$12~l%IRdiSGO)T%%4Hui0Cs+-v~gD$I;`o%RrB~|6`kd&p;O(uVMIyoLhwp$ zcHoa!DidXa1`G6#C z$;)5QO~VXHd1?Er(uN`b@*uzX&}8&^jFJz|dK2U=e1HV_+1y5z6XGS=%D>3>8Re(H zmMo@RQL`FJg*lo$w5|Fy-`ihScY#(E;C2AK6&gxoGC-!(;bK+y*IP1aZpf54pO8(7 z?owZAXD@fNlbkYYpXAQ~5+F)Pja}eGk1x<#Q_WL)gbNvvMH=(`3&=3lbSBBBYJLeW z#duN~)*OXo{k;s~_H9w~L9r*2ZwoI_Y(!5alntX%o@VrV5`?yJYLN1- z7<8HgT^<^G3d3=-0cOI2Bz(S*i3ZtHht>`~CGAykv%K^0S_Txm+i#1a-(NsR5&@Vp zzg`3--V+8wM=Vzloj8@c0q92Z~6WQI{_ug3W4kbYaebzsqXgL zBZr@w2MdYpYjLoE<}F7%C|&GH@FWigkp!=45?o*dq|C_x^)L_US0Mji`x*x#x z`SUqW$r4zZ^q~7qGAa?{GB%`A&!ith%#?VRX-Q;cIB=E+rt#%D1`^-8#bU=%6_7djtB zDQAeWu+GDYt;}!Ne13aRpSG`RHzI8CU1SgGEjDXKR&tVYuikz6TFEm$5Lhe-0B%l# zhT$8_ZU9T}9ytY=#QR^lN6xwtwHow=Zp8e{-3WE2N}N5YeS~hz`HmvH>T?qGY(ph1 zL4`WB@GD1DZRt%|)mcAtG+4&*Yra($4LlAZwcWtmMxM3yV2jD)7POkFRfB}1;9}=e zG5wvKx4Bl3o8=(2!}f%iN+HQ3#S_&|nBlpaI`Sl9&&xD?xmw2&>~0?+_s>6s(>K`c zbMR8-84!}*L;EieV!-Ij@i(}2j3IBCzW#coC*l%9z>WCab7cCE^07I}tzJT*MdH;K zNkEoQo=6z@FlD25^ll2v_MwOTu<Z*Yq1auVc5 zI1m8|r!a1b9|9z9P7G6M*H`URmq}8;o$79jyM0wV?lJMlaDg!o3L>_s{oEO{*1(l= zs^=2as}sROaH@;ws#I6irYnpk$YCDropjV+;bcD2$sBcU^`QBujr1S>;UB*4aiSs8 zW8x95=R&#T^N=GS0H+gRZa%1%PF9V+=ZBC%3xJKJZpft`2`;$A=C10pI*at`$ovM` z4{Fea^E~AXjPSg>N*)esCdk5WC&@h2gv}~Q2Gn?J(jdTC?|NO82umAer{9pdX z|L`yW#sB#H&;7st^?!f=ul+aw;Xn-~aa?lm98a z;yiQK|Kb1kKmGUdfBAS5|NMs^u7T&Yj{Giyz<0<3ssJ zB>n#X#JSz`clo#Z_@BEud}%jMKmU6_yq4F0FiI!B{)4$6x-s*lg%|q$zxVhT{h0X| zfBvC+0E52&KjDY1J@;9b1Z!dDfDM)s6l>C}(;QcxVxn*XC~}D-%508{MqOfY?QBK# z?oN~m0Z|w+Nlo${q9Lul6+%ERHQB8}mEc8o0>nqmPR@0~(A6I&lpj4%xwAS?2DnNY zK~0#NA5wLjBklJB#C^na6VR$SV9qZfU?V6C7{5V}nf@#fW6|MCq_s*}I_R2fUjwV% zVNl_@WXz}V+%KzrG{g34r< zsHBc3LvrrpuoUvI+4JmzjE0}aB+aOiGClz(-2YnvoN%jk$4oA)o$NgTJ3X8eW*Ogy z6tup>H#z|6DQ*Ky1OSyqE=K&}M%f&+=Np~F|`z^g#CNRB29QwTgF?WfBJ?v=6KD#_{ zi}KQBNDU0H9d?mCzDW*c)c!3{#lsQ^OJv`^A`L!ixz{2FOsUJ}7(|jDe2?L(imZxE z`!zYqhpzRJWfZj{Q?zS0JCp}-!ktZ)2OC43C54FSS>8?4S%`E2_Ut(kgZa#4tSp{OP>1xvp2fj>i?EGfV8t`soD7Feu(*+cVp&X%uV!GO!QplU(8JO z<}gFVrP7$Ls_jlz2uMBYMpfVefa}3d8_ZfvZD%aO>?0cvZ3$9}%8p_TkjWprWF<0M z8Z`p0XWZ9gzUskC$5a&!TQ^ktAr{cm_gygGzN(v^Oj*%XOH|6nu?LQBkQFx$6gLcc z@{IB@uW%zqDm&pp^IKh3|K(?mHWZbuQ+LD~T>tq?Ra=)+I)FuMwSc^Zb@!S;L|vEe z>ms)Jk@=2=a>q5zt|H@MyVM8c*MERQ7Y< zUP}@e3enQQiBn`&VwgagfRfJz$Zo*ld6$%?p6}X3nk0L{XdrYD=c#2o9_N-gmrDh7 z8?Y8MF9~ZgUgXau$h_0=uk&#*@+geV(DK~qEtmerv;^5FuA%P)ktbeL6l?4;UR&hx z+QxYP8ZHamGo&75RihfJ*|rP3;n2K^-6CakkV6 zcP?DBA$Q@N7PbtVH821E3bG1zs@gf7HhJFVaF4Gb&uJ6wECt@>2=9WYgRzbL{0cJ1 zct|4>&O#orNq70){@~9gs8^fAGz1M#I=|<~TEIFksnFYGBiwy2Kn6O-nP5_N=TT8q zE+iHN5uwXBXqF#Ma^|8Bo5jEK>>J4HkpP=wF2|Iwkl~?gj!m>g^^gwVK&B(DNkFBB zYW^q#auH3brcMF%G1QXb@;m1vgSb{e=dXwaxi_ zr?Of$AVk#c2X-+JZ)AyfOYzt70 z`Ea_YE)&90w$jBQXifuUVGx8&m56ctN4gwlImRbu8nG)^;L3ppLc})?viTtP^&l1qIxY@1R~_Yr@=>`(A=vzCebQXW`sP z%_aP%sGQSf2{KL_N@v*D96{!R`+(Rki4jDf5o9f+=Acru_}7S3x)(sX&&J|rf<+%0(0oX zLZP5$jeSo|2YCEdEa9ZLt8y;J4VX2&&n-rpY;pw58sSqL!)u3cpx$Y_)aJGWSKimW@pzh~2Jq#;knx4mN7!DEQ z52*Vypzh~)`vdCk4C-E9e^(9a&d5Uj(*bp#jz?7fTSToA6AkhE?2X9rqE9MAqhBzV zAUl5J0<1TEjdkh4XWKaKd@eyIv*J=eH0JZkEnOzL1fXUr^7FIAN>v9!E_)oB7jT{6 zc2P(qLNPjIC9-!gtXen#uh8&>B2B6XYu3#E{t9Z1L|{J))ig=UvgJnT3P;RLmnEoB zd=qqmzX5ci$J}41nZO3>fPl$wB+xi|k5j%Epu%gZJxc#n!14=v7XX8z)dpLawZ~LW zMefHEgT4ru;1{YSEP;@dPW*}I5}IW)4mP|6fdik$BUJ1r=a}a5Yt9K+l7;`tJe-wIhQJO)9kT_ zPooMnCxB=;^uY6iG4S`~B#$?q zlQA(CzFts6ej0?6z;+>TM(Zf$dmG6tKZy6^UJgi&QmKRTh5i6Qt z^y7%4oVky%rZhaXkgbw2&wV6@qgXq#jbGs=Xpy5mUxEzBYjG2_xGtQ9BUSyWNlq7d zAD85JTMxqp)w(y<$Al~aj8}lmps+dE{TlPT?Qxh@)(eX@D#W1)Fz1IU;JJ~zhXQoA zg`?*R)Fk^LX$A<@EiaJ+hJz=6GW=%i!TeTTtO?6V{aK6Q=*CjwCCRQTH%~u;jI2FK z%0i1Se=%d@M=m5joLyLYQm%(W! z(H+zF4)B0Y-9tw=rwS=!m+U*m%_;v0o`*nfKcamCOfXz=-9Zj%hZsviZyTgOj9JBV z32I`5GZ{g+DEITwLqxC1y+)#{a=&IM>e_~)7#^k(sA(t~l&*p##Bh7g@`m9+1o|@+ z{WBD`4@JX$I>#)m(z_;j@$f;zr4w!bs&W%{Jd|FF=U-T`5rCvli78Y*lKtO(5lK-;auwdWF4_!~f| zl%X4<>Q%OCM)QTg0l%|)2^m}9?|mdj7w?02B~a-eZVq{F0BwQM`SW2|P6dmuqK^(X)U zG97vs`MMKq&V_bHU@P{(y{OE?NRdw_J2ez}N4`}jiu`j4&22n(gUt_d<}lKFikRaJn&i zhChsF;gky)(82>vsS5^ArR>=xoZf=LYv+EFfeZZCDc_A9@FBnzs$e_kOORQIsT2^Q z2l;Ty{OK+DFP*-M8=)QvIjnf;Ehrc3Fe>- z1CGM2oDg24QjC=a{k;Sk%-I!2Sp5|;Wy0fLUd3w-EyM{UV?RDmg3t5Cd?0#GsF=MA zwCH;GhSCzm+{lO2!XwG{Avf~*B-_tET{ZwrrqRxG0WxO~!VH#(m^um5U?GZHqk#WTP{aV9AP_aa{Nt8kTvltE{R4}$fLZ0-1msnYrc-;kJIqT2jtXRljeNeP5z{I{%tooZNY7_TD_I+ z!8m3O*C^mo)gQSO>{R)afED$iGkCZG<-u9rh1iCcqKAydRLS8eEkG?pHvHRA(Ft3y zumA_eP0-w3Xp%Z>_V``Ps{GzK|D?5G#Sj(fEgnuxV!JFsC1g?ehf4@qNZ!rMHhVbh zGRw|ceY(t$>#k|_pF|iBKigVyFTx5!x`Ma|oPn<~@jTxevY!|9ztOaS2Vwz@j^9uM zh4PFh;Nf!tD)5ku0QQY1{vo?FpwoqPib%vB^9Pk16NAGojWGF)kRY=(8>xY5oG(Gf zPU4AE>|{-Z8Qu|L)Ja?u<}I}^ouLKPVG^g(-10FpFFYS(B<^QizBQn?^KB&iyLg_% z*JyNr{Tyl|H&SQ}op3v6Rc2+N?HZ%eX>k{$(SeoGqg$r4GGf=dwp&JDo{^fqJ?AG* z%@!Pxkkns4S4!LMn%ACXjb?j(34bk%jDa4ZtVE7W+CKfEYm7N!>dQG-Jbn#q&$k&= z0*Re&{}$NZ^KcCV1}xi?kTu-aG!y>=+xr9CGsE_l0K#6PkW(FOFWjN?l!4Lx(}nHL z_#u75Dq(w%am;hETN`Ze5r@^Xne8%?`vBQ1m|!0iACMV$xd~-z+?F;i;T)H5UQp4S zx&csEGtIG|3y_=5;P#Nof$npDj!iZqt-O$@SES`9M&xc9ziRo?oMXi?`pac)l)`;% zX*Dwf&yX^nHC^MdWukyF8s8?G-sACQ{Q?lKQ&sUiCnF|ygeM`Ntu)pA@!_YrHk|M| zQp>>sC?8X)^>`AQWCwH$Yh4_wJUl1tSr5W2$mHHS{&>a(8BUGB#*KU~&;-?Lx`_0V z$bW2PIW9xwXG$Nxz!Aj6C#5Xc#O2aPt_&gx-jBTFC}7dU9Llw#E|)}OspPi@m?KRq zmh?BxJ3*b}p?^uZ54Ogf*Kwf#3~qH?^A=eBV<%`}dPY(b?|mwwVcH3@0F*#$zj@@E z?u2tI5@3ToZNdb_v%*fe=O^-@3B%Y#7owDI;_+v)^+JrRQNqp7IPS^LW6E)lM)TKH z3+2X55t?`&>JTnSzjzxb{&Bcu(=vF1kFT+z#%#GMUpU{?tv=$h(SJML6+SYT!xEM* z;qAVun@5jCT3}NbF!AVHQ(_yljUFaVDw+KAIMh;vR{h(-sJVX@ z$eAyKUWq%#i?0O_TTT$xk7dm|5CPMEoo4{DYL6elXB#FBQjLO-56 zLEX$Aqdw|`M(RX1K?Oswh3QoeC9*|%Dv5eXbAGtf)89~e%~o*@UQbS=rtfQVnn$ff z;_s9ur?%?GO}e}b+E;73{|mfjTvcf2_KKIw(ve)@1Er&Dv}>EW3-4I0}P^I z1ANdoo8UoY-u<%1J;Ep3p~G4Dj1=dzab``(pI<=TLXbj;%8%zu!0=_G)EaKq9txr= zX?)l~ML3j2*+U#zMZ;_UU}QNyHj&J5>~aUsy+WuAy}V9);_>#?8=z$#_O1);{`jp! zoR3AIVm;mJ1bLZyTBVg9IpV0N^ZCPy-9zYfbQv(7T@~+op+fMn`DG5;^{N-y&J|G6 zLmit>|3Pmjoknq`C~qK@(0if$8DBu|NQQJW$`GMrS~1`t$U5y}HlG2RHgKT=?Ed)b z2D(!6Gx+vKU~>Hy8-Z`Regj`X*7|88ZJ_^7(gsShnhLCz7Pg4}Vcx4-das&T4A0jp z`zu4n7J3t;i4JixZD z!&kK&6t)wbqF30(z~R*OAQ{PWFyJJ_o-3sL3PlrW;0L+CiaYZ(?5SKeDoq`jUfHl0 zMe+}ti?wXKg4&;|D%7U-2O2n{5>&>f9;{7S(dKAeZQ+I6McQOlA<&GDB5nEj5+Ib^ zMbS&EhpJGmhQtBh4j}8^Coi`Is0u~KGo(m*;CsAEx8pR2BNS96=lPKln?^|a1ZhKpL<=rx?bPIWXIsM0?yK5TKAE(8 z=t4MX5ZWwUA6r7M%A;d$b<6U-ka91RkDz2nLfaQ{=JKNQ&=1=(g zj{dXA=?hMMD_BdM)}fPc;;KBhkldR>6?kYH7f@4gMM8U-bQQM4y1Z_O0*^F`yHF$~ zTs1b4kjEF0rKQpNPBh($>_IRN6G1d91or;;>fHQ-F-Z2@fY2fu2_AaIg%%bp<7qJn zOSwt{WQOYW;B}Ad>c6V3XH!lC*<-uVYTOg@IQcq3=Cwr!Aoc^Sz+|!Xs&Vq`Wv%i{u;a<{?TKGu0DzJ0mP@o6AP zdGE_af;rhdHMs*GKZT*$bBDh(jpM#~nrQ^Tk7Im1zFLg$3^}T6srPY!^t2xZ$F2U- zH6@mzooETlk!sDZtZYy-xaqlk; zdtbS9&*nPQZTqVB_5%vIKN0cAIC7hmX*5$86cW0p-Z1PTj33iJxx4P z4`8DzpI!hqW*ayW*a#Mri*Qr>3L4u8MjHX7Mb%bFKOM|(MEbZs5$UVg+6H_(ZYGSe zYqZ@?po+2z>|x+X1H|lQa{#|;OOOlIXkt`dsItqEipSRUCr?jj zH?#UYVJ+?>T4Vq?U9*pBPp77_XFHweJ3~zdIlMc}0ScCY=&#a6ND#3+ogGZ-)dM9K zibf+@ElCT9RW4itl427m;`t3!&+X~PZTb8|5aT?;aepA~(C!X1`ilIk21q>r0TTZK z68`}b{{a$T8AyDZW~ig|d=ZfE3zC*fa7*ls8t#(kWhg5xf*ORoWIR_|JSrQ7+tH|O zv@9xrm9jwYK>jS?qmZ!>3j@vE9{8w9ovNU>sZmlXZ=hEC zSj3|us=f&oTmomvNzehD0im1{I3s#mJ^!%A4wG^F%V=6`{{tK~AAC$V*jWyzHuR7~ zQ#NHxd64;L^~CodI>LY= zFJBesz1Yi_i|-4ikoU)z+kej+d|nZj`G;%|-v={>1ymd#-u_b6J9`v>xu+NR&J=p@ zGg`sZ^n8(XegcQ5pV0Z328_&W+Q*ehrCNcz&mfg##lXC%Y72(V63(##wJihl?pr!2 z9tv#%$Tku`8M1R*PYg?FX3MweY_SzMCs#q!68_i!`ZcWceGzhjjfo(yrZAvg^R0QX zofndHyUcg+8C1?N&|k1}qrYXLLq?i3?JNO#Gfmj|89pJOIr{W7pfPUA5bzaLG2cFj zaRh~mdn&sEegwHwoLDN2Gw`4VxJYUC!rAkR2^9c(uv1 z|ET4abP=+Nf7FLdxTICh*BT`8@&`%$2TA+~N&E*%d}SnY?oMYYmT`RH4v#(wspr8D zq>ebPd8xRolrD2l(OiLQ7Y&|u>QHEnWBu%WQF%JPx|kbN(poD0;8MBb#*`caq$qwlra=&mC#4J=UOZCF(BX zdEVW@DB@&P%Kqn7|4v|5vn-L0-YEFJH^)078;x+35y2*0BUY5BD*8YnlPgHi-5+0V zyD;c*`V7Q=_5@RP*Bxl_J%m$d8R-w(1($w-0?-XA8|*d-CIRIyAnUim3W=wMpj zsgeEs0YsU7QO&);`9cv;mq*`WTFC`fc@*+EI9#> zNf2en)#wC#{{kw`0DQ*-U2V>fy7Bll6aav)MmgvF3#g4pa2|=6T(F-DI)>=M#xF%X~Nt2)g-V@sK3Enf_e5J?F`p^bBu4P!i=32ZByA2b& zrQr`DH>#FW%HZ(Stj2}#;RjZ%;4fxhs1Fb8S8%ri=h1IHxLdPK0*|tkuO(suK7%pd zh~hpJX`|UpN|toFrDf7N1@?#ewy(dGa(Vq<)2!a8dpFVW2MovZ14%R#*yiC()s_(4 zAHP-5ct~riLQ=dUDNfbl#mNlO8?pw5u~#Jbr%f+z+ps3WF!KF^+&+kRM{_ zJ?U-cRQIi~!ch?Sf#rxUO$Fvnl)VbSxh2P??ePb%w?o2K8F&SaXQ4APM$_!SrVuIa z9re(AIgsz_L)kZq?5|Lvm_tFdOt0gue|9y#$rxHDr4MLK~@g`#@i(x*IuOoi1QAM*q<73%yWw5tr6nnEm|;@r3nGBpChqCP$@1L|L(Az$!d1ZiVCz)CD!fB=kW3Yv~1*`0D$`j0QanABMuqYSj8tQ@UDvEMZkLt)0(QN0@J!; z4RVPU;f>)+6ezMB6$$iODMLi@=RXa%Q-{LGh9m)q-BiWPoo6=kKL;eH)FwSLB7 zt=v`IAg~=EmjbZ8ieA(i^-weywNVdGQ&1Te(zP*WI&Ztps>bdCG`E!IpV!oW>GB(Jt~yvf0EA zweXt7)G%=o$oj~k?M{Q*Cu+Igkc&+R7>@-#$PXdY4i5dsI1(~3;5;tP@-|B5YXk=o402t}2s>8l7PFVVihXPil0> znmAMgMnm7-ah7RWK;>~Cr7YV#pffrTOv&D}odxxF!%K9Dz+s79Y|^DGZzS1@5tV9s zFBH`5-$zA|#a2H~MW{&ef`H< zC>>@~^P2H8k>Ol%z)Pk8-6I=QL@((Rq76yTxfO;jdRDl{m2rGmJ{Tvp;tu*bei0jE)d?bSi7nqPOha{Z?9U%ET*x7sg1Yb>Rqyq zaYo7Zz&$u~U;)F~bbDor$nxCU3R-OG+l#*N)JNXG4K=XcUbQ1p#c(lm@w=7a1@@C+ znwBOHcn%+C0_KV=fgVfzc+QT+7#hqL%b{*q{Fihh&Z3Y?`NL3xf}H7l<0r@J(E$L?15y8mko> zFF^EM@1U&KxEmT5=tba~`pAV8p}`J7e+wXgrSi8dhH$mmrG{i(V}ncd%I=1lHAWU_ zm}X+)gj^6+Jq1u&%VK$KZ>GpKi5S~woHDwnTr}Txm zEgiVRjC$)ejHp(Ki*BoQ*O#g~i7OTA>*K2?-QuEsSL5Tdk6)`ocZD3g^Ky<&N%z}x zgvsdg2be(r93YD%X^#-o@~)O7X)nza2~!Ttx=GqA$WTg1drK8*&-yhFjJ2n~r;+yV zLzu2JTzhxBm(^XjTUhXmwD%s;p0U$@k@n6d?IkGQzes!aq&@gzwde-A*s%c$D1Lfs z)3opCFq+6aYIjSg&<4pcR}g_v+h7po8!@H#BgiXi0iWOy?--26=5`cX*!}k zDv9{#j%uD8RIOAU(FHZn?dxBd`avq5-V#+^vgSdRTx;4pM54 zS9u4fHBb;|l+bEJp9_&t(1V2R)AwPF$AkPw&bkk3u3N$J4e;4BD^Pp}bT8&#_zW_;)b4VCQMl+{esPzl_}GZh=XAtmIhU4>*j(X{ zioB{Ep#iAcF*MWTMlv+V=Pwb646UcAUSk_^MsxHc>z#1q*jXQBV~{tT;>R?p;_HDL z{x)oR_VMN2T`|j-#FjUct$CMgL$lNyj{9f`jwKtUif(}%7y`K6E;rWO9&aI-<5j{# zV^jGa7-YiooN`A=Qwr|LpX!cq1X+s)Z*;d(JL8Mc3uJh9bg_+>SyjkfV%Ft1f~42S zR}F|PBNzFiG<=3EBR)P^Mh&7;5BFHiEP{*_weHM3#`MtkA*nX^6c00+E`dB-xj&Al zT<3tgC7B!%2s%ew?KTeoISy5Yl3{v|uz=Zf7MhBpj`Qju2XVrOs0DbEvVo0veP*vF z^o)Q{MPMoU5IJ6*Ypw-^mc7q~fY7jiwe{=b)-MQDxpnTSEV%;yEXf2_^_Q91UN$6?&B00S*g|cb zu{C_j!ZUc;6b76kPx6HWMHLC}V*ud3d8?~h3S0_FKTWdUGW9mtrn(*WNt5DN?ZzWV zUtoJ;(WQ*0>E3xFbTw_{yZauwsRBF;7&$!_oM&Xaf*P*X0HL$jX#Hv>d8OdBnvdKP zjmN0FCEH&N{krFt7OzkVJTL$DRo8i;*t_{2S=;(T9o_ZqtG?$-o`1D{S%(C`pkx%==jbpw|Q;uf<)sL?_lD9{pB(Z)q9npz9E1tr70yJ26Y{rKS0K|HD zLDFy9-u?Rc@@4`wDtF>X83k%U68A;&95M3{@EMQCQoeMmbtP=z^ZIfrw|2vB`0xmk^Xp!5R0q z&&9dR>s_$}l1oClQ7l8W-ldBJo0ccUDYfV2rpQNfl$XwU0Yu}^@Cu#5=#;hUtJcmB z(N|dFM86?(Da?D>_ySEGs7h#umTVF&@{uy22`znMLkmX0EzYeDOaI?V>OjKg@YM9RP+A^=NR4c3%0@H41Ib<_Uq-J-k# z(0UP3xvwHB!`ts(|1(kfZZpg0G&}l>4@Bkre(A0=++cURH{QCg&xteryhP=@UCxv( zkci42;!Ht6=NC~q_4|GimB0LjKOIr|r~-Wl9nf^w9r(S}%^Mq76A!tS+G0i(9ky~G zuScWKEMdL?Iu!I`4jV(-q~}$dIuEZ@#*8Ky5E;};fE6)ajTc;1m<3;H^{RG(Ohe7z zbJrsOm?l-eGK_1;Ah23~e!Iv$GF7$YA@XU*qDgk=+H9!kCdddD;30&qbDVT?HT4<$ zl6VzV0zq1zDQ!!v+$dgmvObf#)*0`xTcUNmU3za)m<2`K(uzn~2S~Icu_#R1LW+2n+@X+dMOi&D+D>~6aRGB5Gnt8+3d z8dL`qDwDYE7&0_0ti8pxAtAB25u6JF>f$Kt)eUe$-mbMzH}3{ZCI(Dsyn&I2?yO$6 zyum0=ZwfXcqr?fyR%p>Tr`Bq1Q?Y<4Ao7{Zp0bX5dwh9;=exPZ$B{s$gIZd~O>RsJ zGMk){kPa1YC`SjCwV+4;{3PUhreQr{*$Vv7h)~=lxq=TMBMQx&0m~2E+GBM9&i61( z8QV~8>lcs*-GG+v$c4v@R>HzK=x&9h%DLRNSOu!gEHmjV@QGLBk<-w?BeZ7&Mlwx` zKnll9nuEkvQiNQFT?oqz?<3PeLGU3!A_nDU@Iu5Goq`wI>|bVc&yg*ctPsX1Kfdxs zrP$}Ts`+bj!M3kz&C$n?p_G=6d>SPAg-}!DKipbzzH)K?cAW1~Z%1vh0hGrL7nF5R z=L8UKXN!%1%x0gcPEf#>>DijXrslLziVHh$?H|D(G-vekwyGPy{8MWx$8)>I?W{45 zVs($-dA-*Uhk}TN20;d-|A2iy)1_~3Uo8jOS#z;JOcx~R1(6Lp~LKui~W#4-%S$?CVS7UNfaE*@Bpd4V^LW zvij}Ij`MpwPs;XXNgzxH``CwnOVpJCxYzPLYQF#esC!g_cdnm5rqZ2LtQrGz%R0%Y zfGoCGsur*n&OE?eT$FmY8?+1#xsWqoAHUL>S5<%^bDqw)j|L=F#q=p;&OLTuJ!L?c z5G5tkoXcfS5!08I0$W!0gcX@^i_KOfyTy0M)FY0OD!d)&K{33dKrCX1ni-4CD= zdeKwGus*0Lr)6ij>JD4SZ(s#Cb)t9+Q0P@N5EPJ z%t-qlkcV@ONiBBYz9!}vd#A2W-fvBMfa@o}L$uWOq#4V@DP$rru5~0dkVD0z$LnJl zZBf_cvv&Ea<@P^5XNU90|NPf~`}dEzR9Q2ECI^ws2ZC_5dCp0xbph7GOFq)06-lg?KgO zL48xF{2}d;l{a=YIw)@x(CBs;hqIEm%hO_yOJj9%ktPEkuHikK49GLcc%PQl+N80Z zvRa#GkVzD=GAEW&FX?`1(^Bdz=x3_NFvnYY#&marxKI8JaG%8>NS_|TO!M;LOSvp? zuEwSD9R&ECB~@)0$OjiAFWbR{oV6ahZy864`jFkXxTb79h3aKMSM1_xTb~(djI<#8 z8K@Ms?wnGkM#IWVwo8sT9$}mmb8K1xh3n(XD^mi$`^mRt30iU2_w-<^7R0;OAz|Wa zOl?!^u%KrpJHkRD9~puye)F6h+k zPUO7U?iQC*Q};464t>g#+P0!U2+1`HjGTtRBD>8$AY@rNW_0X(r zbLOk*%Xz@tc@L$DtqT9}u{XTYCpCIQwN$$j3C|6Bp9*5d5~b=)2$4VNZy zKRiBcr&Y*Du1PtdC?_umL@srRPMOtg#v}X93vuw)$5$zY7b4AU#v`lXAdTdjYgk6w z^B`&wf54w<4!Y|6dI~}6spFVo34}eET^w|q9j7c}1*+QeF2|Xq5Ps%i-Moxemx@4K zMjsFb2pn~-N+xox-*hEoX~o7Xxjw!ej;`epWg(WC1^si2G$V0*f=#EP<#&T#e}~vK z_b5>@ck}_Y)bLIXfw!o{1N-d`Av=KCGMle@-a-4BiFIpzhKmz?&!Wtrz*&C+;H6~I z#B(WKr!ebuv70`+Y}A}er@L+I$4W1#xUhux@fKas5*^m;JT_9)V8j?2Dp9<2UIsSS8Xa|sNxC(&|V9Y;iFiql2Ooi z4zbo=Xhvysfjm0NDEJ6X4Lcf=+_h_A55)8I681n5x6%LNHvZx^{$+6+Z3aFOlTyfS zG)X$NknY6|mM+~Rn!;a+8Z0Mm36xihQ=FgF7qDcR-3@VZ`I){rVu84r^9 zR(@s@^;f~A*TE0FMF59d@Kp1cBg0Tvw|X~7=A3=eO3{(6Br--h=cMm;RxI5G2_Jtve*5(NCnILpw_k~qxk@OIiFol~gzFm9 zZ=b=Nju%7uhMw7GIx26*5HAn(uaQnA_3knFnK7HP)rUoB<wfAPAnWi=Q4p_p{uRu45feaB}XKfFVwX%Jbjda!t+w>-q*@z`9s0@jl^3AtV9*2QA zLeG+^f00IiTbpU&YuV7j!%1Vg{rJ@Db?(pi{S~d~eP~ZVdou z;i5!5qjE`}9ReaJldT9rJrG?8A-LXLSrKDq3^BX*G^S)a;-8%rfXjVRcWg_hQu&A& zM!nUY9xOC(;0!+`FRruD>wAc+TP@;Crl)z^QOk%XaO`exXtUdt(WS_z%3G5p-c4iV zcN$H9H6EEaxWtbFsgz6(Ha}f?nx%>5gQbhLZs$uI&et&&@HECd@gVzulXnJ;lgp)n9UZ76ojYoEz*YZ4S zrQ5Vvx=KYW`}k_oKoywXBBFHj^mR+!WZQqc@f@MyRk4aXG(2XWT&jWUy=3r_6#2dL zRi?qzDsEcyj}IO=k^xoQU>1C{@}@bs!8j_VJHmk}vBZJ6U>BdItMO7Coz?-$ExRMu+-yg05Oip`kSGfRgDm zynLggy6LR9wyVSZCvfbNse`*=&A}LbJ>QfS^GnD&5e-Dq;F?YebPr#mmfT23Lj+>_ zS|dylBFf2PD=uh}S9t8!aX=L>?OR=Zr9o*g+1OmfgBq%MP?k^oF>WV6^Puj3Yx!ia zMSt;;2laW#uD2J{-R|?oZm$jV+u%Xnf5*(BhQxy!I`E*z=n;SMpnmb7exp37!%mCJ ztF7s@%*YVoinfM!Wk4l(K4$XA_$R#lv?h$Zk4E31%3!IWxZFh?q?^(MuhBH z>4?lWFq7%H`v$rR8D4YLZwxs7QwZ|vW;ZS_bnN4J{y}k>K_*+%ocQ4sGIt1i5hS5u z{FRYB$BW-dqBh@V8Lp4VXG`gD%OS_b;m9#2u)>gj45OUYqQ(ToDbP65{U>;<8Re0J zxX!`jWT`O_D#^7$@4}^X@;PiKu-IWgQ?ynuYdMvp?)Om*vM*naVxTReAPPzw=~*j* z&Y&%#`{Y}>qotyG;pL+qcG1hvfK*<$Cqk793ivWUe!S%VC9vF-@rX{-TVv95RgO6# zpI$QJt?%teyY1d2DZDR!{4ciytr1Ifo8DgbfUc6aM8KzI^}UI{dE9n?gBnS}<7Z#K zOi|cr11oj4h<;mv0IP9*s$Fel(Ok)i+m# z8Q|u+od|gbTG`_DbNPbkH7OQ|K_;W;kWmk`pK)Y$0kYEUHRV)OXUC<%WzA#;RhKL< zLw#gA-@pv*yy`VC2C$K{O>EiQ18iQpJs{;a#jESh?+l|EkGHMEoA7hWZQ9Eoz&{B; z(<;BOdj0p~gR&u4-Xm{M5_ZE{5bH7;AC?>U^tEGorILMmSr0LQlI#!Q!P9;Rmz^7L zxE{9^k1pps`-_V){dXYajB>Fe#8>ZhqSl=CUO)N`l-Q=j= zTY#KA29S;pFxe%<8qm#7?GNl{S`==#hu37MLrW||r!@9lHxpK?RhV#KVaB&9W5R@i zEafJge|)u9a3x^#Y6mhG&3?1nbtp5Sesn0KZK{2Vo_+r9%UrZR@_%&S=`P<3-M5;w zR~gR`yKf}z%~w}7o|dZ{7Lp2A_XvAi%0fO5dz+hG%4!CbMT&))(`k5{F!M1WNhbKc zT@$$A3~E;Ob`8ps*_pZ{Bdqg`u(y4BeiKluyFF|Lx3dV9G}fV#EKS-C@vBfte_`s( zzfegLD(P-a;}SpR0V>Ja2OncJ(*Y{Urs!jU)D@wU29sAAZwbuKRZvMCFhaNG>tC2e zCEf6YF7X=^sH6=`eE*Nc5mTt7%}am&hvA^=V~Pqkw%Rs`J}~b|SL#iW>EraIR=z4U zs?h4mD9$7oN1XzTQ5Wlw^?=GT&>%8fY5@xXP8{Rywl4p}Ddes75Vj-4CBVQ_rvTuX zNb!fgDrY2Fa^0Uqu4jZSTwME{!Xe-pWC5%|A-6-J0Ln1>?X?GKV@u1rlSnnCi;e=^ zEv%z^F7Pw5bOWGbxD(W=ZE2tLL&%cr$;v5Rb+B?m7n(58lW>RfVjkiS@kFe$~OkQ*jJ`&d25lQf2wx-w_Q)VmF%c>PyyQ1YE{hTKdu@3!0l#Q#_6r!FXT=p+ zbpmbfAj6G~_*><=)BsVjGSFoxr+~H4omp<Pjp+ykc1WI^!u-Q2 z|Nn!upy;S_Qe5`zFJ6p^WvdUSQe|IzqkyM z&_QAeGn{yUOt~x;(A5G?e8G(nqn*1U+!D=TP=yTq5oe%=FY>dq2sg5v4jC&usf+aT zWQK)4w!bslR5JO1ZK?=eloeQr0}I+zZOo6&oP582h)zyBZn2UJdJR4^3$%km)|a<@ zZqU4XFs63ZD>U0O03ReB+<1j7@{~$YMOblpR*zQOu3b~ow}q5X%Y}F_CN=vbAfIHY zm|K&%62tGF9b5^OtH?L07rP6ITU1Y^GjViK_HFm39G2!J^SVL_WEDAxUdMVJj^_s6 z<^(A_8RlO>uyzLe2(>Bkt4){s;YO&}8WkEQ)ulf=2HSoR$&uj93i3C2d%o zhi@Shqi`t*Pg{-+3MY>_0BwBwFqG(IT797P*(r{dY?#UJ$aM{n&4)9{kj*ehu|I&W zMB1K66XnKntlDdGvJa<_6#^hH;h#fAmQw;K*AxZqHYKxgxApbuSXdhGAKwYO?ipVM zNzyepjIJNfpxP4cf{eL{Sv3-=@O53=@!N9lGUaKiC`x)EwC;V7Zojp%pm|u z+|TPyN(5Dee^6+Ta`GHY0h;__OWP@z`{5KSx@Jo86n1RE{j~@Zr16H=Ru@&Puz%Yn zb(u~Ynr%B;?n(7_CkyjJ>;vC|yU#OS0~dV@{ERy%{ZLg;2yLRssC8M#^q0VnEohVR zvbzGDP%b66@1SCxfZ66U8DO0<5Pu4_05=CBObNuM;0&@*I5M~$6D)&?fR81vci^=; zJi`&h|JL;%#oL(Sot$M2loGjNLv6tm70smQUxe*nGwHvqnY87QQ;3aaGijUAjD6yZ z|K-l4H$VCL9|65sHj^Ih-GId+PIJw-t~Jfars}P@)-Y~hDrymIDBKeHl{zEHQ=@a! zM=@2CxhRG<5qhj~m3YWzWZh1@lOUCXIY7EnL4z>}FrhAJpn!!;pjTn4%*Y%v0sKKG zBLfx;h&JfWpS8_QhCMsS2e7y5IHE~r_@K?k6Sb0RtKdthtvRdyJebH_B$`$u3tx}9 zC{zSbs(WR~F!ahvEFO@pA5#jUW!qc!P_$OJpas#Zhky|Co*kX;lHRal0T;L}|C8mAW z?_sL~W0R7{DGJoJ8@83!?q25){o&%K=z(d7tzB=(&H>yt55{wPv$MB(!r&?RZaK?* z67f)#0q%XspUqsrSkK@sAf>|{s^IgUG%S!8cwkyF5Znbl+H`~3qlbuc2qUcil;&=) z>RLZY$y>#QHz7m#c zxC{^sAW!NRQU$Oe*d0s^{712xKO&v=Dm$|N4PDoj2y7O-Qh5U~9EOi_f%GoCu!x7W zXebbtWYnL^W?xM`K9$XevA;{3&85vqW zmbHTbOvO`yR9Ir-@-jLIaql$XCgeytAgMf{G;|4Rm~wDG(uXswU0j=`(k z=JG1HDX%~d9?za06!*;H`yI0hL#dLNpBL~)@1`{Mi2!#&ww5#1rc!&mj`CL33uQ5@ zJ=Z$Ja2*RWczB>C&fr_^SthVvC=GD3Hc?d^y~U<;%Fc()@>MCEE5*^(@>M+|s&~io z44Ewt6sDNsi`y26RuiZ#{sNEwSaXHDs$3k1+8Q5De);bYL|N09ff^U;d#t9fHqFvq zr;O<%X6+W4xAi?%1D1JKc6_ zLN1EGUC3=VeK}~&(c{x?X0{N%tn!w(L{(%A4k5RXp1w`heS7(`PFPH4WASvXU{h85 z9U}z>b^Qv_l2~0rv}CgB8jyyVCUPlS+b3A>h6%a*QAoV8MRynPO)EkaY9fqj~%K> zgk;Gsk(2o18vvOGX9RtelW-G*+8Tx-Au)D0fMS#Gg-~r`=&hvnzOAHP4XL^t(mlu5 zT!4C2YPLSUT+&{rCVa4=TGWc)0e&+XrulR(k>oC$lZ4C%b-D?lDMQr)zRj_yJQ)ex zN!5H45kNS8-+kgoWpj3OvM-@zEtE38B!7Q)UJ;mLGd6ogRJ*{zI_z8a*6ggsR|glv%<}npmpyr z_N(D+c(G#IRL0W&Rc`;mvXnN~Q2Oq>HX0Or~Sn@_rYEL>y; ziuqD2s8klRzY?f)oaD#95;ARl`?bKjD}YMZ$CsxQD4e=D@jssGhM_cc9$JUey1Y1A zK}(!-W=30K=~a|wx56=GE1@#i$Cp8+7ecW)+48T1CRs188ndpH@Hjop&n zeY!rrJT5{@{}K>FJzcv{kAJm&84Powkn3dIxme7SM~xSP5IX(oFBG#@%U8EXUMX8! z|3SG!a-HGa_V{w0b)B)+c5`LMyuEBE#N0k~y6x>_XER9RF_$TM=(dSslkJtaG_ z@;9J%SMWY@$i%K>ldY9|AXh9`id{~B`Pa#N9&Ui#Dn#NB6`EU^KO_@>^FrJT$Ayb0 zi8GDr932ab=iSUF-L8c!7O5jsT<&L1ae!s^OSgQuQan7LC=CCjn)sCcG@}@y0@R)& ztTX>0^;W6v1%waOjoXB~HuqAkO>lwSa((>T-0}+Eu^;rZaE#pJtJ9m*1^9h8ZL3u@ z(>E9A(c5sA@AwRWoI2jru*Bklb5QW3toCakpW^7iV&s5oPy^rwU_lL_zz4}1vpbYm z(9}El5Zu<<+d80rD!IgYm4$9`rn4Z*vMmMEZ6&tJ&t)@Qk(8w+r-IA1u4N zH2Lvx>A=KpcX%yxhR4UDL-sqzmK14V~HRy$tSj?l6cOsxH`0b|XXKKQ3ID^dp zo8k{4kXje~jD3g_z+=G~)JZPPpP2(R_cJ>kx%DZgtCisNvpxN4GrGVeH+%!Nd;#)| zx){g&xv)kvBCMQs7gQ(=X_v&?!zmr}FoS$N8g8ffRe39snKhRjbhw(CBiN0n1UIse zlDTxjXHdf%l6tg9!uE>{-2;!z&k#!*S6}B^6z~-kV%Eo(XIz(pkn7I$LNMC(@nsb7 z@b%mO79^+o@-H=_n~V2nTok{rra@s^C^#MpRQAt#L|3#kBxgIS{W!{^&9T~)YsccQoH7``;u3octf65TEyuSs* ztY!?CBHlRp>93Tot+rFkGk)7B`xv4zsbWGmi0Z^JF_$(V><0x7o0G?q4SrbzstvnR zsThaq^Gd~94dyFwhgSQqrt4lnzk7ZBTF&J~T)!;6Z|RnEap29s9>&=AhjQC5K)FpS z_Lp*OoK?5Tv9;wss|m?f%aG{xd1lIC;sNYC6U2#< z3jpT;`#C52svzwOfTXhk;Gj#yv3%QX_u&+>!fOo?2eyYa4lVaaBF=h=)#CSJVXRj9 z;0i+a>*LEg(7Ty*Sr@9}K<5ChdX8URItahWg+5$hS+fUt3-X9UQ#sjzK;iHz9?_(B zBKim@Qy>z|drXlxYho1zgp!0vM*AQk8qP?Fntf?4ukLNS@dZIy1&smvNSyY9Pml)V zF7aX$v!h1l6j5VNrUs(9?BWlRtc)LFN>L-S!L5cPsL7EDraDZ+Tp#ar_`LJ8pz zwOoe*H6? z3f?y4`=qnYX|3$>_AwXQP?TV>SX*DLeUz3KP%vp(kRNOXz?Rz!kw^0Hn@MZh?+E%tPX)+)qbQI3I^jAO>Ik|4Q60Bl1 zom%nyD`=~&p5$j1v0mSPwK~}a#MRcvmj|;KQpQ@1=ckqsmu=@_Da_BU1Fbrqa0`98 z--NySXqXe6RjuvRA}X%H+*obrXVy_(ZKqbWiFQzsu5n!`b=2_qtqZyJQuIP|Os8iI z7vjyVrvEbwDX*q4d;JU1oSl4euM~3Ei(5<5ej5lX`|@iOhHF4b)tHrR+2nd2O`NM7 zd}5Jxk1$9gbHn~1vm5$V2(;DmYniH7*plw_bm!dwy1eDf_}A;u^#a&nmPM@5-K!9> zW|43jc24g!Tqqpe)Q~<&N^zD&tj(Fuu~Fwj z0s35#_+7W0vXpyPx&OpDkqcy~CYQFS9T#W>0;iRZBKJ-%gFXBG>IKzQs9%8M1`~oh zWEtQeFfEwnd$iCCUVd_x|M~c;?O?Ha*G0DD)3$^C?WlkGGkpur>GSbb2s@KXJc`xk z*~i~$0^_~6k$Bk$8u_=Rb7HT(PmNyPg2wH(|F(LhTpzL|`pjd02WC{BGA@0R5KfSU_e4#dlC~6~%YRr?V*4o9I^5ZFxG`R3yjkBn@4}UQ%zU&ur>E=iz8W=!=m zaa-A)R87lKTAwb+u9gwR#rjyQD;Fcf9MO!&M_;Vg^yLxfrNDvyEo%6dj|?m}7M`c= z<*Sx|r6K=%ajOv)?~>;Ihh&xXzx>>N{1A=bTLc=gM#@{5_d_xh@KzA{5nY32u?nS8 z-nRPnUjZJtUR`;#wu6Y2_l5UVn&ynBR#E?@#$Stvy22Wu)%8@XUUYHRlS!FhMe5L#o(t(ltS`TsQS<#c zmb>GuCgJXSCFxer6k2i5iR#=)h){D*l27(oLptYqVXs7e{I^qrj**y)x{#x@j1jKH z^~gih7Z}((1Pm%RmZ*=W&TzMXSv+-&9t8w}K5an|?S#H$0FpK*ZgS#qoy2W(pK`Yf zRNQw#k@JsVs~>R*K4o>%DYXjGCa-A~9yF_D>FIdV37kr16%cadpx$UumcF20QUIcs zX*Ac;&(^ypHTe(HHy=f?#-_vi4_3FEcTkq3|LF`W+B5a1e9b8=IF-p1c5)E0Xx1<# zEr?(!N``YUK=UW4UI+30KJ?)u$SXy{GJ)sJw9CLo4Qd)_(IDou@=@eO^xSLQgbIB4 z2-RyL;1?0CGT25BAUkdXn7PfgGCdya$xxd!D*6a2z!Yt%iBA-Z@~5*34q-?vE(mBP zQMr1n#cs`4+9@@y`6`GDYG;K-7C8<*{~&b+aG-j!qwRW>$ZH*=l?N4wvP0IR^y<^7 zJY;le>$+Qv;Llx&JSuPfrgvCg-*p-!-+992A(xSGe}aA}hI?!x0y5L4V-OA@ ztBA%3Z!$cR8Bk}m@4jaWan|r%z75wJzRQmCLc{l9Le+zqT{V`L0cP(i(j)3hdU1#J zu|Z6v*$o|b0%7TZ#XueJV(MDALkzX!j7!WR^*dYxTZPTuKM_wnnSL(Q_G;Z z#%2-KgI-CVZF~IcLF9X3w<+V1Q=kj6hn#FXR{}4sFJBh%z7F@v_K>V;%ktq%b5I0d zn?-n>!&xNqZR^jr>nIPl-VFrK+H4~2F?Ks-)?aMVt4R`1k9T^#LGYeO(KQ2|qI@xxv1?SP@=!KRDIr-_|&Kx>`1NfU- z|BV>#Q;;lF)~OTh1j&&5G_Gb`&8HfQ_qHuapJ`a1YIaY?Krc-nh_Q%O(oj~EDEeea zYI;e1o#FBir?*ru#b+8WzoR3M4D>g#tP4ao?2#t2BI8dmRlyX{2F!gU8*VlJYGC;H z5A`>9C}(2V*{TKul9#Ci6~Y^Ehzeo;OkElh(VH8RwYxg50WQ_6*z4daemMQ**VN!i zbY|<*tC#of9kBzAD8J@)AA{IXVn)VP0Qa{Ip#^s$H#YD%$W;sQO(y*3;7(X@6rmxq z?xYsqbcOlGdPS;*Exw;;1p|@^@=H`~7IX)wO5@Gqc)mg`PV@szFFysDGFdNXc)_oOvXYV>(x9#z(Rr}v{f47h{r$drk{rQJ4 z{R#}MNDpxd2Arb#g;vWfm4oNo$UBD(BNZaKZy3cg&|egI?lldg^#jN$;=4aU{_(4k z|L^iANB&#}`vjqzs6TMLLI6Sqy+?#5wNUit?U!XAWferPRC_`?vGXv>dD_Ti*?iV5+Y>!{t)Vl)UeVk-xawX96`u1g& z>`Ot$<)n8vqWQ-8*!uYLeC$Ge11G=yD`Bpk?lu7#Os0lkBr&iQ`YN!bTVi0#_}@7ar6%e1+I*LQMFJ3;mWmk@raORoZBwneXbj#TIR@~fvHmmu1x)zhT_ z!t2|Y9nY0`6?(n{+wpO-oQb$#tK)+`kfz(7UhW>W#4MT{x(CfM>u1&{?}zHX!3CzT zHf$(uuJQ|btOrFm6L_T@K0e-8_#i>iXh1)+Nb~ACYc;+vK+J&wRd;R(fCAb%Bk&YA zt3dUd8pUem4=@Em411Z!>=rchcaSgFocO4H*9E_s;~F3j(!mRfPXHuh#M4Rz(2Mot zusr?tUo)3?p~tAQ5r&@God zq}DN(SpN~$t5d5N*n1l}5Z&8Wte4()c(+pa(ft*(pDM7_6yx(elK(a~()#|Zg^n+S z{_fP)PYu><8KPs8+TIE`)$130fgLmd8w%iY`S{Vv1mzx&!xRWPL}p77p16BXn?l-M z{ROJE5iWr@)f+%&y5lWQfif9f`xVYPQSk`g{NI2PkT)4fKPA6_w;MZv0pzWv;^!s` z#Qg@Slv>b{zzuiy4T1`4CJLhk?JKgOl-F6{boef&}z&HDaQWpbf^ z_H<#FeWpA&vxeYF7_c|?NA5>Z>1{RPmBYsf61n~l?cPzXQS4zH#+RIl!!D@k^oa!9+;CQ4nCVD ze0~OXQ~{XU93hT#Sprs1%+&O@KWN^l`gsJo-E8qFXo1ACW@(^xK#LDipQtG!$n++B zgA59Fh96D;9(Q$|nPE-z4(p$*#9wF=i+CI^L@$?`RT z05Zhws9o1hz??JS|u<(zk`|~Ipi0}b^sw5GpiC7mW$6nGZYN53!+;!smnzgDX-R578Y|Gk;!kt6x$S{Si_nQ68T=eB)TFY~fMr-1 ztI*@hw6x-{fU$Dh4i|g}8IU;f0-I;WjP&>j1Ic)pEVa_P zUA9_H@~#B03}z%t48;VI<0VFHg0X|d_GH_Ta{UHB^ZJ(c@qg|OB5EkOl>GDh_|*Wp zx5*D@&}DKQMo!P!l&in|3xRUim;aV+ z9ijVUN5+(}byte+H^<0~3n&@;CJKtj=8U0M0PqU@cc;Gms+c>63CL5vBY?5KTDP!1 zz4-3EHKhG$Pw!@|6HZntgH6!}$p3g7nESDnj5k$F7YZ3eI%c&($>daj|M=gAgfkdZ zFIOwT0TG>z25!34bvDtsby3dN2wrd|JNnX z^3$W+!`8m@8=QZ)=8kz z*&VRveMXG{Ux6Il2UXifBdXpNs>EM3u3jQW)2q-K)Uq81hd}3LwnRe>oB-YVjBAV| zs7V~MM^<3mkdwjl0SpJMI!4|@E1j^u1}0+Qc6bL)2*epaUa#`;K&1mg+;{Lq$x!B< zQTBjr^@j2FQ)3x?o#~d8<8$a6I^y#UeBWLPs9(VR`Z!w|7XBb< zMC;f3{43K9j7T%0sZ9_LUqEf7d=o1;7m>xB$Q!JFaO9x-$qf zvPw#YFXFLTP*3P)v{NW$nGx&4IXq0i;16Czp*#eF$})-0F7u6MG}EqyQ58 z@Bj0E+Wx)U|K8p1T!9Yzk-OX7ZFhTG zuQM5{afyEpzb^4}29h4bPP6#;{r#6eXPxpXNfiR>o2~4D}Vi&f{ynu z=0mu<|6KZQ{x$NizrH;+V4r{A7q8{>_iW|({XY`FF!h5Q5$^t^L*h5?U(Dy<-?}cJ z|1k`S-}v#n3s(=zpJl8t)E+X<5eTJj#P^|Nu^-x)M22y@HLC1^>*gMdM z*8ky*Z=iO+F)-}V1}4ut&6lIN4;lvKUZ2B3p5;*%012GLp=FRkAa&38CunSx)O!E% z$KU>KYVGLyzkRIb@<(5K4wkIYc))BN^{8(ejD+`Ez1`>b{9ZE?&d@^Jh1-H|Qh}dT z4ZMmFYVRH50?s-cMSk+g$%w-pXMG?CW?0ZmO&vNF0-?Ntic)e)P-un1*r>ADuRf=9#B7&K{xw7!$g#fav>7Tb~?EPv0PEQyroIWD% z*-n48{8}l7OK@`Kr@vUts%2$eLr6mJ`&?%s_*QQDt=v-46V#`4JBoI?IeUve7WEif zFMymjT+8*>E=g0e9ym|l-{}@P+|1)|%KV9b?54~=-R6&CFN8y&+le+{=TY&t!htqy zrIX=6F&5<(TAQhN3b@KbR2ig>+ECuBQ>opxegURxa4NNY)qa=KqzBO4*4RHgqB4<} zt(}~Jx2kpQU_hi1A!Zm19g&__0V6#C**@5?XYAU-(&|&>CT5Mu%Psckv37ap@l&n* zU_UB87wIkDrUS+=os~Ij`8g#a|tvvw6 z*j&%bI!Bkv>gBZfI$3?V!fIWJ2+9f-Qmb7uw-53tyP@bQmq2ahv%j=UjnntoVr}*-y5ktMIYPVzSA@N>l7w1fAt1iLgoma8nC`9 zBN1xm-y;S%puAgyuq=3Vogf^XXszJguv;UX48x?lk#vgzd&J@4`_E7fohp21N!S24N)fyCMjY@v2Yfeg;5%Q!S3Rx< z#3L}X4;&?UnM^*kW0znRasxeP3uCq5N8*wU&gn8MC%DFrxTLw(lL_K~0E_uTOk%D`?wW(5hL}4`sA7r`}9c2_OFm$eUSKIwvs$4Hny8yxr?=l^i z@amA2xgOy1RzUKnOOeH}qIDt^C-Rw%u2{$ea{$a|IwiHQ)?~WH*+Cj=2Puf4Js)pg zsXW3b!9W$HJb72!Nz(^4OB>)FDT;{EU^+uEdpu}KgDED2{$Y0I^n zlN^<)LOeB+9J}9c$ZU^9gko&~G0R5W=KUL}wIW(Iw^)#1KF*U*UKEcb$f^Fj`TPfj zCskxEXexm4^zE7os>;;+1qqJ6feM1Z6r2Bk1bIca-u&<`ZoI@5)VCD)Ywqa~L?7M#M?O1Kwvm2+DoaR_#z146W|?7W{r{Sfpp zT;GXx-P|hy!&H*3O@yh; zW$1Wco!I9$1he! zfwgR2XPaDLNEgY^bXD>*mVNo1CS{HM%zb*-b>_Lc+dT$$-A>%*m;B5x`I%<xJ>!aRlHfFqyHoNKXCYuB4Cr-v$(YiO`#LYNe)AaOZ&_Yq#piTOr9p|GGc3qHpE;1lvwCG5 z;(X>HFS#M_N__EDUV>=f^-^B4m8YNo!Esz+UcyAEONrDaesJ?FmY`^PNsk}wMOnNJ z5O&YKd|h7hW1iJ^=EX!AUmuRoDXwt6XRVJWj#DJr?OL5zs2=p~*76NJlX0 zAuJ~o%YmCG+ygL}b|E&kq0BUPAQlONO=)V>4#z{8-gDHp7PTu5ei!mu^5`>aa!@hD zY%+9=TG=^jBlcoC4U0_ozK|y!Cw;DUA%T_k@nwCOSlG3M+Vvut2`9h&3-MZhIQiXQ z2+_Y?+}fth1#*bZ@@2PqA%&9l?bj{I(2{tzGk*lUIlMiM4?_|0yomd1MlWh6qJWt=u; zpkpM6%NzpWcmffDuy4Bns|m@G%cs6UCT~au-bRfcr#}6q)T!1BAk!2sB#^Y$%C!^) zA_D17k4>Kdwl0LSQ|@oAE+p5;ay3O}w~+-fQfGmUESYBJ?{BTT+!dq{)*B$xcrVm% zaC+W+o#e;ZAzn3qj$Fy%HJnst{X1F?J4M}+&C40?Uun&bXEzwjng-c(7?QIql7&u5 z3I$gp79zd_w#!m0uCg7|vNiw#<1P{))?S0l=~yBa_zpn{CqMm#QhD4(xsdJRBR~=( zJw&<1Fpv@c{~S#N708PziW}nCxWgnq_{8qnCx)JEn@3+=iuw((M0}p3bl#`^p~IXg zM!}bCo6O(g+Klpj@^YUToC9eH&FU{h+kFhjX!c{w) zrY&4SV$QE5>q~___=>`7#;}b~gzpSd#x~0M4<}DeS%SrwvR8HAatVa`7SZp9|2Gs= zyOxTY2r~=3LLTqrr@v4l93q$6OaL*Gi>I(fIP(d>p+PI8@1aK8BIU7}vN`}VzO(BP zgb-A_wCA5AFeO($l{C{bDk^Fr)!V#2h`MrhQ-)j|YHrKsFi>e=M{f_W+70Du0HGi+ zDQ!1c{e(1wImCF9@yl-9M=qJmsnV_F{gSh|c(3>Z#->ztfw_8ue52M_4FjHYOGb;6pr2KdzW0aWwn2cXIaw$7&m7(c*R z@c|B*^|Gcb=E)OpBRCgn;8c@fOz!=w#={Nsh`lp-&6ypd;6hUa%%9Op#`{-AjhlpG zNFNBDqc$5#pKAo6BgRVJ?9nPQ{EfmR+g|QJ7;fqq{|K^b1@GWS&@JT2Up~gRs3%E84`vKEHq*)KH`^fRq=g-GnW>QDJrl%TU%i=6-c5l^5(+ zM=OF&El7i(u_9iL%v9&6s3c`0G7zz_!Q6i46qywqK^~Q&B|bf0%RZeQ1^*dIga?iJ zhMS1G_wm4* zDHM8ECeEl6>6ak8dy0>dyC>80Wec6<%%Uf|palE#%@$0JiWExvDuoh{0d!uWso zPrP~b7ay3wg9(xhU;;b)gB?Hmx1msS|E4py0!d6@4<>M6Yrc#LOnR57P|_!U+cgwQ z#^wI|rY=QvPAQbw@AC=6@KRVbN$8ikV0E8V%oA`^IlLWy0t%sB6>@v*I}>-y1s zj9wG43MgTc2;po`sbl2f9zc3Kenm!Z5l_s(i`wTLptIaxG#9{S3EUUrlcfN1Bu|OM z5gqp?WJEZG46#8evJ2G7K4XA15tb8AxHE@1ZnVq22~7HK$bFEBW~u@H47aCY^w*%j z6OK^5H36+g^S>Dd9*#r+d4Memi#PJNByZK;Envc}PLIsc-Mhsjv-zY8A@}N_H^@Vv z-I(l91a;kc}H7^ZgshJAd)pnH?PyggA8?pKn6=nWiJiF12N% z+{cl*UE@nlEoerlz1$65nDjkZa1Sts8c|B0Z~%3OO@;U_@4*b5vX@E2iJhCDM-Z>F zx8FWM%-GwR{FwX(-gMyx%s;)gD1BSuk0Z$SCKwn|Pb)hVZ;1#+11ncGu(GUZTmSmI zFaDkltn8jFpEo}}u=-1r)Hmr`s#t3Ss}TdM*Gt#FOH$t?Zv#lGaA0-63*!_^;~xyH zES8L+J}(+r4VFEOw}h1JRV4NM?m#OyIk1`&ldhwI74($7OO41<(zV{sXkoFw{U>Ku z9r}?-F|eu17YvAYOx31(I~+pRa07oFRR+t|^j?4m^&Wk;ayXZevnz)&1m_Y+PSSl3 z&Lt@4jy~u)a|t!WV%wl&_X!1Sdd7wF$}t~6Y;S4lhcn1CF6ip+W?aXMV>#n`$a~K? zgWL~~Y2lc;xdKMst{>i{X&4SsJ(!@zPe-?t?0!H(_|(CK72bn7w|@J4=%8~j$Qayr zGusY(XoDQz-aS`2K7u^5zT8-1P^l&RvBZnlC?DMfb=%7I#u7tqaDH1)f5TUOg}?>A z%FTZKaHVkQ7y=OX7GkyP8OY{A#Q<;*DFqcV{mB>xM$g<$Kk-cGv@?9&hQPbKf7l3C z-_Gdn!jc_&Hr?%>q<3MzKDUqvw$dqyhKf0^z@WT%Y^|o;HDl|5{6<6RL8Rn_BdCBf4;hJA z8ZN`1?8A`PtWnsDbTF<{D>r9K^AAYf<8j??DbfJ7n^P{cOg-$M{ZUvSohf^eVefQl z&)}D-m$|oO!$Gv2g5eo6vNogx|2wSYYG1jkmOZWmS#me^O&@^0FdQ0q(o@Lja#-WY zG%|^tv|^9CgfYPyOGR5_k7NvcH%=czsW1S0+$f^alrYIMUxMD=s>yClmy^DmZXd%~ zctvsB>@{^#&XWBt4N))>l7ZPh^g~o0{rd_F>iD4KF+k zZt8PooEYQ)clun7PHdV!er%|2(GMdH9G=5@4n73FPVn6Ujd#j-kO4$^pe{$HO5xq62~j-SvJ3uq&P0 zQceJ#yYp<3pKzb$d2fuRV_ucP(zRTLqtVc)X6F~84&funla&e}7r+HnYo$|d($%%U{n=mE;XRLe+4;1 zg3i2)kC4gFu?q;tCLX20qZZ8ohF_4zUo(Jjo&gwCaEYJtUm@L{`hX5?bOO zPgDq+3lhwF>y|TRp>=!w71Uz&LV%C^H&9Denah?Lc3?|AAHDojZexrdFQ3R$Sp)uz;5GryQ#US)CJ(ptg~miy{s( zg)!|RJ0ug#6o9L4*j|DIs67}{l5xI|Ow^~vS$7T00iCFZZCHq%oBcr4t3E@NJ{qF(Ah2B^f8tW3;0Z6eS_Bm+WK$~ zuSNFRz@%|^HRq`rAwUqT=I1+o)~-!8DePv{7Q5x`8QLIFAhD=+IV2$|=sbASb1s(0 zpq*p-#T{BeXP>hcTn&jq+%FP}$ZWJ@>3oZaTO}O-;~Ass!1)e?=%WE>GFlN_JO%LP z0{?dgAydr`N&CZgNoFQPX4H!kA>Io#6(-yny2LnkYjz^;sRqsg=~ajNzEuO|vm?>T zIE-XmPxEwN6xZ(ukVWod^-!S8rgXZB1z*GrV8K+$s>2coBcr5ilxWe%aFK&4`3i^C z@TB%6uq}q6gc8MR003j?4p`ZA7s7XtwV9KSJ_G0hu<91wh7w1A(4U*s+W;A6g%n4s zG}B6yD~(z1{X)yKoA~XK3P4G*`yTy6~+L(Ef?BC_dPQ&=;KBPN`vtX3ED~R#W;-qhvz9+w#8`J zk=IPlIu(h5Ar0#!W*q6eFd#mY^dKZ{$6ujc!S#4@2K|$6jB})d&~~r}Vcc-lu2e>Y zjmaUZssNno3T|f+yk)F{x4_fPS$Kk_5-%#{OalPIDV7Ghcw*hat|Bji3 zu>@}!I>1}T=n;RxTYgbozX{&*YKrSo#x1L3BjXk(;!8aIMDkbEb~}u3YHNr|4|@Qh zNge|$PM?V1vkV!ZgO%MB>qa@6rju|fB5B4T?Y4tkx@t}wd*W!4r%?$@OzNBZ@`!$H zMlzM;Zq*O-@Ub{qeZ@Sy8~eWd=t{9(%=Uo#C8-CQ+ZjZuPHhbVMK-gRoDVN2+xi@d zpR~F6ZW!_rYFa~{r_}T3!_k}E-2zSO7FRK2`g*#6PO(}N+~Y>L%KZo`;)b#~sLoN^ z4(a(2MALh!H$WDreI@;*;%#aKpJoJB^Z>?@AM%86AcI2?rlJ}w6t)={=@|V?D~$Ah z1eqKXtr8});+Fmj4hkCdN50%29RLC3o(ksvn0RpASY~4y%?JtG80007zrp96^s9RQ zb56Q&?X5OR0#(~#KfAL5fFByWB=`4PjS$%}01GBbL+s^S`E#3xxyec|P;ZU*N@Tn| zBrrN3dHL4m!}lY|&`_x3a~jbfUe6{3-O=@w6JcD>-IDoqzOz6}mEx+HQ=bxPYvEo~ zM77U}Lr|atX&&KTC1#ZOFg<`6r5A$XAJB8SJ;blBwUzv7+iK_m`hbsv+S_FkfQU3e zaVd8OgiFm~LL-|F&mE=0ftQHk76<@E0M`T4u%+uusiR^2AA|bgGupI?VH&cUY3M#Y zx9FE-?Mazy&&o>JTzPy1Sqv1Q{u;&f;n~x)A~8M>pbE00jWl<$=T4KiF{7Ia>9PF0 zb<(qJ*Hcaa2;Sb+J894DZqZHP&zxdLa_}*$hR`^3m`o#y2>^0>T#ccv6ZUxknO4z1 zdu$T?hYV0H+JX!~z}7XXJ^*1PYPSG`aOWvLkD!y;%M>tI|+Tjt7@q%)xO6J@W z-OTh}BXEo!MD7Rn59-f=%dA2exN(+GgVZt|Ybn#eTU*=YQ11tj7f{$u01_s6*rYe& zSArx_KV#O=FUbZ+^HN^mxl+hMY@=e{lFe90gvCY{VCx3{s&7T2o>t)wE>)3Q?->Gq8j!* zJ{wb(-+~!le2CT^miPNmE7BeMp2w(R2N%TM(xB0wAr8^28TU>fb`1J>$;!>lkIYi6 z-Z({PymTUQ3MKwEdvRtJyIlqLd<~BQh9s|M?jxh1>_|GpK!zJuYMW*Gg1c}q>7CUP zT@9w{wJe5S2A_wm%Fod*(@Bcin4I*M$N(JQ1X+yXOcp~4EbIVN)vFZ`@KK1fj|=ru z2e42`xOyNEp24b`Rdits0U%(ie0kB90eb)QpZ@f}K7ro1IvGR2H)1FBjPt%~90-eSddzIGrS8H~{C}M~`?S&inor#%YOu>;UI&8;`pf z9)5)LwqLiz`0%4uMuxi{>tLY(&u8AHoVszbWked1gvqCIETm0#1zL^zR= z-YrPX8Ya{6SCA$20%|d8d}M^ifoG5OcbYW!@eO1Ny>}A^inv=--Fm|Nd-S_Dcnx>! zFHM^G7kBHMrHSv3LzgDD+~b!taZ;LiNd3l?G%>haCZ}7A$C7){0JwL==wr=XrpART z7=Gp~UEli=DnY9cvq{VuGpM+olBONu6eZ1BCWyS7fOgbrPzOqT5gNdlGMxr9qmG)1 zc7RisKFsP7-ri{_kbPVVTUN;PjN;NkN3%1EOIOm+KL9eTP0W4wiNo~cEgBqf(nBXdUL<;F>OYK^w&sl=9cuTY{hP<7i-X} zAqvApN5Zy0+|U*DPs!Zs&iM*=Lz0z7$9Ob{5l?211dOkXa?}##_QR{74z_^xfpc^n zmI5c!&47o+^+C~Gq9b|y5!A?h3#7}Z!B!TtVNTeMQHt6u+xU3|l`OzD#x4$P$&5KH z^Vn?wZC%mzc?7wg3YHX;{zK8-z6acayD;L-WR*ww#F5Ei0T{LcLtaQqq**6eeh~(Y zU3d@ew^@w}c;^HbPJm0MF(-_~eH6~ef-&_G7*W2=J1`=`Y^MB8qr4jkkAz>TO$cY$ z3u3m3HS`&{!)~p*u-N7RPpQTPGLa{)Z3L|+v$ioN=24Hhz@Z+)qvcu$DIRdbA;nAQ zN90Jb#KRfR0o$uJX8_y7#gjvpZlu5uQ#TwejuPTY$syDXgG!Tlt6&6Wb~geU?vQNv z;d34z;z;IxzI}+~e3;XNivc6)lt`rpTR1r63+x)EsU(k?vc1!w%-Zhf=Dw=1%I2%r<&xkw)D!chsD6_WG3%5?ElR?`z+CWrBW;XO7-$q-GAk}mB zw#y9(OVhlIKwfUh>;eJ#ocKc6U(*cvei>u}1GepsaSE0p7$P>s`>p$vf|YusAxIj8 zv!SG&z6~7DumFffMly#ulJg&?4_^v0M8rki1ykxARM^kJkUN>c981C#=-_q0wZs+4 zDX`P!Ekg3I!Keh=mKha+m=@LN8F~)P|J?fg$26-dGNIeSJn~QnpUN|AQ%^B4r~2{5 zTM+mxbM*L2Mg%A9AJl`?!93B4#YkwFQ5KafCqFe2Q7iD+REDbT{Wu+C>~l ztkqk|ZJ)ehD527oEc0HLP|zwWh)zWS(76f#YC*^E6u4>tpm*=obryoV+kL-b*X>qC z`~rZ!7XW&Teyk7xn)+?m0D!(-yuTIzI{!2P&{vE1AIB24C70%nXGk3u(OsMwooda(us#BOhCX6IUHI!4jFpu2ul>!=(}C`yJ}$1b_w zEGd?Oct#hPg3iSWVXj;jryCv;0;t`+<=j3kNaJk|@6n6*J$|MMld^pQc}14BmTyFG zm))LZnq7$U^6s+hC91YnHi{>YJk?y6z8(XNdwiP zuK3W@Sk7r`e1comu?JP)My@c0082&|4vIm*K5<*+8LJ+hJkSG~io+*#pfj2$Xol?Q z0!@arIUzL>eNen9&!ZvH#6;+{wvu`Wh^J&ue2AwEkkH+hoq~~5iBw2e)m0+?Ew-B) zsgV0%r@PIT94`0vM0eZyhTle4>6cW<_ezCWEE*TFt7L9z+z3<8C)$D0MIQ!gD8Df7=0I;T@#jS zOQuwnnTI^cDmwdvDP7TV+RhmrIG1e(wE7^qqXgjV4$P9iz|fdpSb$(;dP+$rZ_0tf zI^8HT>vTPY!j&03YN+lE;;xJ!aRF`oT=VC){b9WxYp7GiCAK@DV%Vs0&+B-|F$>b8 zOLPa?zQB)ekTGm1=K^Cd7G+I0WdawXx1VVs25k{QUQ&qu1zDC*n8@JuK?y=B?GeVC zc30eOUp@f3qc{K|%bBK9SgL3dI1J|+9jLsF3H~xhPUSfFLlnLU=xl39x>29$0JFvL zg@$B?LgQ#HQTS@>#H?3WO&-jPbX3jrc6HMnann@?>-Rcn6<%~%vL z{TP3_wocA^2h>Is3sFV@Q?=L$L+^XZJ&znKVN~A%wU_Yz7+kmxzBH1tK1C!(Khtud zFqSxcr(Zo3tXeb+Z!vv9gD=29lO1Aj74-n5mN(3bZzW^heTxj8#9RmPkzu5>Nm@4O z+Eicw!>uGWC^ZdH?!-szS;otf-edl{$)lSyiho5`;MgqW<`HiH7^l3MZT1+R?G!)T zny2d*P-{@=>+uV`x&e?%xPIS_%P7x|q1#L4QIyB&3Yx+$eVi_ylCsE9Fwe_p9{^CW zO!E=*VWT%y<=KEF9y+iEriz#{y8Ywh8?Ny0jF4$_%xk ze#Y_@f~y6o;E@cMyjzkoT=b4%;e66NCS|yawb1gPK}@^6bz}w<$lfI9hBO0vu#U_C z5i4(WgQ2Y}77n3)35QLEf_zdR*&oY_6582;h?Sbi)pLKA2|7?P|n-}9NHY$20vj*(+!sBLkq_zd#668?k?7IUi^ zyc}-J0!td97}?Yy2%kaLL&j$q0+Q^SP^0@GIk01!G#=ypL#s1mR7s z;!&zLJENN8H3k4D9h#dEyayO|FC*wIPY64uFt$p+e`eaqM+gCIe*d-NT^B%);tR-3 zj-YpJM>y2F4cZ`6g%izx0aXkfn^I$8hio)PLNP~ytRyQc(wSQ77L6L2eWwIfwP^J7 zkX>h~Uw6A7^4Fr#Z`YanO)eVc@j~CPh!Hqg2pdy;xxd^+qYk9UURyNk9F~W7S)Hj8 zYI9%Z$e{Hy<{eoXwfPR&?mEjByIW_}=G~y>x8cZsQJW)2Hkl)f9nulC*{H@-y!p7) zktM0r2ac@M9ND|6&3y-Ib1yowIl+lObYxwnBZHH7CQrRNvfur0|39H=2eITxRsi;C Bv@ZYv diff --git a/tests/b14.stuck.stil.gz b/tests/b14.stuck.stil.gz deleted file mode 100644 index adcdfe26fec8d1682d43f5a1d5c0a9a86b7cb982..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 105382 zcmb5Ubx<8a_bnO%!QI{6-Q77H+@0X=65JuUoP)bN1b0txcPF?*aEHhD-TS-$yjS(= zPEAd%Jzd>nz1QAr_mD)xe);bPdDdkgPc`JX|74h3Ao`tb3UyX;{lua{;V8_$ng%MA zFIX-%HeKhoc{pV~%>t)|WRDBV@1feqF()EG(#*^pyX};ScBH1AhlHG*!{2#wWHi&O z=lOZ*j{1Xqp^6q6nSG(!wdNWe{{(hR-)A4fgvgCLXKj1hi@bZdteD80W z8`IpC>+jR^l*z-=6Yz1emRT$8`+5+t`T8~%?ak6;tAP(e3B{aax*sw|QChejqI}wD57V5TUi@+q$*ibgX4$6cF(K z`gA_m7xdym_xI1``}v=jr7b_-o9ltoj+WKe%CXv>=hv0P+{2Gwb#JGa-2pexnaaJj z{(f(7TBWzQay@U37n?l+Zyh`zgC?s79|yKwiW>(#em)x)` zINx5#xc9tm`Mza-_!|9=(7M!$>)m?Au2pO)+z5Euei7+Zh7bwxdDsrS`@Q8Gxwz%H zsr9kH5wYOk0W{h<+7k4-w^M%ea~J7(*?7^qeCK8SJN6NLa>;X98E`iI=Jc>_xSQh4 zmzlFA{L*Q8={tQP^FU(MoqvYfr)^ByB`<3Ua&m@?kK-cQ9eBCVwM~?bFuEB-@-8EqTk;o0iy)_Q*7 zdjPnSAabJ&@>nwYUES|{65@IGe7yPDZsrb+YHI1<2Y8?kF8omxA&I**?C#lG$b9&? zetsZ&J(U zX|==P(#Q45MrCH|!^h_4M(1;naCf)kU8T?N^ZVVJ@NQ`G)HtK$2INNV>-NP9j@D$2 z%uy=OL%yr=Yi&S)$Em#d+Y689$7;3nC4H??x7XDR56g#>5p?)vZou_nuCF_ZxzQ#{ zmAu>A-t0~8dc+iqgK!&R{r+7Gi%6&M+m_?a2ijKYV{Tk(-en(SLnuan-wwxK94k=DP3mFxlZRH(qjg+h;Cf)ih_LyluFThvA*$ zmmZ$SIDu2WrdE@MZ7l`uEd{MD*O5nsni!hSg__PM?0s(Xy4vg=9o90}?hl%~yrwtT zn|WIP)3A1cth>hDY;U_Z-&|Y>xyD`TRjb+k^65o)M1}@32OH*Qn^SzLK1A86e`eo4 zUN0_oA5cGVwtC+8Hi`V9aUSO*M7H)GnIk+8H3Lp6JPEKx;4X8*J#)i6r&fc7QKEAr zJl_v6Gd%OJ<`x+nc{wjXG5kaaVycoJ#oW$xr<#>v*%iBYSJv9}^K{O1)^vtb)v5$m zMt!5%)wPmBp9s?PTLX*3`&>Z#)A5Tf{^S0+r53qikA0-F+`xV5JMW`dbi}bvK&V#S zJE%Et*}1fPYDjReSqe!jUkWJ+ZRryo=SxkH${D~^-)%N5D5Au|<*heI*tnN5o-a%N z9^-Mm$bWp_jd*}5E{K)Pts(4HD7V^OEoCrhr^50t+u6xh-To$(@*o!$Ex{W&RNNnh zX#Yf3yz|n*fCgmC^!Xgpq#@^z`;}sY34KK)8WEz8Tx0NE|ij~aY_6=o;yYT(X&TG!nj>E z%riPacN$jy022`paY0E!BCPq>)#!)W<8M%j5(%Gg*3FmR&F$T9--JDpNe%@YJum-V z1%GYuiBNKdzB)!>^{pD7PBR!dR2@Em<&O`)$%SOYX0-Fg9|API-JDu^Url6w@F7=C zJeQjHZaxKe-9Y#Vso=*fyJFat4YHxX(jZo|9%a;_4cXxS=_4EX>#1XvHL159`;WVo z{Ks7^Ld5g=9bzdjIzJ;tQ{s`V4C&R_jhcX6+-D)~ib+xxuRv&&N2|$5V7> zu25g)F}L|Ig_Y$JDa5ua-}z8n#sXSd~VV{HR9=P3+m zkSU)z#lgTSgF-;x5eqKSpR(kl9@bl&aAb~K9I|HwNg1-|`-Ocq#enaJEN9~@pSjGW zWuB4WkB6(whGj;?ti!jBo=;Z0KL9cMPP(2}wgf!>W8o=%y+z1;Ic?dVYVJOI-{UTN zZC&|KhzfZBp>e$u@NhDb`M{I=$}IBqXRG_i!wE{y(!R-TXdw^$vxRf-)Q#uK><{mmtI_lU zE|{U9F$Wm}LA(iH{tP}|Z2fz?#=gVC^r?src3x6l-u0Q@x&!0~=il|%$e!H)s@ewL z2-&K`x(BvAx}NNpl2d!!AH5B$u;Y06kPbJI50R0hu!V^nu2-Bc8n)N_#Af;r2NYcJ zr-(0YiGMlB4+&)F##qKb@Svo%6z>vE<;H&+Pn_rba{!+BmnY86!@0&%rCkG&*q7)3 z8ZDnj50R&5La*o6Y;V`ui&r^;d{(*)hf-mBi(fS9tc7qU=G&81$l-ti z-lcI4W=@0;{$R)fvL|}scl}o<#{uo>_3bzlO2lXpB+p0Ai`ng*9E)sk{EL6q%hjd- zF^GKrYc#bC2z3#8+7r*@$TS|JoqLJ<{J$XCxtFvL@oY{+PjUgyngO8K(vd%UXUkjJdgcM@@8-`WmkvriMw>+}J+I{N#rLTnyBl+*JRiO{ z-#!$d9}Z({1B~Cy>(`Pd9e+&*bY0w^-W;^#ZoJ<5-;715*A~BF7905c&Khn-zOZ*c z-(31C)o2N5dHiMxd;c&I;pVrvwFU*`zPNX{J^vYMIW%%M>R-qwDLT)6^={*Oa*=q9 zzPv;k-{0I&dVgLuyO3YxHZpLyc$-5iT_|xLn#yf^*>{|TIedj!HhRB}K7~1*WNAOe z|M+*E>FL?yedkj)JR6Ea(j(%+${g`BmN^0GY<;M~%aUF8j%e%VXZyU$a8xqv=MvD{ z)8_MXemd55^1#%obJ5f#@*aSbD2ImE{N~cyuhI^VS!2&JKeE=0l@*L~` zX&Z#*Sxqv)c{T^|eXu+_@`Wx9u(~l2$I8nT-FQ5`Uh4MmPc)T{1suY4smqr?4y7&} ztP*54aYk-{Nfs4S<=uL2cRp~QAO66D;9%ZYskjYNw(puEm~9*8m>W_Jqd&rMY@_X^ zn09?8WNj#Q!LU>P+o~Av=jPWunr1usvg_`aF5iC{>In3-|B58h5D`5!-%lBtW2WvJ zM=vdgSX%lfk8^D?$I-qu=7x6ar&t6|;y(-u5viP(TYhZDe&l7mC8 z+kN}dkLbgNcJ$3QBJp?PQg5bM?#^hsy_4cE6#*h+7co7q@0WD~X7%_{@>^d*zEDl$ zp6;CsXLq{MMtp^h;qRK4mh4l5C=ZRmWuX{5Heu7au}9i!FcA=<*Hq9saV%j2%~KUL zAH@zQP>vECGy}!k5Y6{uM~tbG8M7wk{i?nlYdUrO?*kM7($qjJI zHA3_3Pi8crD%Ey#KO+Tn(p(?mtdvo;!D0{-cp<;B7cIh<5MPgNHj6zyXl#^9bH4VmrCf^R`iA5qI z^}Hs$Ju*Tk_zkG*a?qW(J;o?9l%FVuLJ1v9J3XgMoqIk3;-W2%E;)o5&1ry}Tkw)z zumBMJL0#0Oxm~p!z4`Kg_v4lCY#X< zO=)jNi>%ywlkb|(HH(Yod^-Y1w zoJ+8%@9hjSlbVC7%T@nApTTV;Z(u&_Ej;)NZUb9#>y}_7i4s8ZEVmp=e_M12k!)AP z9!y$GxJ$A+E(zIG(1<@aE}xw2nBhaOJn`Etfjd1(^X(Q;3TNiIVmAv+>ZJ>8rO)=ZH}nMaP03j^)yxww;;`G5ax-6+ljA zT!3?CtBmN1lE%YKWZ~WbX1|7k?&|XAmrl3ofKL=DXbkPD-+u+ zJ(od55;1*;<`gTN1xl>hk}TH_Wg1*M3t4W4`PhsU;bOC~Tpgmy0(s??{DaUJDw*Vr zgG0e90J1sx4W5B=!6&3d5-e8?sdKBPFi8A5B`)5G5iOHy!Aef=JsHs9*YH;azZO; z^qyBXA+mz!?s{N~OCB1oFxu)Hg8oiVOPi{mP`#~AQU2y-PX{tHjR`{owjgW!I@fFV zf#vErd1K57{Is4%s>eaj1_gDJ@$OGT=7k@2QZY0Y~Gd zftITU9WogPDZI{C1YC&@J9tZ)MrYk~NcbcCFrbc%d`q6&mFvWaKXTou+3W#zn4|?r zPLt1KmZEGGE2(t0DmF}lhQAMbn0#c3W|3I3n4D@y4RZftj1RrX#IM?k$8?sPhpxy? zC{2#Twe1T`PIN61qTMn}t{DJYvd`3!PCh0VnHfE&aH+MuM+_hHfXpp8koRl7{TNyA zzupkv$VsDU|R&k{)HW z>5l5}#|3qMHBl*hQ{98wGD~5r=PeRs)x0tf!vj}~1a?6|KAMzRaDHDMfoU{4r zl=LSY*5*j+qu)D}vMxId=7w{*#Hba<+ts4^K<`z|zVLCy z#mhoDbw3of!F(d((1BFtbTv;Y&kQajiCiS*? z63B97pQX*8=r2M=n+BBbJr2;`zJ9;2X8sbUXb!qsaU=o@)EEBEN#0R7Ou-z{0`0ueU^zlAyy~zF$!# zsuK7pZ{_OZf1Qs4H-eHT#L5Wa{Rm3XqXS|7l^jxGfKk5aEP%9|9#ZT!c3v@6{mtT- z8IHJ#=`%KMncl1S#m2srAubB`JhZti>2z?-QyeigiMKHc%WVF~HcL_z60I<%&f03B z{xyC7-(L_r(>w(p69F(HRH5Vw`)pImv+Ver?Kt8+F>%DB*;;Od82Hq{S?ySEo9k-I z?~hUHcD)(2_03I#(z~cBIGmWQ!~kAk_v?2px1L$!tA6@~@o^-x6p|`F zOUciRo1b_|A{Yuh?#I>8As+hAW1>2?K3R5zz`Qe)LOF9tU3Jk;u=B3a+1(Ye1Oi0( z<=P7&C<4r0=DSQ%(JCRwMMhRItFE@eALw$3MU-v{7AvhVs#2hhouplTgJg}ovZ@P% z6cyfLH2MH34;b9H@K=*@p#QQO(`7v0v*}*u2AUw2(fO>tfX}ksVrm>u4VndgLnfy? zY8@HX=mPJV=?OFmYh+>qj9()2jG!CjCzWqMR)gDIr{@3{F8It(3#Kgq6)c*2w$&d z0}Xuom90Wx+f7tl9WpoY0tjW=Te(>8PF<-q9LK3Maz+nYhzG#!o4%;jfqK-aB_vdf zh_7zqs=8bDF)_#QCqPaG_oMXt(~5L0kdJoYSQ6US@@w)w8HX7$ju0f)V7L2fMa$a$ z@%fke2#EhoUu~Kpwjka0_DbIGpjNy!`wze}B)=V7GZ2M~ur(V=&M_w*0olYna71X! zOYqzAtdA+XJu6+x(`w{~1v^r@q-{O7bqU42!lhF48Z7C^B>_dU7|C=gv%=YA-;M6Q z;S8H-Mr1OEY?S#zJRFD^4omt@X-qTiY<`j85F#%f**7O?G#?f3H{~G`wcLeRHs)Q> zh#At?+@O*FxEwJ<5%rmSR6wa+IVWqyb9HN++kbqaL0DxyjaJ9nSx48Bo!+k$#QCN{ z9huX$OQ<)CShaFUw^WoAESU{uTJSS4lDLNR-oaiRK*OI74Xi*)aUIa+e;m0P$LED5 zax(`Q%f@qR+~$B7?v*dPWJ)=ODr)w>B>d8NlYGBP9vH+I2$G9VG6%`2NvLa|$L_DA za+I_z!z0geOg7QesOaR0r;1xr#N`jgR)y9JuEP6LXg3yFjLL|#r&QssV_e7# zg9VN914^ULgv*=uY>&&US0{+i?>kxwzb=<{%9MB>g8( zbjiT<1Ecu+^v#oYn)=OFjFZq?2PtnLk5P6*f!t%3OWpf3GteMKGsNL<*=M+aImPyV zSyBj38&WCPWvObS1Iofq(j^!BBG9JW_{KSTe6I=V12?}XRJur>PUov$^vFb< zEUXlTy7e**!AJdiScQ~SCD(6^m)c8Dm5*q z2COWPr+lP652s)zdk;Zh?Ukqiu}wrU!X9zKxT^SsRo><7zSsJSbZ`yxW?fOt=XvWN z<4q?Ur=^6@Nhxd-NzLM%6+9am8$0?<9HCJHv?dbnw6dJoFL)V3UUt!fY4|01OYG%> zJjO}tX;(Y3NtT-5^neE%)FaVRocn^0L#}XUJH5Mxd|(XviJ~ZXJ(T^Rh#DQ90e>>( z5?5x0sDQ~LV{GYpiF9V{IW!Ak`2KJ0x(4i8YwPzDnI$XGL0N&g_qKQHxz^v&O?FCY z{9<8j2`sGd)8koUL-s!(Kj$Y)G2x3S^e!tTq-0N>Bk`gQ<*fcwG}^^$Wr)kA5I^nD z64>tyuSz!71po;5nlt+jb+c10I)?D{SSc^hNEveEF9HrrAC?b(dj8j6e!jD6A*iM` zrvV9otNgY=?&Y@H_B;vc)TlV2W~yij&xB&v&Q_n*r;E}WjYaY` zsa}q+%gCuiNYqqisv-q43)!r4Kg!c%;;o*HpCob;(CW<#%y1L*;nve#`qmXl&*v$l z3-{L2>r5GG7pSt&pbn(AfAIg{p~xufOL(g3kfE{3e})(|T*+LDJI;IUt2t8tS`4&i zD}c+A!kpOr0u-Le%24K9GG4OV!bDmNy=Zd@!W7z>5S$fa@f}8&~B@bj2F*`Eqb39O~I!(5OHzAJ}b&wfm;9P zMyYeHremChpR60G5Xfi6D`+C2zC~8t__h#7H(qk@ARR_^rP^ONv{o$7Py4W0xXZrR zI9Dpf$%}BM4v8pdYoBd1GNna5h(Z4fhZFPzXt!*q|3a)d*t-gayx!)OgKiEJ zRq2lSn>Gg`9*P+eeZC2uk>qN~6*37rVWXQ)GpwgbHWpu2_!-#tA>gLDla9u&Pps*D zc+c$uo1bBFDygeLh^KeXbTlCA{Jv)Gah};7`yRochDbhK92o5k8DGk7!R$)i;Q2re z3Z6@!7vSZ-{eg!cai)hS+D1_q{Lc$;A4U|w;TnPE`1ufqGjKn=e%{pmJ+W(*-MEU{ zZ@cFMLB)V4($(!4eWi-cQntxDCUr$-t~fwt!57s)kfa#jyw+Hs3!TMy7WPt7bsj$G zJ6Uau+xInF*k6gMR6{jKWum|w{{ALMX^tn?rVz#AL_LrH@SqI&(kSWQeu3Cz03oF@ zF{}O(6FK%DnQHT2DF};*V^VwS*j|$5Ed77ZVs-VCnB4ky|UHzd}MCZ-n zD2FGYR?{QCd%r$izM*T{B}{34Id3@Irdqz#=LmDu>-+1ZgZ-WXHmR z?7mhRBn;Zx*|S=&YuJ{GMB&5IF-i$nm+3H;sHms_P*`DV_!SM&!lYngq7 zSz#cVFy;uAQq}HkrnXnjHeH5vbYcJ&ipoccEcPk4t_m@4-7h}Q(^3Qv&UdHJC9JGCxXGw2y({?6{xU)L=F(6-gQy=PIrn&C>&ZmWQ;9QG()? z0Y3}z3q_OE9zA~MjIx*3Bj>IANDZkkp+!&d4Ho%o4jLKXp})g{g0?mL&WAb2N^%8x zs5hqI{(|KwzP-^`8qSUUdZPy=%xTh;r=~C$ImW+O43hTCxj%+H5=i}BzAOVnxhsDL z2bvOg1b--}QL|;@%tVoHyD|ji&KeL{5?82&-k&vNf3B9%IapVl8d%b%m@!A~&TXa2 zx-nMdVpuQjTxxY@C(F~r1n6Q zqet=cVxx=Xz9=1vp!)>w%#^rh%m}(aM(M1R^;f=yk@~(p-KGg|lt#xrcKDLhbXb)K zONWHU96@BF5lLD-1t$aiLX*&v>J-ZXZp#@W6F9-L~gFi|+5=wvdQG=!V zU;(w@j>{Q1?+DGK&LFODRGj-a$||^+5cu|7R&~{iR&|Ap5Lh_2!FFBRwp=+iJr+E1 z)rv0iHs~CsK5eaBKlZlhBFpl8`k1h!kSxYZG&@k)NvSenNY)C3#BMlI2Y47VsbxdV zHcpI=28X*nMckRnOq&#;L;CO$^vn$%rj6%iugvRH5PP{@jmc&QtBKG!VE(|zu9IfY ziNN9c^iihcD{$}St+b8xM`xsEvEsU`@Be8=Qb7WxNrTAjJy3$c^*I4V{Xm>Vwr5|;lLzoQ<0TUiH9Rr1Z{?eR!rf9D`k4? z8}|gd2VwWv?%@mfkmNzG|MrvH`PRg;4l$X_uJfNoHx)AQxBsI`7L7#X_rTf`5*U;MQ3 z8-=D>BhV8>1`UEeZS}fj2xewu_$XU48MjttXkfe{_SRZ`Pl>b3f~iwx!XZH$ zqmWJ6Z0Rj&^X)-$sM(F#;ge5?`9sZ=oHGQ47L5;nF!W;yfJqi1aPE1)Nrp3SsjEKN5 zmoxb)&2Uqjw8Pg5=0$0&kz~*hTrW*CzXpq-+Q?=X@tL+Bk22;HA!=vjdNV2V)h;)U zlLk9LBmU!}>^L!T{%)~8nGzj(#b@Qwrc3+ewXL!B-*vb4lLlKB_oG_%LZnB?=vSTSCyn zj*s+83U)z|$+GG<(^&JEvG|cD$0M;J${j3siACyc4j3s`SJw~cG|CiiaoVb(vrGu; zH3IIkH$n3vbc$S|z?ve@JAl_w)Z|Zvc9UuAM6WgoSlh<+3Jg1` zW2{{U;!Fvs_bdL4*u;~`bjY2>ZKoQIsYjrk^RjAYUE=1yo1KsriC=(Rr=MTJ#mDu3 z?Z!TmD%k5UKRJ`Ndn9ARG>E7OU@TV(q{=r9{e$P|5e@f;u~)FKg69ATQjux#ef9## z$Zu2@VgJetPGVl=9@|F20$Cqw62MbvqY6Y?kHJ3ic%_=r?Da?lpa;)d)Jm9#(>&cH zpCHP5X(qcoJRFI}Pp`ykw-=PJaVhVW(?^IDjDsW=GQ> z49_hQJa&EC4<6|+*$Zcl5_Gkc)kOzK%BLM;s^^d6!fTr5xmaI*%BW=_NPW$OUB4|2 zI8}7(wy1-jlV_ibK!|^D^g74|(kQ)@e+QCgRDGx~9Krb#|f-VZ6EaEA|> z{Efo>jlFPt-|QPhT*9n7$se;#lUd^!pt$Y`rB^4W+dty$607qcyfbLY+0KRWx}Q2t zcJ_>@(^|*@N z>QOCFQ^i#8X`Y@G;P8+WCRCb45gUTJy!qcFTU5yO5%p^^b;pdu3@ zqnPg>9P`oX=LptxIWe34!CtjG?4B$|AqD4p4?ibz-mliP({xDCZ!hCV%%!+E=G~x1 z?WqT}Qdl##AEQUf>B$6Bb3X-ZZo374WKAl?`!I0P6c}D8_QptmL8d$iXFzNbWU%7R zE=*fLUxS$z#+KP_j#s=79UZ0Lg-1dt9ddlsbon>{Eer3-f$f2e$|fc@g>&DJJKCk? zCN6tcf=>NZY1f5M@`LW&22g&lw~hsgOU=S|Ub$a}n7rI*vK6DGj;9zXp<}eIOoOLJ zt+3>;OkHk4K^`wg2f=-{ILS%FkB$H+ZYOxNBy%+0dZ{_m4z=yT;Y>h9h~OVdW4CTE zaXU7%=ivKXb-?3_wBb_$u1md> zF~ndS7r_}X*yO$XIPiZY6@Ox&8&kHzoPjwzD#bUPz`?Zyxp6&HRbgTmC={4F=Ea0| zX8|amb=Caxd~e&o|AJXYlqPh%kn`s-Sec)NepCIM^6)~x%RtN-qNf_dmq~#Zs|62h zDEw0uLC5CT{%>71p)l|FEUo9|EHFVTOx}vhNTab6oynUAhlej^XCkoxQq>K%sPFtX zu;DU=H)pjK?k0v>4B=0t8RKM=hCSVnLPC`c$Y@p#2eS)&=(uG6z3))VGzd71GaSYH zlJ`yP6;Yra4xbm{PqNwA8yCaTX;YCVPkfb)C;w%y7n*eShmR?mI)ORLJ_dHIB}eIa zbRY2OL`}y0S(C{I{&mB5{y9P@&qX3q1s2s;Ehk(T)qz$IcR)`bSD~OqF_@`SgE>J4 z3IWU^t7js(zfrTv=*rHOS}LW*n8C|J0e!$kZc^7aw6YF{QNy@4f4YpT*q=ys8Z$Z zB?@JCMroumshVpdtSWLEx_jq#U2T2Wuw+U`7B>4G@_ISi)(6&I$kwxE<+;k5mFlU9EK* zFLMBQ;Cf1dTlUY)+wUaf!3$F4wx;4+-n5j@;HO?b994Wslf(Eo+pK8XocWp2I|`+? zH|K-O&1db>Gxt@tzqp6UNrt4z@l}0y9JyVNHH9+prRJs{RuF3(JB8_Q$qYX zqdPB5*;Py+C#?~CH}|V5#9w_y0{q6@*_>y|jusC`jr?#rjdArWS*k;3g&>HYM8%{^ zF6xPUg6!Mx(@HQsx_rwJD7~}o|3YJ=31UXs3+j>2d_Dt*`mUIxLI$v&Nr+vu>ZE9w zXMRMLJN-7_Bm|nVhA~%&b0LlM1ijs`c~}&OVjUlJTn8*61JPDjQ#eF}iOy>d|_$s=jlFU+g7Ej;Ij z>c91eZhjxK^vIIr5^zKGqHaIfi3IE5ah?o2pfZ`Pn<4DN>g?3_s}#@o0&txOQ{O3# zehmT3k)o`w^b9eu}i3%QT8;B7dj zy!=UkYCjT<=_u8OSgIi8`m^E}EG7XZ7)FZ97T)Dw-$#kOzp$L)QbE?2tWelAfQyyF zg=kjW4u%519Y#duWk#;;=0i4ox?*pO4b5lB`%y{z{u`>v3M6c<_Y!B zLTkW@uI;+TA-haT3qF4NzF9mqK;|Bbo+dRB!!161-EzTl6wyN7iU&S7%Wtl|(3swA zB8^k%YJwt!0a%g5MU93}Kuy4)#jedObW2AD@{46o-q4>BqpegxN!Nwey8MsiM@|<_ zai!0<8VyB6{=p;!iD`EZ4dR~GOoIyDBt^hwP4RfzE3^%Ssz^ZkLd{qpx9gVvl`QAW zgvSW=1P?e*$_`~e!Nq=;v`(yEkLSts-rl?+nIHS=2@G%v{iTaSfS)!e*7j0vOPW(t zE{ZD!qPM3jgv(7};SE5usQRYCZm?SLrTuFNncYYj`-Hdh%{`_J_+Dgt3=N1iuD$Fj3q0$12H}&6&9Z%EjdAK_wLtN2!?^B|(^p)y zRbMjBO)pZ`s|zROWu@;3JpfeM|E4`^12@n0UA|~SCv->OJ$j9%W!eM=MTgFQ8QoAa z(95J$C`qL(;G96b*TH2~hF?m6gpsyiLi%kktyNw4>QwA@AF93Ek3k&^hoeXTH-4a~ ziRwDU%=t_ukSx0_oe>0x+yq<57TA2=Hq3q3=W@|?ASwlWCa~RGr@x3nBxi)Sd_i}= zPF%(eo+{8e7m?mfUhRm3!{*NPH!mA;+Ac<(RRSR~seaxNZU-kl?vJwjqb<5!VKVTS z_kXma@&;!&s87quN57FrA+_9kBgstlm#b7mw`EJ9=<&Y(`?FjxMCtNK*WWaKX!78t z|7>HKiZ>DA6>jpA?Mg=}UA({HX-?6r$aJJ-id#vY4bR3-dwBd=3aJ^t(1OH2sWy3_ zy^fZ)I5wad5J(on$>Nyb$#OkSl}%3{<=$S;=)HkXlvg}?qR@w)TzMWnfuXsoB+rm= z9q9JyAp`pj@i}XBNCN9$$%UG(RNYK%f9M>FpO~KH9zwm|G8)TkqfaN(J_GG+gMH3W zlIt8^2mIYhgjfh)(IMM1g9N~%F9i>!08KcU;z-?iwK{uXp7lL^Al|51%g<%;9bRT! zia!PY9EbVez;YQ;bQfofZ0Y33oY{qC`@9UN*`1DG%nie4i(J2r+F@z4P8d?}mPwD* z^{8jS{fN@3a1`mJ9zoO&&*3&bEnoM^MDF^9R1MBG-ptUb>RPa9R8G;L4M9N6XVetq zWz@82OdIQ8F`W`X#=jSZIBf6faJ_ydO>1;Q;7peuqrpPg&)Gk|^>M6NdobtU`&;sg zZ%p6mHHcL-Bna$_Tt6~U+JCR)PvSVvnJD4qE8}XMQsK753a9UdO_o**Qem94Iz8^! z-z{~#-$PtCV*`rEe}#vmY)3YQG56;LdqEXLc|J`9M%FniGc=qOX`wFNlW9#?Ld!!Y zva5xwUvyQ4@b7{8qs4#MDgV|-YLHP*VWJ(w1~W?8;s^lj@)o-~a{~s{AdAt9t5PjF z>rV5ca?q0pq4iuj>H%@dD0nC9JL#~PUxGD7#2E7rDoG{Jn%J1G|1`F?dY>i_}bl2Iyc=EagE-sL{ea zuOVC`0`gWQ(ql78f&t%-L!A@K$5V^1Om;(lsprPJ>|Y=V5bxzlBL7AOsGYgfY9&V5 zDdbfV6M5;=JL!jb+(WoomY)-6S5vyHRhEacf1~9A&!)!Z0~wTc)o~Suy1%D8_k9gA zv2dv2?$1XY#FpRd;4g8xOwJCc8q}45xlCk&l0Y!G&(ESO)-(#MkI9ov(kXVi3BjX_ zo+LGM(L)I&Bj<(_C66Sf*S5DU9tx9*3Cz-%G8Rr{_z=n&ZH7W5STdReT%&%)N$> zdt3N?4;DnGolrZd2;0rXUnC^Wa*Th?F+{ZJbsyE=qn+q2C7K4AyacIxyQz{`x{$T6 zFO`L{&#t4L+f=5}V+GTf{9V+cC!ytLNWgQoxxQn4yhU0pQ#Vj zuBAlSzk(f+oh{l%9WE%mi$$ILP@LZkIAlSL+iO7YC2y#1hyKQmP}0W08wHbUh-H?h zyMkDQ*fx|S|CddtK1;jA#hiEJ*Cr!*2-JMCe!8d8T4ZGXrLPkyfo21BntZatPe`obL1O)=)iz-#LYHk!fF^f1`o6FsLxeK9w5Pg< zw&rOWIU`o3w651*G`k9vq$4@DGCU~whUPrC-xAI-l^nD>IvnJDW=_)?Gn_uZ5)^5H zy|SwHIWBmSr2@KR8$42JNsvh*)X))I&msdNtf1 z*9IImg=a@Mwkmdc0Sk7V%3)c6#=cudPM|kSNDF0u)Qb?t7F#m8kg|mieJ1o>wlDI= zxIknk>hrpCr0Cah^%-oE(J~V&c-#6Vy2QZ@josuV7bk}KnZ*zkXO(Sk_Fo(!jtk$H z#fLDNzY-S}mbyGJ34n>&@W4~-R10y>nhS$y!Ww1mwhhh}tn6$*mw)s3 z#530ECEreTcP;HiP*$_7(T`2L8WH>X_;{&O)=^TH1~vy^8lLZixESyPO!`SKd|<(~2vwE3-FH$$_-KAY(`f`HnX zdxbLg6;4ObSATula(^W7I0Bu{Kymg^PC6Nm@-yno`)`1IE*@ovgw80qq15FgWEtK! z6yDr&x zfiry@^i7w)QJ16n0WELv{>+7y=%t@#vHW|w!i5wS7DGnLeuHjoI)BNv+rMriieJ3q zjk*}D!5X*H{pQ{}&*XJ7>qu%wE#Hmez4B)z?9k?71pWM@3$s)*ptQ@klUCI zO|>dIR~BzjlXif4hl1m$XW>0f{7G;?l1w<@t6c*Jz!2HJ!?c;u&X*7#zzW=;?Z2ZRCs8Y{n5`f06Z zl$h~M6NUO}4sY(*Ja4O6^llF>v5Dz%P0r}Xlqmu+1$hf%{C#^#c`&SgyJA8Zpr$2(cWA0Ef#w97-*L zfnldheM5ke^_Fku8Der`lyTI7M>lj}27t9gtxZFQ&%YI|m^LdW2F0uHY;5^c^h>vl zorF&ct>aJ~JyBHjtZB~UMPyJmq1x7N6F>=OziV`|!< z_kVY%3()||UGRgXPM0T19f!KNHIas~^;m%sxwV+|eOHvwXVW?Vp)@FSWwyUYM47)- zQKz_QOYfO%wDK@B#RB=LHIijwkCzhDTiVshzq7q(t_axJYsPr7H2tO}`=LJV3#j{Q z$Zl-@i?6qke6CNxQKg|S-A-wTX63dPz=WeX!!@6C6aC+7fG-6z$dqNlw6aV#NOr5R zCisym!rO}Qs|5hQTVLSnbX8dBs#80&!N=G%Kllkkxf%YGL@z_wQSv1r>C$GO%%8Sh zouX6F&rcmVbIlq!v8jOC02XOv|Bi>%Nqq3XGbCEQZ&?yL{m3H=T6uP{{rFa5y>9+q zO;_bcIxOc{cGk&hC$0Xd3=Wu~;j-a^fn0pl1?a08d8yIXr}*@QOhY-s)n&FJ>J+n+ z9;;|14V18v-fVfF_4YIMU@`3qr}7j!g9iJzqqk+)N-4_iHf@5}wKx}hJ&cK&IFNNT z>Fvj{yOT6Wj=6RXMdE92#Y$Skq4>=`DmrWfr`+0J3EComvVtz%(5;#(CufP4}BbgspK;a$Fv~1LQgUtm%zFR$di)Pv6L^ms}gl3 zjdb4|ef^s;lCqxepuyU$(B_+g?4gTjV6t^QFv8_3fNZaRZ`m?@ns%+DXv2X-lnJ&h z$s#M|p@zkq{=sm~n$>h>o=3MaQD!$=T!$E;IC%pJe}Aic1$3yDA+jn5JCPh5u}-c0 zw{{-b<;u~B6)P_gcI*<^)kLmyILog#^2yv|3D70y+ zLkMZYcgCO{lgMM-qzw^j7KHc+N%#I$<3Zt5}R6rt2Gw zIgT{Xe{R$N8Nl9OgKQx>F%5^QZ+W#9`68I2mC^VcH3{s0#7Y~(h+DSxLR}~3-Ee6| zJsKOTSa~B_&mvjw~F}jrQE8)0QVQ8t^p;(ddW<_b%N< z;l}aeIsRD6CTe1YE9rannz+;qWP@mxb!U98c1w^$z60TrISa`@*(~Y*j!!i6BG{5B zmrL=CEs4*yXmsv&x100u_lNMhXBTLhy(Y6{`eDe(&?wRvd1Wlcv^p71zu~tHSa<3a zcZa(A>KG}7VVtQiX{ldWw_v^lA&j4;&SX)w6UHidX(DUmXr2$_Gst(hKaZhbS5a7- zzpVAxN(%gZ-N0~Gh)T!)TcA5&w3ul5xfNyl_WbBw9g%Q>Y3bs)6Fa)f;`%K%#of*^kNgyl?CRKBxojpp+tqMjtwOmSc)3b`wBSJDTVpd1n2TeEnE1~?!~H** zjECBj6mg##=BjV=R^Ki1|C&;3u@ zQHHV>DX7hfUE^nsi1PK3bCXq z;lDZ`8Sf`jjKlwEyO>@cCWPA3SdWRItbxBhd7MyveWMoGJnvZHPIZ7Y7+JCe<6V7U z6G;mDBj0QmJ+L@;&$p%{Jj({B05qxi1Ot2-K>ME7J2{vdwU|lk1hQX4e%^Zg-V3@K`dq#tInfmglOjNCV!_upvlK3cSoHN zG$<(L!tEd-?_&b=f(5)!3eB`oM<}mHOexzpX42}6&X(-AAE0X>Uc4%wabb+BXwKfe_=rPMZ4yX!}?rlff4GQ-8L98DX`fp21D^DH@R zMb0loL#XmLYJRxAQTd_pF{w=2!&Mcyq+OyY@MlDLZ+!QwQjNGq=9_~NyVIx#0?EX; zJd1duZ$z}7-j=((I+}>%>yRfyr{G=Ql7FQRrzvz{95{4x${1TOuR~J2ee{>S50+YW zT|-2J@KdwD_!iuWavVK&mR|G;t-uuHuv678u+*_gT(Y_&-gYdnQl}5h&Qr2b>bK<< zr4IB7ycM6yP_r3xh<(&4mNT081o9{3!{#nuNKpF6;Q^d3Hc*c+AZ1b*h7|f_PVR?)Y9@@IVF*ev8z!rY5H4c6Z5ATh+uB(2E?*7 z>+n!W0bpOgJ63#na=&j1$*BUu0uC$z-l1u-dP|&8y-i$>Rh$q7pc+nrTw<7_wFR>K zk*J`y>Bnh|aW6~hF&w3IZ%?u$c&up+S2EHcnE}9R@6SxmdI0ycE?v zDA%H1)%?;d2w6~27by24NI-r`jsd#MXGeogG!XmqE0>juOMYZZ5C_h0jE~(AoRxZt zg5%@yGt_k~`I!I^W+e&AvDoViOLuK zPEon?NwMw%hVpH+o5(jG{&Pyu^F?(7+P$oaK6tya&;g0I=#OafGBaU<$PAqPG0u2{ z$;Ht`QYqWhA3BFr2C5qX27rHVw~QmGiwwH!`8%?8Q5;|FXRocB)8)@T&Ccz$x>3xd|CV4V7p|fGTQ9TB z{V~DgE8>*In4g9%Mjc_;%~0r-9%EYzIb7JD6HO0Xq+DcA2_j;bQy*%7=M9KoNK*MA z2jCEOD0lji?m}cMnltnwBi+N6*n5nbBGwzA{1YDs%AEy>hr zH%!(M0g*s7X+AsMn z!t|)<71dWv!ho)F*)1@oY*Nr2_hoopm!8+7kRmo>FaFE-(hwsGZ;98Y}6Vs{Os;%OB5{sVVzlCdw^I17)R1S#wx#0c6nx3 zqxX45?;y}jzdw#{!{^}85U{X%7{eKoT*RuE;N9dJHwV1dJN?L++vx|Ey!W>KHO(ER zR5t=fx2UY13gs$D@^&3f(TaL)FR?Bu+#RJ|AcS?8D*5bW2ol9BCOxci1ZdW#;>+Yv z*ZNtBrK@vkKkrzWC^(DS+>U?gx#{2VZ%pdqbI-9W4`U^e6}8G_NY$PgeN+r)hq@4K z0}m^Alm9?e6@N2lsM96P#t^jXT{oU{Z2hFA{&FMfD+qC{`a;$Jw;8+X{&>yQEc+9C zB^5un*lpMzz51PAK3;x?O_2w46cobsYp`kdiv|n$N(&DCiEV?6aOS9wNP*bD1e0}v zF(dk^$9xWA`9JZ~6D?DAxz|MC@QzbuvT@(art^W}`0|sI&397AWC^UQ{%WQ4PL*HxjK0&{U<=gjX8m9l!1i3GuxWQk;0s z@@1Fb5JNqSkzfj{VSKjACiCAmHZW#dsTKLnDQ^A{PEUoIn#5nv!wov@vx+z=pq%Jp z&Z2aj-?h6tj^&SmyOcSJUPNXl(qLmE#zg>g)W|Q@8E!YD&9keVlg0e+^&o7Lypsm# z3S5fS(mt-Gf81$rsh}nH*yf>Wg?5^hWcs48tA;M48dBP8wCbzNXn|*?6z`G$OnBl| zT;KG|3s@M>RO!zBwOPH)8^tIu`#MHzcsEUMUWJv&_V|K<9&@INmoHuWC2-_5wH(gD1k3Kp5_U49s1e#`prn3-Q5gT7`t=+DF)f|*979oNW} z8@1Iy6#?c^-7Ef$=aZau+?*mYi4~cy7P%M zb2BK_3;6D_4Rewm!&U-NAnsFRuvrdoQ3*^7+YI-;EV~S@_xu|&1)5etL?JB;Rc$>! z5fYX*htFO`ZcBeE)NDOu^x#oC;z7YHHCS9KRowUY_Nem#Qp~+-h6Soh*y5# z?|v!v@Z*stl3qm&V~vZ*kR2ef-NzqQm7JwWy4_fvORO!zO-#ya4Dj)ahjP+ly%W&5 zt0N7qF&$@osn_%ws>|lmdkt%J@6-Tu*wBFI$f1vd46qLdTBF@huOFcp+0V*{9`{XQ zW#~ZOz!?i;lu5Cj?cy;YfZsR828$G^Q7{&RRXr~0IPw#H)|qSVS&96cc-ktA^WCOg zp-(GYo&ang4~tHbXjjkwiMtagA|gPc9o5)+k`loa>hRk_S{cVMjX;6Y%iw(Q<4J8k z^6!*Q<1$VUIHG}BJz@#NLC7}Kijg5lBXW{DoLrR;n)}da;kCUCKlsKFgoWVfAq0@u zLyU@lCT@~RYt*a4BF&48p}%d%t=ngrg{wy#;n=}VkDzY-YX9*0i}J{&Z1;f*fF)X_XC{&%=@gL`Vh|Orz0glZnff#s$0VrfQ+AG|&J#q$Wiu)e`)fs({ul6l)RA z{v(rJGeV~u%eDOc;N1+zVj0c~i<7PN{8bzFc&G|0jC7W=OVe+bQ+x@=K5I>p|3&Q2 zMUFcf48EY8CzBR^E&DL znw#HXbE!yXq2n*;nT(|$aQ+3Mybn-*u0;R|6fFX2#A0YyPL2pdgHkEOW6Pim*C;Ok zn^73hT_M&*JS#1=9Rnfk_Y5?w8Uvvn+an#1_(;>RV_TR!BBI?JetWJdhtu<$EiOgCFJ4oVd6kEf^5Y@K%Whj>@xpj11v;`GKhG&-rc{OS%MeeRLMD7eOA!6>#)d? z&kkM_ggRo_{$V+Jy}R8qe(nIC`FoeampPzI#KS#){ijv$w5O#J!&Y|(7ep>akc*LL zgC_)tca@5s4IPxzcl*sWd%9r8Rr+la{Tmim#F3IyF;5~-3{+L!`?DC=L<`5X3p*G)OZ1F4W_+AaB+whQe2xA|zGHXc8<51%*D%keqDD(&qw|Pc4 zuC!#Q#E}tE)E|>CL@{EQN%pEqbjrq={VVGuY%RL|KMVQ7DO3A*^;Z0)N_wVN=a7Da zfO-xkq&p}cM?nZO#=MiO4|j+_yLkO?f@B@3^t?eV3@D2W0@x?5$r6AL%VAB}{pT;7 z-|aK~wIi1lSA8kNCiw?zoa`Z~drmiCcQt9ig@SL2l#haJju3#Jn8s9D#8)L>?l7GM zdPbxcwD-7D8nSZcWivF#!Xa^`{2ht{0ZNI4-WJyZi-NWxbg8_nI2aCChHWX=H-ub zds*P20T7X%4lR!mYoRV_LPyz)WMtHz$WHcuRD!uzvRU~roS5;(#}|_y*-fW|a2;;V ze8^b_(_Bpn9fxWw_|%Y%ZZFQtW7wo52xqW_rHX#Jbb z=cyv%3?=e3-Zb~TB+WcsU!#kOVp#LC1&^nc$+0Er=sq~FkZw*6LX6T3e`4DG=waBG zTq#mt#)tC9XVOn@{{;K7)I>F?<7a9~0J4bG^d&#Eu$f=gg^h@6_g-8P?bIFE;#NEC zU0S2M{3T^^_2i-IRS+lYxBUy8Q0~BI$hU9gZKTxvtN1J5ydFahFC-l3dS<&Cnl?cn zl_sD9U`fR;>-zh6w)}pRl|=3o{kL20{&)|MFX17%gkE}WTX_ke8-&dQFk4J??ZvC%FKpvyK&Y$h~p#&x>=R)9NA0p zWg-qMsK!|`9?sUn)V z*&43iaWczq6MAuQbOLzuWChS}AfCd=uCOM0iZE+iJ{lo;#4)@xMaVoq<9I`yO`_D`qB#Vsv`v$5g_T;VkFu-efKy{2+#Va;~& zJv@TUz^4eJ(w2pftxi#W>7G?kVMQo)R0AqML6wKuLiM6FrYN2BDQbmEdEzKD3My+U zBFQ-J8$H&l^HI-%R4c{-&#E}iDVjp(X1uMS22$cc*Bd4mnb?vDSdqP1J0bR$BV~WREUnU4k9!p@r=;QIK&AGM;7H> z1bx^aysSSl1zhdrbKDihQU*7+7F1Mhzc35Jw*7z$ja^prq(s{Xmk2`p+cGGdZf5Ko z%GE7*m8$72)MXKI5F=)3nT){NlQR2=_zM2R3}VVPRCB7&peJ1FfNyIYGppioz9BwU zAS;F0!AvIt8ir=q#nKRJi@IF^7PIk--SCs6O(7iW`T~R=5@0JW0z8~v_m>1PPUOg0 zSB7k-rH&An@zGsAGH=O`*(TT=ILm3Xn*GdVa#C@hT%RE9b)OmygJe@w-%iZ9h*QNP zc3=C4VbXprJmhQ+Y(f!mi3z(Z`^p$14t=elgqt4GDfZn$^6D^wUgMH;AE7yw@yp2M zLP53QXNz9`Y>%^4A4XYZ6DI)OF|vW=lP^Gq8>0e8(RjShIMMNi?tA(S{c5E&1#Y%C z^MlYxv)LhUEM22tYVkNae8FB4KDtzUcI)&~PQ?!PVWZ#tvaqK^GDBPyZjF5|U;juF7dSBFdOvlIs2dp|0bQk#1Wj=QmigHcWIYD0W%KyA7ui%4j z${#Z_<7$%hwZJD>k?eEZ?;?Pp3fMf7%yeXutsUt7dB#b!&G$EOpdqOax{H!^0j~DA z2u)FOaW1{hKoY33Qnn4PzB3q`qC9OlB;m8{F4r?0D6s2=fGj^$f=)!8$4k;HnT=b8 z@2%UL^K=(Vb^{+DeeHlx>4qp*@u?>d4*B3E9N^bYfQ+*HYz~$@V*^A?74;1885^_h z{@Mzt+*NTTV8lu}dndsMNUGVo}Tt|QB4Myq>A zKZf-;ytw!rd`F0%ifZN!pVMeqT<~rkOn$_Brm>&&YW5PYr16T!)Un#|t|X1~OPW;dyX$^=2iwgu zxgPUoi;JsDN`RJl9%^I?n-J>N$(1-3G&jX;RFvJyvc$i0cQ98=Tc7O3luv=%55sB? zd-2P|7xe!u#SB1q8AVHz4S93kgpHVg$CLTnn+_@3Yt&g+lM{v68X}gRl`frQJ!^)5 z3z&WLTk{^#^5s%0=L+i&4F_=$G|*#XLqAh^GVdvxWD~0Wy<(dQIr=>C>@0kM;#yJv zBSG1EuqzI}R(4W0%7Iz$E0 ztt6W%n~B|+E`jee2dK0u>}3ozx(?k|;3H3aTP+~4?%RrYG;YDrD?0i5UklFYy-<2? zW%QXO{r1SBFr>rR2$G(9?wrsStyBw-rgZ5u={k7!mJQ8c<+N;1GNVR4o{6t0Gl-j(#q`j_kYORx(DU?4v4-=wkIbW~=2!WI)Y+Q6{PcG>9h6J|)@)&pT&S0`o+sPk1$O!u|H7S2#;7M7HQQ#kdK6Ud@zOmb0lg0os)_ClPRdA=7>rRd$R0gSu?XF* z0sPLlzbd$=%;YqUSE70{x-b4eYyh!{gR#3;KpkE&EHQINCx-(G`V?+00$m*_G9-G5 zdaw~4c9h6$hyq@+V!6XMxIqTDq1uEoaIv;^!H?~g6z!>}k_Go7llapngAn)otyZB( zvQRF+Box)haeOBZEuLC+Jn64PkxjDK8WfIJ!i|Iovk0IJF0$#FEv*8V`?Y4jf8ZyO z{9$0c1dHL3e{x-w4&O_?(-2NNC!3dp^UlXE#i$5I^piPqx*StOqZs@)+Zc}D5}lRvvR2;uu(FsNGTpf;C9p#WcL=*^T( zx+C7}x#!0IG?4a(Y?onXK)*-zLvRgVdMJ@4R4B2ij%40D1ELJ`TlQ>L8mm5+&26m` z>~xQLyKuCJvLEO`pT#JjC2xiUS4H=g-0>(aN@rPW)ng3cT${#u zp3zRUgj=PqERzwgnOugE|0f6EnP*p>>T65*qf<)6zO(P#L;qpp0ZCr&1Wc1SEbUji zf>i8sDA}_cFzBNzAH?TRwo7KzIn^MSxPJtq-Cl$@Y#;?W7k0Mh8C#>AV$>?6|Mktn zEEkmOwHA-adwh=Sf?p3Q#!fAwuQcp$#Rr`6l4EVzd?a6Z&QXp`E7W`!)r#UU9~1p7 zXPi?6EU~#>dH!@`$Mh74AbU=?2yql$&S~y8_lG54w_h}&l(UmJo{JGs_&G5Qi}>Y+ z(#B1(1%Cwo`(f6yhKf%`EvqBSM~-PVVFK-nR<5g&WcVG}w&@H~|FM36-l$psTV;BP zY+qx@3~O8vDlS~k&gA1$qC^*(MxtW9DosYpk#W?Rwmb%T8c`;Bb@e6|-8IGeR|Vq! z$%Wmy3N(d3(Lk|N#00hwodn!5G#OL^q!<0&3(`M1cfVApw z=XT zBEY)#;5RMx)NvMaFwFcPU=gz|ERr1+p&s~(mzt=&erRRdR>qTD{*&^&Li%f}LHSs_ zq|e%@C?y7H{2~!Hkqf>*976{fTZg7`%$nyOE(c3F!F?c*LqqyN-e>W#;dQ+Kzac`Q z4Yc4Onb3xLK0xy~T#)XFAQXHN78e+FP;yr)L=ZnekTQKu^}>7vxFlLCg0?dROU&H` z^LoKAUBvC{X|Bm80=LDBGhA3e3v~zd>w0Bn)+^?m6bHNiN0`{7<&(NPI6Gq{L}*sI z8vefbTy0aw8#alY!KY%C?yNH=z`Z!T6rReM2s+3!=qb)v{0rr|&&vxAZ7d7?8cJrc z(lwM7zrs)M@aQ2j(q`=UlR5)1N~b~ob@JVeFD#($x;KDJ5`og+%?vlvgmWD|)K-+U zhKcf@MU>U!Lt8NRvU2Q2Ppqo;G|MOqewaBWcs8a;1(?WMK$ehW315y4G=0;9R1Aqu zwFGn&KP6JU`L4b!jsc5L60f(fcG#IIt*AI(HQbi)_lst*C55>m)E0n+7hi=|3Q2PH zvYixXxW6-#HsD(PZVQZ|b|BJ9&&>S5OJYC#l#WlnWOpZQNXu#oq5~sud819HfJp;H zD2jv%8t@F%tbbBpLVw3jx3E#DLUMXagh%%%pQY(~kcWxWyMa2yeZMuZtem&<=i%mo z&`RPRiktG|Uch%5Yvj33RIb0Z)2@g*`wi=uP}L|GOiw=1Ic)VqtRMZNA9K0#jXrGA{Kwavtr@4QF0reZLo-S=DWevl<8&Kc&caiaqac>=P#lEhx1ZTvg{h=rC4HwUT z3;D4wz=g|}7{P@gZbS`n9g*f9J{s}-q+wTZf4zH94zb&JAyc$ffA;!Pzp%=Td3+I4 zl|ImsrPxjQW#kyA}#n&;~33m%lr+vK9HEz0S#ZOHQ0d^CSC(nn)V`%Woz1 z8j^?kDa!~BDh{qW&W_K|MqgjkRr-}D5WR79jACzt^D#O9XWPQ#vlle6_r}XwJd7O5 zD9{}NjdJZZ3UQ8gyG(MmtMQA_h z0!goDD<|h?FmlqR+_hQ?_1xsMBa^DBMg4i90R)IyY)bRl564pM)R0)oicbE+8ga?Y zl)_K8%{`}OL0;RdM0wy$BS1bmpi*&+au8b=;Mn^Mob!a#&@BDsaku-;blJD$2L`Sm z70h}nwSZk(iKSli1Yg*F5-cY`9Ib}zC7)68jv~&&nU3iZOdb@3yCp1gQ2t>cqs%8H zOo<7ko=g6J66p}KpIR|E(dsavcTu#Ts$SIC!UJ9v9;~!E^zm>Ir{nlbaXNW%c* zO#Z#@Q`-B^j>%meI!jM7mwqe;KJu}l7bY_xyF0VI4ySD0_8%5C6yg08yp(?$L*hHh z6H}P8B>DbMqg=`!25i}3weA@&4A!5*Zq$4n7b=bQX9n({kX4;&#yulpBPuW0N{C)Z zK;rDu@JGr#eg||2*tBTb$&N1TS=2fg+{9h{Ami^`^a%jCdRi9bv$7 zR%5=u58J^~j528_=d%_2hlU4}27DS>Y`mzb@a-B4PsXsufGEu8kk?mfg&s7HYYm8E zt;dXbP2I64#7)f2p`6-9Q=S+~1fMVkn-mp7fQyhXhrdS4CNwm(@~ivgY|l4S&~Kc$ zesQDD%y(-#+!5j$el4&jKZ8u`{lDS>Ew9NT{e}^U*=rO9gb#J3LtbmDYXoCKoo}i; zIF8?r&q%rZvg-*ZDgR*{^=)>UA2<~4I z2}9j$J2pekU;8z!v9@HW>9NQ2McLWjU>zyAU$D?`a=NGyc6Uk{X%Fg>9|bgp1TmtV zQyEZ3Jfg{&e%SkWov5;ia-_s@rmM!c)~ zqjT(rNbi2CdwY3)ow!iW>AH}JVs)Co$~OZXiIIE+SOl0mI10vSCYfD{tKXOZZqw@< z2l9p8JouGip=w`WwBN2K1@JEerSY|EG#U9KMQ0OJUNlwViWHg6U)rZQ(_uwb zmpOeI!Old^E}f-VEOADRwm*N$SJNYra;2s>>~RiG6f+yr!V6LnDeH+12JjLvSFBs- z9~Abd(a)Yb{*}jv!fZ^A;xo+tTYY}6BK}s&N$6i_s#00Ua;pv2x^X{I>bpXW*93J| zXu0c__0Xn4zn1(&VbFGbamm9UFilf%Llzn$D4&`((-2h#e)5fm!$x;Js*mfc7U9Z9 zAKH$}f;w)qU3kFQIGpK%3a9~`g{|0#WVxwVB=79?2kpILu--5N13$mXlL8!zS(jvo z0PPZOGOWXg;?kMFsd#}rfBj(%=Z@95Bgw#HlS7w(@{keUA&Nb+$MoX8VUX{do+M*(=cAo<|D6v7@ufM$-9m_g4Dhx^;TCRM-UT=NBttxmEHjEB2TOM=SE@ zV|x^!`A%}sXWdWLUv7*Ev*>y}?E%iqvBqUNFcq56?Oq1@PF3-cFtIy2!v zECJ7CHHy?a62!(|zE=~Exo{91di}jTrhpFXi(E8&!W-vn5)NI?UF;g?f4jS!_S?eO zb3678o-bT)b<`huKa`JPcBci~le(uy{XZxrN85+9tKd>}du#Z9k;Bg0V1~$)G*Zz9 zztW2Q<-qN9>u`voa$?|E;U<(uk;kq0DB?3sL>Sz#D43xtE2Old727sMUXaLI8(mhP9ep_hvWw%)(imuJm0C2^!FCNq$9xpaLe+!6yIkp?XDl(0+MB z5N+Ldntu+Ft6X{HOMvln^6JE}ai4>;j8z`I(v|Rk-3`N#5WMoR*xB!a|Bnt<_+@xS;5>lrsH|MGLNGXaUjxlQR}*MRc5!gz(g6q?g^+w>etq`oHLF zTBkFp&;2bjO4{4NR?!$3MM)ceO_jB`eqhO*!Ig;@nZ4DST-lX%73tifVE&Q3?Tu>w z*vLr3>cmXF0zzFM3c3n){=d?1u{Jl)6;;c&nBP_14K-ILxOF>xVIfvOS|i*Uch^IC z-R=+fwY@t&%WwvFbTEW9kTwtuAQI`ooC}mNXn1PwM7LUU04E)&HDXrEKbZrQLYu{7 zJ$sc0)lYkEC|1tuiDLU|N_06ZjuY^kQDy{zZFi2h2@!~o;*gvQLJ$dX* zZIEJsE!1#Ob1YgO4y7dLwjSaL>={7%up0sud3@Af>f&p2IiwqrqA%)5|M^UGh>E>k zW9)^Ulef2@B~ttU^-_NZ@A;Y-7$d1-IYtN=R9;)$TeAhwY- z6mYaZ>yqE8;-)S6uF&!^^A&uD>H(|!Vx-|_=WJ~zREZpTkUT{bE)nozrkC)UlalJ5 zec;(z>>o-%^9)-g^Jj-N9;4+RYH&h$4uzHDaE6q7;0ty-4k{^Ot!9UtM zR7JQa5IzceR)OOo|QH>#xh32;%3_A4WH%y2pzS}+Iza!@r=ca!Qk>%u(81*L;7HTCc6wcJW+KCep15>7dAn#?n(^@4a`3@%u%8eVlW6nxwPQL^ z;xLmOlMmI1xyw6-JA*;ohMJG4(k6GC)t4DI zg!YeM%rbtoNRQK9!td=vhVH*&Iqg3|x-R8E8&V^f{`4@Ap(|Y{HNWDHqYT%k)5?5o zOI=jXu1O`}i%jONasJJ&SEK9rk|@rj1UmM@2MiHkf=}>41BjvDm*Mj*C5>uys@@z; z*{}QjcrPOiYOJ|rKUcpc*VFi~>X^O9!225V3rvg8Eoz2aumXfGxU{<%d;6Ct@g~|IYv|)CF>upy(Sr~6_#d?lX|QNRoSBA1N=7UhC5F(z3Lw!ot!y5;>p>mw;`^hf|K3K_6y?M@fAup63V9*{H{dnAx23+ktP8p^ zzM{vax%O&!$3-KO{mGw97;)`Q5*oAO2TC>4Z_%Zl`_7K;9pQV|^!vl_bQgLEfB~IF zQXISYw!u^Gef7};>3;!`+V_-2lH=lVd9X7qn#x2A`Fuddh8~NX97vV3?PXNx2`a^) z!DLII6?OUX;CEd0Z1Kw#(q=H&ls<((2S)`nR^d%17rl49VszAzOAL?PXVp2jd^x`U z&n7tB3*;pt2>9Sv<9!sR7s8pFVHR#NTU=@2oU71D^oc|vg`17&4XoIuM{W!eaXSp+ zh?$${hlk~_;_~pVe96hVVN)DqnNe^|WnKKhx3g?NQ@$TNoI4R%Q}wvBF-gR;DKb8u zgtUJs7&9OwZs(#jh8PecTQXu`kxaFUV>?!FYfI+OFA|-lBvg+m_ANRs^s)qlqP__= zxXSFX{iTGOu?&qws;O(+mQ%l?7n4A7>*+28&-ck4y#9PMjVvmRAm*Qc?$+Q7%b2>6 zO|QnqxqNr!7X{%~=+i&k-JfBn7}oJ>5Bu@LwjQ_3iKs^2(hhR`zA@Nyy0D&I9(I$oB;Ve_lA9=7yF98@QmkWC@3j zsDg~mrV2&O7(9@EMwB3jqg7zJY03sO3uAsF9sXow^rk_u42wD&4NYto|<|7;~B*uLuW{(bsqN7?G7& zSSUEe9ovjG2dk-RRaDNd z4k41F08$r+;7XQsJ=jK>2?=nhk)bDxa$)6E7M}^(A%$5kSV3&iFRB@|;tUAc=r@Gv z5i1YQ&!WurmJlq2uwctFE%b7E?p^{d!%XXy@6Qv(dgxk4YQ$Lx=b9uGJ9mDC?*k_C zi1$f$sN4BNRa=M88b|$7H_ko$sr9Gy+_z8EwImk16=yaJO+xKz7=+4LoMDX+uVQn# z!#*Rp5>)MLnBOL2L~RBcmjG1U<5`z0V2vE=jEE%oOhSsj*|~JqZ$k8PMVqkH+T%TH zY(y}1{}E4hP_Ual9%3Q2EmlbIcdKIdcKEUd7$**J>7LwQ3zM#5)OcudvD=KbSl+WkO^d zL%eI>J@>lNSOCSQPFC9+8u~5&eaZdr;VIuGjCEEK{3El5fy6nMy(>9#&sy)S(T)nl z-1H^Kyi%}*=3BL(cmBtRA!%pYop=OlbY4Yu^^y7b6wGx1vtR)j%JrI_wNspSaqfD1Kqs(kssU{4Ex-2=*kH?x)st#Kf@4(uJLk&Hr^>l!`|ApK z{M!|9g%>2Ail%up!3-Sy&+y6|@FP16R}gG|@-KVs>zW93^cj@jE$(9hsI{i6dX2{O zX+FXFclg#+owU@Sk6W_tsK+Y8cSwAJ6A@FT?7#-cP2_;2wK@ZS%Ixeq&*+h<-L%ai zkr{-mM5Yh}g-z0@5WP^Cv?`4L5^fI8<7_HosvJKd z92I6?9lJ-PuqZs&CPvk-Yd4qudBF>d`#HZT$%Hb&rEdclWxwh5^t$0ZR=w2>$QXa8 zxKaR1*hH2U^-fPXKAngp5rvRv4r`^$&Ut4u9!fqI*d`F*3^uYi4y9p3dFdY$nsvAl zF0U_Jx{?m9C5TV+KN)tu0KL~xry}aP>|y}>mYh==2~S@vXvKZsMH?L>yCgAOy zPubnJvpWj{wRe6*bV^W-toz|1%G*ML#jXn3%6PU!t_@F`)897k5ew9sldER6YuR;G z-EVi*;`7UcKDxauaa|_IA+%$S?!%>g0k-22g?G^q)?Hj4clHvP%iqDEFb`s6Y_||} zloEy=J@?kT*2Zuz@Q)f8K~x}k0BsT6!Ct{a zx43k9_5}37wDrDtCuf}bAO$m9Y0Z>rHj+EpMa44{S%ZvOXB8k`&nirfn737BLI1k) zM4;c3Qmw+%xZH7&FA?*W6CQg`HY=~bOPzFf>%uyhgpJDleH0Zmz16slg_R9BlbH{w zNgmS)cnxFZUUN%w&JsG*xIENU@E11&5qbaK8WX)g)+H&`{BtR(dv~+`hSYj)8`}qy z#f=5*q^9$VKbMV-oB~V!#e9HML^SL+vV!+XaIzOwaVViD=*S=Fps~-NAnwl9s(_75 zd<3yCzt`U4rQfXdqAy^OzO>iydz&x@#U#Lpzv<%R+1Xf2G_crAVTB1MEK4dR%DCo_ zZaaT}Uzqr)ys*0e?N7P8C~MBIlGvF>r!tBP z!z_%jS#_Qb^l7FCS{S(YOL7kz=vG(dC$&}p`vZ;!C-a)cSzkf~9df@kd;#^~X&OB(Op#6gA z>1O>KC6r9-N;>FC6@0@11zg~OYa*;~2ArNYD9J{igE;wwbk)7(A;O$F+&w$p_-s7;rUKt>nRGV=?_S!s=;4?MMokhN%(fF5`(;{ilUY}R?s2ccjaYtpjjiZQvmkQn=e+}RtVr{tTP4hAWf@car4=eGm6s@Bzk z0visF4f2azT*`HgYVNSZtNW0x4OYeb?2jKAyX4fHBh?_pd@clNEVtsXo|Y62|8dqo zb=~A+*s^dQRAhWq7E621%faT|B!wzx0aBLnU+t+A+AS0O>h=8Fa*@NI!6vz{;ASt@ z&bN+y0~sGvwo2AWzmu#nf4o2ZcT!r-47z8o0i{~KkS$)SdD~El6mc@b85vyMsGYcn zv!`>%8F(eX7;XZA%c?w3g?%&Kby+FfWaGAK@+lTK^&gvA6bFcPNS}_=FCIUsT*;n} z)$|uyTkGw&w#eD`-x$anG+S1>4w!WT15~ng?R+Ms$S77_b}Ojs$oqgleg&7H z*1-7~?1mFYoN4T}^9<@Tfsf?k?)<}I85B|tol%>HZ7#`|qegN=%c^9ZErMjxR+w3ads%Y-P)b{b2$jHAXAvi$iy>nSg3qxtz43fY#nXL=x(;Q) zY8N=qBK?Gq1acGc+c7h|CKnSs8$-=-qAAvT56iEoKaTNYFLaaDy}MX{b5&+oHI19q z;R~FsH;a~x95n^t#2d*;EP{#94&mukTjnh1SO|UXZmiZ`eWWVDnN$N11=2@4AiTHw z!&_=SU7P~Ox9?qCgGE;&IewVVuvdP~M&ADPg9Y_XX=?VIVi|uj;o1{|D!Y6JgNiF5 zcEv<|bH>vf-65~w3A)d24GHeGLVvPw*;-_MxbamtSS6uH-yL1A&;S0 zhGB>PFd@UBN4ix=Qfg@@bhI=^y7DmgK2w1yBo~yqr-4N0~GcQX^`Mbnusu9tUVhO1utL`P78;Ne5K@T-cy{e z{#O*k^%A}9DrNtfF4i+H-+3UWLbZBN+v7OcCE!CU2I!^Fs}WU;D%f|K3)(oqH-3<_ z7zv6lreMOhr`mN754k=`DAKzO$godGjKW>kqQ z03VS(2;(PNe+IR3kWE<{oyF&WgDJ48=B#LsqNGCLCQ+eDS`L)^IN**^0AOgak?J(Ay(dW+DcJb8eOZr!kv`X~?)9m?fT5~M!KkDwQn z6GvlWq1eFisUoLi#U%(J$kEw+hmzQM3b(0Hce6yIOOY-FbH zW;(E$b>hoSBfa$2;`Pnh=izdRX1>MNSu8&*{-Ip=ujh}g)GNQUT3Ju2m2}{vmwq}t zEIGzMBn4{kk=&zE-b(2xcq_)?XNLsg1mjwZd@m<};>4FmMJmXiVuAUBaf_L}!>2W7 zn2hF=Dg)}um}tUdjDCw(8~b7Rr07bkPVS)Xs_cwkcRRcgynH8RP~|56 z{@wC}H=5z=kCMvpbX6PnCDk7HqI6Qj+v_|hJxIK`5J;wvE|nA_zZ_tzI=A4OKk72H z3^|;LtT$Z`vQ>PfHJ2?ip7uKh&7#Hp2#x&>%92g~vbI8luJ9D~PFar+ByG%LO7=HX zxA%MHfrIT7e9JV^6>hdin_AA7arUK|Z+gMzhxTQ7#PCA{cVBPM;Qs2%0Is*+a(MAn zD9`(*VJ50)-)?=>EjT+|duo^w>j*hCz?|Q%QW?iGpfg_>LQ3T}MV;OIWZkEn5}X~| zZ>q&yYkQn#yhLN&G+y>MU)qS+O8q<9rb|Q^L8f`P+#^Yv@{DiFlP8wMW(&rY z6>3tuiXEFzPCl(r_xwI+>cH8ViusSw*A$bA*so~ZkXsYo~paNbK^@H zz#6PIEcqRAHn zKEb#Ef~|Ww^Ib6TVPT`1=u4KMiR$&iGht?<4+j-Ct6AR40rf+!>F$if$EHolE)x6+ zVaFFp2wRMjFpz({eKE0usVT5CxFl&8jQDj2dzkP6%4p8)ymIcKaB z3VG8!$}ri_RmRWiS$?iWUzhKGZxhj>PKKO(7&=oO7hW->|w3k4%nKU^)wq4=DZ zgxc zel_hU%RN6)LMY0V!7E<$KhQvb9N`=9T5n`)^(aTs>j{J;_Iv*4JF&lwn(`s0QcKev zTQ$DFlVM_FUJl07VTB4ct6d9cQ>(_Z$!B#V;!B~Mk;Rp312>NV0S1)zOz^=XNldis zfCMQd2S2F1Oi1E|M^~ig{1<=fcgEX(Pah&^zXUdWZZc3OLu^qUQPpS23o1Iw`}TdS zv>RZ|j#UwnPDcGgYBc$K7r`4nu)|^ON)caAcz|etm?`|pR0HTi6zCS)5v|k*Ei;`P zLAv|=g5Cx}`%)tb@@L$_=C&`r7py-2d3EMmol+wqjMckbtT!4bI8_7D*1Z%$G&HqO zMnH`6RdWb;ZO5hGc-J%c{L}6=fxUrV89)_Y;5FO&cqWQ_?rZ{R;rifO|Ile&w~H0l zpY-m`+P5|^CiEA0fbbacS43VAUHrS#DQoQzGgLJ-fJum7MeOA+bVa-r^-Oz5()LJk<@ryR$ z3cA?D=<#n^1Ks}o&iT`e(4NgkEW0X{{5gkBVD=;t`JU=Jr?08t{G>IP-sQ>~44dAa zBFHeu=OBDT{ji82_WB!BttXM|AcTp>>qRz>c1-9>yBT$ly+xg@q&+EPV%)^$+!KH0 zaJqTjvfE`6Vh?Unhx{8hv5o4-`+|r-)$E3}ZBtDPCYAxzBO~Fzz#*NN4UUyTQJE1F zNg+he`|WWbmXLpqhT4nKh`LZ_@lv`3yGqw=VM9*6xwl|#qbqEh)#jb*_e)kE2>k3d zD0y?p7hmm7wcBj|Y_x#u{;$ng%UCaL_KZfx7^ph^pp`Mwca8J4w1 z6g~1cyWW2&E5Ao*;su}@$ zME>`2egS4H%F(ZR;PVjGLvl`@Ri6PmH zkjRFD35YNGphZmKORqv%!coLVbavXPQ#E7hwW<{t z?0jl^0V)xz@Sm4+vqY_LU@Y^WlXoeWJPkpEeDn1ED4|J`E*u{n!qx2e!Llx_NaTJ` zD1VGKl5S>S39L1dQb?2FoPFhOK!TO5KwDd|Nky&3*rb-?u@}e8X$iK+Tia+o{?x@y=Ts6| z_+yVP;YGJ>+Rd^`kLW7hZ34Md6GVP{8SxN( zhaImS*!)R2!thu6ZwlErlRcK2O3tI*U;P6becj&UAvXsIKR>C3EmRwUl5Iiu{iYq( zI{~0i(sE%0IhAxqW-B+<>>oyBa@Zn@QPT9wu_e;VOwEM;R_8VU&?8HynMy6RQiqs+ z=O;PMr`mNcC;4Hib9rSJy`LN#DJSHVKBnF8fc=9Ntzv=`&so_!N(9T~1L{zI5EXg1 z``4FkK3`yoY|S*`VxmI1RgpZ`3*0SitUgsMaj0q8`Dj|+@kikWdpmNUS#}0=*wHt} z4(&3aTA!ppMxj;gz7<>gMF5XAIH&Bt!UWfNpfr&ErhyKZzsG|gClCKwQ#`{{$Pe~u`0;D+uQ$qU5NM@ClV*?*imQVRR+u_} z#!qW%m{z^nZB_F8P0-*{3xxze3jHI=liY9&y1C(5WWcN^fC1l&z%FKIIMHM=$rkve zEHz{Kw>gA7{&KRB3TaAiOn;cu$Wy@gO*QV?Hv3i6qBsGOjI()kpuh{VN{?V?aoX>y z$jI)d$=)aw^5Q5Xxdjv#SuG2ZB-kA*5@Plo3Q>*Q0^MjmxtW7&BoZKoJ-(c@6I-E7I) zGt`xfxPkfNZi@#Qybt7@!N+#im@kkTP@)e$IsK7Ed*fH$&SMhz_54bF35z71`vn8U z&QpXRD*oU}uq0{&c71mY|3DGeBYt53x|Um0vVI!dVB6LsDJh`Petn>I`9e9A{*W|- zaQbk`e7-dMiQAs%;UyKfb8}Afv#KCY+AuoY1dASt>N)68ZQ2d^nO5q(W_&j=&SlMe zPj-tpXqhSg8LNYTB9oOOO8H8op6p7aOY5U|bWN+zPxG9lvncds%;KmK--+yu^q^qS z`l1$JzO6S_b&nQ&suZSrvDNv#2{2WR29eX|!0&O29dUQxt6QDP43Ypue;c)Dqw1Us zHl{}aeX%}o7Q$AN9t7*}!;T;FC$g$N(h>2FD(Z)`h`NmAp|GMqH1MWSf>)NqzvcmA zm0vB~MAIO#UeT8JxHV7W-}e9Oo{~0Xgy9O+R-c;4OlADStjc!8?t6O}jOO`dpbUxb zlJEF*BxE-mTBHKa>+b>?1L?mpkGG-1I8Wg)k3Y*#%#_}8X+t+F?l?4ftNk7y2jQGl z4{NQ|M>;=glPG2p6dP)9Ct>VDF(Xx(c#9PhGEb@U#<_oNSgq?*=!^}io}}2^7U|-P z514HDM5AiZ`J@bD!K8@0IQ zP_m&%@I)g`rE@CE?9ydZ_AtCg7#SrdI1ISqLX`<4tVjgD`~IYrno3PJTS}Uh8pS2Q z?9WEJBzzlrZqAnTo_uDRyOoEIYrSrGd`+sR4sd%FXigi0Bni=#ir^3BN z9G0dU@?*RQ^vJIY+MW2~hjlfC_}G!F<@>k;R}Ef0Ii|LYwox76T3DUH#Ng|F$(8HI zY53JN1^J$7Gf^+KBG%Yn5NUt%{r%1OmfS!Q!#9M=*{?)W83Q*x=nI~)-OjxDlK)vx z8ROdo@>xX+3aaml?xBW<9PaXD#PxaEWy29?Vk+{PJ;5wvosZ)wO2WV0=~kn8zg5F< z%t#?Lj-Uu9l~2``7n>^Vy55Pp5WfLl@uvFsB~$si6jwiExkrUW;mN zFmyNMy|G$M_Xn>k5M$0RuU;1?H%$&1Cll{uhKW~TkB9e(#S;!b2j@hun6}`=2_p@p z=xqj%o``w>{lITZQT?L-HNXy&FUozR&YxP)}Yet%jI@WT02g^m8g>vle z=6J|93HOD$l%TTkt%@?*=a2|qQ#Rc3G^0IlCsY<=xQV;unmcRh84BmeOYmhW-C z+#B)G>pJceaX;CB^zMs)9uVa)(LV9cuHs@nT{jelQq;Q+(D%&RPrag>XAkAmx<1_y zn3l`q>u4Mx#sYT@W!TS!v5qKKhRDTeEDxlerhc7$v}H9jZQlNoEO~{mZmVX6F513S znzI{SmY>LsZ0sO)@COn3P(%2up04qDm+;klPrIHlOA7(DR=k>jBs8yX{8P9$p>Vla$NnboY(BS~4rFLZlb?{+9z0XqJ8)qae zug>ih#{KJI_X`WF}fMgy0SBz~M-UASf5 zJJCHw7zWzEy*1h1e^Q&EIn93qc`63`Ar=oLZn5jT?11%XkByLI=HRHAa>3zD9&_3M z{z78n0>c+KEzko@_#3bRt~usT4EQ7ms|;9Tx(7!#SqnE(HOTDwLA_!1m~ES585Kj0 zvxtQ@7FG64NP$%pv!TjB&n>?oQMuNBe*L~(#+QJZT1-^u( zk9KN_PWtoyM>lB}Cu;dfCe zm6|%_+U-SgSVb^m0Qy%NhBtdXYt^-q_C!gq3Sw}QdDJSPsF6i{g&(F^NiW5Sl0xxm z`{AlTO7K8*UC(`DF%Ic2mZcNS>94%CEcz+TU$wml;KhYE!8$L}?3ISvw7riYxFbkOhpwTDi9ouiLN9e(8I&vzN|>O*D#80Q zxZV*wL%?H?$e}ImmZ?vn;xHZ#e+Fth-~=J#SbTFev`WqqXP2n`;d^vlOpO3^kusb! zk09pD?(?Ro3`;N@LBCp5jB?}RJT3CT};}Zd^B0VQST@YYg?X8bq&mN^1U5G^8!MX z3^8T3KGZqU9_cvhp=9kdf6#7f@rf3|FW52F?h3jCsQZBnZ=2$V5FZU|r#bFFp4iqQ zp+K8{pvOwKPWb5XbkY&*nop#z@G?D#S<6%k^I$3BT$<%w-L&s+)R?WJFH!5<(){5j z&C@YUQ3?J_r=b5DP-k50oSyMyUO81R7!?rA?Rqz@|I__xEGXJ364PTK;yq@nxp$T| zBFT0{*0NWnJd+47Si~Dp;S3up#}hAkN@%Fz4XI3>BkLGiJ*bQtLETJAwnqq7~DJj zyum6A{T)Cr)Wo_MSELG>-wFhriTMg5;P-U)Tx`B($40SbAB=}LFPtpqzXmx^Lz)Po zOzMg+YpGvAEDAFr;UZ`hjcr4M$P;Vz!&yj{KOg+gRS)fW9!aDvwgZ>q<*cxhu32>6 z@5bo;anCPKX{ctpsZRL~VR3e?47MG5R8Kw{rQtJwzXX;3=up*q9gSred@F_Dc%_{=aT&9))oif(9GwLjJ`rW2B5 zN7kMIFH(~}K%)uI#_>oxwtuTHQdkvENiG|3eEP}hWA!AO0&ey+7n!NbY`XJYS~tBn z$zWZ$8^aoG$|6&GDv`Pp=XB9U;e#}j)A+U6XueiXz#o~BY`7lK<9o~`G!QFXF*e5| z4TLf22}X4tl$Pi5m`wRP_ z(`LT3SbSrNIaPJi50XGnThoAY6&3M(2C!?hw$V;`&9kbPs`cVfm;6Xe()hwK6(ns} zWy`-dGA`p0W{%cx1BepFHYk!o6p-(N09?2nsGX?nCiw4xCd-y5(kb#smbREWaTyjVMkocrSmDq2gvlo8d%>}CRtcpUui4w> zq#rXm?>u+1;mv#A%!CSE3bYI0C1g5ub;Cy#Yq2K^c$B95M7QAg#YZpEF>VC5VU*Qc zVQFwCy>_~HcG-_ofpDzV^Yux2uNUmU(u}&ZEAIJR0mnvFp%sc;od1rqI+syDT7ZIB z`6s~QDA62&cM`>oZ7$1Kpu^Cw)IK_E-;mm8I(6YK=S404qXVqLFmZ8~mt~8G=MyH( zPxm_T-Gl#+AE*?fLIq-md0XiO3k9*PY#%^>5&o*nT<7&U@!WKKjAv1;Vk()W8KbIm zOnU;7s`%36g*?{nmDhn!WfVCuMS z=fzjU`VawUS@shM{J|gHAyurIc$Ehmw0Xw8|E{!%~6R{LK;k zxgs}#7sw;@nzCDUs?{BQNwK(?UHH51Yf0Yzii&!Jsy)Zy@P&nw?vI4~`3rMA=wyhV zf(tH(tCJ1>oEw*jZFNDp90yCnay1FLfn%*-&r2XAIu`F6d<-k0Y|k{HS7QxEjL{w6 zhEwp;%vZYs3IcV+qHvPdb46w6?Ft~ZF}Am^_89(5(`G_bP$i=NJ!>YdG?IsG&sBFY z$#Y6&+=&?=Tbe*8;2nT%kk9h_mj{DaSPUP71{v@zff#jpNTYJSbApbueKgstW2FGy(-Ip+^!CkABOKa2%GEDEiRiGn?j zil?w%z1bjV9mGGpvfJ1SK~odvDXUv-@f*g9bNp&L;_FMNmb}RUE#cWL5S8y(%ESJp4fn`i@cyWprJf_=FO4~ zJA<2_|jxK|hRmYe%@@oSm1YS0jra8<%!-2WB&S>pFPL z-Y2&cou!G5w)eaS85d5w=8@bHd)`ef^eQO!;2_c38`Y`+4U9b5>c}wls#ww)+J3Wf zk}bzcfFqC63{#uAUZ3W|=4r|Xe#GzfOmyJmvUPw==e0XKT?PvnSQ1gdYylQUR{W+p z^GttOj)UA0nwX+w{lWs(5qU9ANUfvyMS6Q;du{+DG4ahOQ?*DX113+ncm!&gv%ZP! zp(RMM-*eRN{SOL@Uwr+42soZDg8B4lp5EeK+kM*@k4?n6$v)O9-%h!*9S2I=!ij9) zSG_6u#yIXap5n?$;0+b}>=*gHxx|6y-infeiYz}k4y@5X*s@jr@1z76;q_o;RS- zwoAwL$b<@f_deT~<~`YQxb-xm-@dsa#}Xv?!Z6hOOigTmJnnCiCZ$=b9}?L?{XG(aO^d!NB{5;v3UjvsaDWZ6#f>8JK1Y$8MVk%$O9^85Ffd*kvpn+RqvXb=d`G!za zY5U^N@H%GI##iC&!%UeW!Ow?n)Q$4=>*cyhsF^ZJSsgUF2t=@fQjdpToUgoR z1`*aY}Bo0dce&Gw!KLwfxTvZB< zPhESn?Pm5RM$WnpS80q_J?Bn4So#l$ljuX=-? zAK}WNJruose)$0KEe=qnlro~Tqz=8QAA}HI2X3~jm~QLWs?ZX%!(;)8EU&pfbefK7 zF)!ch!34C!0FC>k2OhT8;#h9e-fF+tl=y3Rd}p(1HBVd@SuMHB-#`Bbnb;PJ5rjpw zdIIXR;5YA+q+Pq_54ndM&8=&PM1#&WQ^Z}AB87(=r;mV505JW2vH;J!F?3SP@KHh$ ziei?pawik{5qN$^xlv&b!EaoAF+>tCB^V^***cL zx1Bv<27WO;;c5BbZ<_Nt@DF<@o)=c%aMjk<)SG(4*2I~`>E7pF5a1a*7{i!J-4?Y1 zR4Kh)DE;mtZENRh^BVd5N?mYVa=Jdq64hfqF`q3Z>0P-O?=-q<^sZ*7i8eIt!&)IP z@28W-SAkt@fNSi}fh9j3>jrltb&Ci0|(J=ZK33nG< zPc=6kviO$gGMbejHKoT-mw*2QYhUw<1pbgZXY*5pWu#bezK_&J}hnue9eg-@l( z^n!KzZGS)2;afn|K7YLMPN53R_2eXy9mZvgNv0qhXtcu@`3TyH6P&$g9IP()>i)BfSEdE<(1ZXJVp=v*6NeA{xQfyV_Z@BFs!x zw>WUwSFQ{UQE#GZf&7%&cZhw~~#-7dNc%+!kkxQW8AFzGo-TNcqle36xty%`nhp#1C zeyAy4ccj{ez8q=k5z0`iU3wfoj>DtcqVp@D-{~;7>$TXO(rS0q%5ZzH|& zPHKx9!m$s}l8MhhwIag6M;0DiB{>Y5^xB=D^Du3;z$vLiP|E0 zqq2YIEhm&?-K$r%cG7$%p(KijG5uE64It9J>{8DELo?%^g|eZZlK@tB(8vTAc?Mjs zn?>_>!skQ2e)*E6_noq0bBO*i;J?Gv=2-JBx)WgwHGZy5Bl=AZicPDV!T7vsU{?wG zmf^^PR0_EJcS}*-t@$(=ILGQz@g10(Rq$F}?VGsZY?#{?s6 zL0*XHz0_CW{dA>Lq^A?MvCEi0~dW$H)D z{;$`B;^rbNhi^ynJ^KlvvFYPz<3!FE4s{KfQvzd^8{?(=B(9(NFY9Ns|Ls#njaxHJyV>Cy|VV zbI*0fNB4WgxiJUHndMZ4+kNh)R^=<0(+3v%#X&$Z}d{{QPx!fT=o7!bWLoXSW z%?6|`2c;D)X_%PGm(|#!lF~a<3O~fb9p%YpUpp}nND4~ymm{vVm)S&(6y}y@L@9sf z?TkucxYW;POpgmhN6|bh>keB$!m%$BQy|Vb>&?sd2|&3zy7|H{T#M9t7) z;`O@rX{P?4YYC8WP^OfcB6x&~tWpy`F({L1b6dVXSL{>hOV2U>B|PG(izZ+)+9itS z^JXQ2MV_E(DdJ%7K#qts><91RWq9Sm70QUcXCQ&g{N`8XUrlFMj^de33YE7XVWp}4 zeTW;bFhbzR_khSPIciwGp)<3;6;8-YEhwxRUV;O_tCnVirvN0Y(v zKN$avlSW?ai!zOvzyd>=*;BN&A;nYJsWKF6;M?G&a0eOY?2 z=T60QS$Dxzqle2$k)yg@xrlP{O!1VIw$n?RY(Uy(Oq|x%S}{@kaHt@F=iWXJkv`++ zkqqMt;a(jCIjY}_?1H!sT)P|VQ#%y!^DkdtNuhy*2sFbFaCZK9fC$Mji~qU`u0(uu zwl6l^@=q|I>DgYymod-oW@5gN(seNbkS2jc#`k?m{f=3oqP3>KgwQnz-g9TT`!zP@ zTPxl|U5=J1xZOLg4e*EfFr#Oy#`JzULxc*yw;#dQ@h?C5mbqHJ`P^GCo|lBsaLZ`( z^0ZAH4<0o2iWh%?Cix^z@9_LTdWBqCMgtKamy2$@0THnQM$y;XzOay!qsoa((j-(z zsS|B$%UJY#MHF%-O^yUO)-TWvuoIIZG}xfpig)GJV};aR^c_o+2dip5ylV!M){;Ql z36OYDp{(moCuG@-pzON%FEA8tD~`ao(k$0%)ro2S-%Eg+0E1#Oz&Y|)wc#e9MjMtl zV84f-Kzznb(1nl72kUc9B)sTs&CINtFXPk1im;TuD^)!4M~DlnHSOCl-Y;wMI3uR4 z@3-D;RIloS|C$DW4+Xe4K!V50B{Ha89m%LH9<9l~6cKl|EoKs5+hu2d|DPj$g#wO~ zKv|p!y?XFP-041ZEdG3A#PB9XP2bdPTVF1j%Uq*n_%-suHvVwAmDYuGGd_$(jO1m6 zad_cNSz-mzjQ4?_&S!18{O`Ok{nZ6&iMl_-T@=)VOU3`?>R!KccJGaAl6^z@9j3{U z$~pP#h0LoEqC14o=ooGiAD04P(@cTSEk)CYzTMTuu>&KetV~bDkftWo;vD~?lr-U+ zCsTXXCb;sSE~B8fLhPDjR9yX*4#1V$wVt=a6G9zK-5!lF!1qiK8c{TovHvpEd7y7} zfT;hJ?SB996?xXZn3}^QeuDYPdpk~9h+UL?x3eSH55z=WIME+N8SGiQL{z?ZKb-M- z{NXt8mBDS;_?@SpvE#w!vwx6!lcF`F9T7%CP()=uYGhBEc{6>?37JPUvXv{n&X=f) znk!Iu%H74&uK#<{FNNkF&+=Rhd6#fHJ1D{HZ`ktAEM5xwxDoO^xAYv+Lj7$0r;vlG z;kt@Tv$-%ZHsl5E@)85}a>w&Mpe^iqGYVF%EL9#d@nf4B{KC20M@bV3#l;v~oIUM4SG3U6n|)^YGk!);KqMAF(>3xOhfJ} zafPrna%`tCEjUtHN3Ne~$a}#??*n$T;R}1-X#U3IE9k>AMras{7n_IT%8UJ}Zza2S z#w2i7c73TTBrkX^O0Tz*z)a12&~$fBn3FQTpA_p6a7;PJx>+jy6Vry>QVT7Pn8*zq6SZz0s^04(nZt)6@oowdTUeP!7jF)| zUo`gwL?5pQr+CSHV_^jv(jLU6!v@4zBsK3@JwO_C{Vj2d8+mr>6vaKXYs6 zB$=@%q|MP^O3@;x{iK+W{nBix;zY!RmBPn{Z=?`e?Fug$rYDEu+z1RlEGJG-lO!W;?b$_A*y<(QiTs;Ao);k;bIP<~*ew z2`XXXfh4bpZI9z+U$Z;35OKJ0yf-{;s$%vKCePck6mMqeIXp!2iONkDV{bU$0OD(k z)?}}!7)8Un-Km|u5-ewTO9?sBs|Jj+zqBDeo{3u{7lmBKfi>2By`%!A0rtQTt~|q# zB16%hn?(14sisJYW{iFn)uI1#)nWMRCQj_-Wd5x+2~iEQ(2k#2VG$22cd1MaLz(aK z=s4=KLV(c*k%3q`_dHMz>|7XsPOZ1~1Q35BfEV^2%sMt$jMx#{6&No<_k#K^Ye5wc0VG5g?w!d3ctc<9zMC&3Aan#S*0=6^^fEH zMx+>aCI~h8%mbTN7hzi3$}9ZgAC2%nrR2<@t9>FRs8GcuNK|HRN#Paj@)CUp2t%I@ z+iV$he*P8tMe<$u(U!x@xr)#ENvvW+`gXQ?}W zDYW7)bHK_dlwyTNxB1qkOr)eZG5a=&+;-<|FrC>Iqo<)u3x4|rHlilX)KQw5@-x%= zca^!I`)1W^4ik|&=kspHwpj`KxtSMywc~AU!TDf%(_aEUw57e3m1df2-jM4GogNqW zBA>;>oFHq44+%g|FyT#+?x}Z&j`vt`PMs^Kv^z#!Z}hz_$koLFa&>i_0z8QM2CUrT z>~LIy@y0=0z6wUA4#_!753kR=SZzNmFp~ljTI@~2ZcfNgsL(+Quu6QACF5~WdZq6B zBGnBqbwh(%6?OcVs^rT5RNmHb-Z@hl11COj7nvsxXzjfLZb!ZD1we-an!PD2^h4Ld zAG9+PxJ@}Hj4^CbY)q2Z=847mh8(DW*B7sryyw9sH7%D091JP|_y^{Wpzf4HcS>a% z?^_s=F=$+WyTBOl^dQS!9Eq@L$?=P`)AqdC7W{%V(yG(3ylzW) zT@og?=R+S)eZwihh3at$@#*u$pV%2Qp2jz4e3e5rYz*@Yt$GCui5B`XSl+JAG`B$m zfpdgZLs40hm<+Bi{Y2hnvprw@^IdMS_e{y3VA6mr2J0Mrs);D35188MK1V={IZ2Kn z2h$~AH)tbP+EW;}PU*rdVB<>;Dk~5 zeGFg5M(k#wJ4c#Z1US)YHHak zVj{WS)poJy8yUg(pNkq2poDwPeZxn({8R4$e{D?z#H)bMpEH%uMbpr~kItJ#uObnb zzY@|g(8gRRWhfeSoX&=?0poH^>)-aqoDhrPh4Lk)iLdU0sFz(u=iPN}GPXoWMs#eY zjQ4#E{m`xN$)Vo)>4A!%r1f4x%x$w{rJs{j4L zuI9u`K^ANLCI)Ce_mpGjaERMfruzI3hO7CEBgdl^au;T&-tEiF@3}aS`r3(~G0y^~ zfDC%|ic*Ls6^DWxQ~Dl%Xt^fIyCaxYBz503g{IX`s+RS*R&DPB4R4prX*ny$eT{`8 z(5=cQSUuyww|zsW@f#(Vz%t)C2L{%!Hnq|-h$IN%b5?wrlTl2WY^)om%@I82sGfI5 zK5fhlyqbdpX6VHZ`^&x@*^ISWApy<7ga*iSVO4K?KOkG`dZ{!-_FN5r81{7VT*94e z2z6O6>b8mIz*Y&+Hgv0365yG2ePqt@LgzuPw`x@j2bA8o&FSabl^NBtvJ-5_ZT9Ep z&f&O$`CBAySuH9Qb5;oMNe-%Erp4o3_rW{VP>!{^QLVOTL^#!_ta3d_c_ukLO?d`X zwz3O&ZLcLU8#l(XlX|c-3nw=@u`~Z0-L!`UAEJEmcy=hZq z;da0>(|1!Xir%FGN1Dg6zHf(#RkTL(@-s^l9j&$Hu*^ z54J*?WvB4L1k+uYbjI$6VY+x$^XIE921v|6^gdf!Ku!FG<53&+0`i~!_rLspmmQU8 zGv)4wnFBV%wV?I!vY2#4%M_)w0v2XtKbk!aowVXVBhmc}h5bLNP_3oT5)Zt#H!-yo z?azPS2bAuv*G9Da z&*25bVL}~Yb^FI8P@ZE+gLP5TMFmc3v3C;B)bWYD{H;}9eCx_#n3J12lC34!p~Qg` zKmBVDq(4*|pM=GpK6dbas~?LaDPd!b_wgZ=k)Ewru3QysPJix8S}&CN!AeqDfKc`O z5SQHA^5>Ryz0-`o`g(UhCc?)HT52&Xfr3RwW8Kk+@BLD7U!L{i@-cQ-HT{*abR{cy z1yiQY<7`4ERv)~h9aM?lHMQzRi)G<69q2$XHmdw2*@ zNJo!v-rr?N3qM+LaEbT`(402O%9Sdvk}r40lkU4;B{74HdWMDEgT5Cz%quN>rzEFK z56ca070~@qm|qiH-a=rXQ!ymhyD)7Q$MX?rdCc+p&;RD{-}cvXS!+O%oYHqDB8qw) zPI8?gFRk0eF%*E9D9x3ZJEI=3697L6isppzlPiPpJF4<4!f$BcmWWJxCY=B}lCcjX zAe_)sl*Gx@r#Go=_-CtQmxDA(dZO?6M%Q7jqcBajho6sLl^87Y>@E5DJlE?#7G_YN6ULPZ9r1=eEZn$NHu(u21gMv$Y*qt9E^YQ zPb9wZHU^l};`6$VxX@2_mfrhTQZKs>AIPkJ@I_l|bg9vby;r|*JuCM|1q`awu+zgU z1Z(^OVAK{6GGBsdLW5uHf$3ml>n&$xSHsK&V*`;LE@6_1hLC@P76BMrFO)EP*ne^{ zVF7vi26CsTy=dc1U)Yov5^$Cn$zoS@|7_`y*_YY4xnhpm*ZVTrj-|sSXPHP=wX4U@ zs}1~|NW#Hl@A7GIvsi@qGfX?rPZZ66y1=dwiUg|$PG``1(qp5~%flZSd%`p3JjbT0 zv~7dXcyej|`hrI~E~CsidL3fhG6yRCX{ObO4tGlU&-c>9j#zD7h;VJQew}Z}L8Rg$ z74Teo!wxQy-t1S@@?n2QNPF%9g%O#&bU9SAyyqs|( z{6oZsbX?@YL=J27&0FhGb~o%|p7zMP%6zMEG2DdfN=Hhce6#~BQnpGcXI*`qbz8ED z=REw`c{P13l}lx+>D_D;YUSq;3lZg2nWcIiJ;?YXm(Qr!eG(WW8N{wNN}DXs$Qai0 zuJ)HSvYk}@g5my4**Q8w1Id9+?(2jFAdUI@@6u0y1yUp5G#zvTK^?BPf^z_}4=^)G z=jO7DvKM8q78hKHD7M#g!C6tI_u*(sqn(BBm)OjMK>JW-I;~FDQd?+&CQveB_dX#~ z`bInKrQn4mr@Q!w6VGW4SNmF*GNLdbmA{FfJ{{pP$GHYS&T50%PluL8U{2|FB%R zO}{#hbt&BTEI4t(LpDgrz{{L03W92=A}q6)IU`3SLr z*r*sP%|-IeEuD26VfX=}tk0p`^RJyJ4ieLt0WA zB%Z_f_g~K|-pqX#v*w(0U-z~5{#@VQ9OpA{4_*XI%2$1|i$1>&fAY~log;&4=5Jmr z!naMlEQ-XbM1xSHeN_(a2RT{VHNU*kBchOWbv!;&slWlDazM;WInooLOk%o{^XgE@ zit!ni|7z`l*ypJU@0?1ewznw;3hr z7-g~h>-fk=fx=)*&YGR+e}4em{gV$Zmy@q!*dQJ2GO(F~K?zYUYXGF37w?3MLkqS+HQnI3zX1x(?ubUK~eZ&(U z?!Tgf#lEpfHoPi1?~MZ}DJ*Dmg|!2v`4WW|c9^bcqlZgI^8a4S0Dk`TMTW#@lCV2; zNOV33Mz98g<(^KJc800o?6_W0WMPpVLj-$Of9)TKRB>dKlpVv0gvRR9kZXOb7+wsm z$_j=p6D%13Qie}$s-q}(XGakEp!WX0EA%Z(Zpd~HtoMQxU+Q-G%taCNM%4^($^h<( ze;lyR@)?fSbL}XO1<#e}erBbvxMKCR3MXceq%f-YC=hc2fK-AEo0A@if>|=WyyaIa zmgny%uVp!!M|DpcNhB|h{3())eK%7vXZZWMd_ka5-e8I=c4UC(b;BjVZ;X5Q{4%_D zhu5xz=I*{R^@uYV^o}M<_0^a6Os$cQS@f?bDTw26RPurv%czbh(!YfiXYkWzNCFa=+@^0fUyuP z8#Xf-C!MF%lG9|7k5=ivvRCV~#j&aK{BBB6jP6a+_;MWI&QX*JfIS2pT)ugkDs04| zG0^83vQ+VKck+3iPb!dFG}W?JXIjsEBQYV?IQQk$#b>W8H1k@4O%&xRLvjf?IV7>v zblP0XnI@SUd1jQ`c=?QlBSFmL8-SSl6Zm)%J)=n85WyP>ONCZnz&IW@?q{UDe0pJ@ zFM(t7yH$#6WzcDF)QG8{#jr7k)+;-A);e^9vG8{+Q`cbW-WQR2$6U&s*uWa)BZ=j4 z(kck~#``M;(WmOpw%=h%^q~;@Y*Oo(tDepbyp}8yH(ALd@GM?vuqIgYV?c}%->Vs? z73u(hKIx4G3Y-fhMBMnAJ~O4{m)s+IAS#u)kKk6L`KfA={v8DW{JAab)a^fV2b4=#U_IMDTk-9=Vnl0H|mJA0+-gVu7up+2(ThVQRLT5$x7>_T=i2B*K zMd#3Q?Nzi30eh4W(-7WBn;_20e&xkz`+x6d6hgXpk>r%w*GQ!h zB(~^K6toSBa3$G^&JeR9VCZZmu=%I0o3P4~mx$rULK1pH2~Ai_VNW1l9bMf!0#ZmpcT z7|iYMO>8Mcvn=?|7H=_z<$Cyh*zGBaR98FaSNP{X$z#~gEMKwBzTVyW8aRef2raR4 zGr0-<3*%F&5O(|#x}F|$WiP3x)OBxr1GqBYED70H?E!`7QDB$UUW9Vfx#HGLW2%BY zV?6gQSLC}F{I3?sHdri^*Q|9|cfl3(<$sG*)Q6kyy>o9<^0d*6u>jOS?z6>^Q%y+< zaXFCcdMn$jSJU#uWRETnGp{REr?MTj1>1c9%@hDj&d8GvC5A5fVLLkFoA%GJXC8cn zYK!k(go%^{vfiCo^T;SPlOM20FX1LM-YMQVZ5m1#wgq2fYE?ORLw`$D(F~@&c^kz1 z=+%6fhQT=BNtyFCM5>-L<$L;7D2A1Py>L=S!hP48Z?4L3^9$f40)Uih zGpv1iL>3_-{s-t^`XPeQI)2zlu5GDowauq_`uGKu_gwu>QO2Dr$_l5bi?Bf8KD}?D z*;k2FQ*!g=iRMhI(Sw5&_cn)KIb)e()2XQAGvs!^I|s)73A#S+y>%M~SxBlzO*MIKhsRDpfJgYXjQf)W6IO!0hFw%Q!$E7_ReZ z?45;Zb!`(N2-_uG1`5L3sD72#3(ARt8hi2ac~wCQtuLHF{#r>tFumBvn5z6rt%fbq zauuug*X_M+(lK1W;2p&dc8(Lnv4RCq!EUR7Hw&oSmYcfcc6!i)-dIiJWXxPnYAPTF=uqmTOB82ATKf#Yrivrf}&)+Xgaf?tmGXVICD6`!8X=m;U@ zMcpCiRbRuE4fZb+S)_M(71P>M0o0I~1ci?{Q?S7fCq`0SnioE>7tQCP8(D)F^Epy4GRg2ya;%4%5I z>XVYIt6J>?Im(y*XE~6xUozTW#WXr`8UB)+stT{TO|}d^RtEV?4l_EgDjYBSi4=B7 zkG-{T`jnBa?#&Dn7R`+Swx%}blqNbA8spyYHO%geb&7g1w2h!2wF8B4a;?mKc2a87 zksNRpvcH^a`@fMfe%Rk0p_;=gvtAHvrVj^v`29E|GE_1&4l{cAp9Z|MK&sokF&TGC zNwbI-8xC+Hfi3EHx4I>Rl@?5z87ebhmQf?1CxJB!IKYijtL`XBk-0Uk*ldqf>|;b& z&{h)?4wt0VdM1;2IzP$?bXyV&{=^`UH!r5&iI|zlK{xtPe#pGtI5<2!Rv`Mh-Z%m` zU*7n9p*$D(A}zN0nvMzX5w(PkIL#`oXYzkv*>wx$4%c~paSRj+FJbr7?M%3m)*k`N z8eD&3Mw$nt%-W%LiUVyl3e{(-m|V@RN#mGo*k+=yx(Ij+&aakQJ@4USUrjVsG0dkN z+U1O~-|ijq0!}3#TMU0*4IXrc8u*Q1z%m%h#_B*!{#+%X|1(86{E*i5L+zv{rLM)& z+gfibNXi}>Y>U6}GfJ{urjxs4W!2rVE|yw-MDxwr#TweLx3-nKmRsV0l-uY}6#fk$ zDUJ{!a7nuWro&)U~(^i z#0uAbJ6?)54!!cbXo)|@O|AHOyBoNrxry*;X|**+jYHmgFNWb_WNIBK`L-ucXdRzM58JsP^Qu>-KO9I8B+F!&6HBF(pnUcHq}OC z;#sc#F{BI^`{)`Ce%e|&g#ZvD1+cwEWu8qf7B-kGL>|H|T4w(YWFla@qO=a4cQLtJ z`dokZ5{#DR!IA2QvQ?^bB<&9K`N3E3vtzMy0{%Beef5y0+omt^V==&*H8bcwXyPOluKE>E7yIZw88q$o6wxaa<)xz{rb2g1Mku@Hb4T%Ctuk=gKYmWCXJ=7vVk)^8a zk-R8U11&q2ICr!+uAVqw*P^iF!e$e-q4PS%FrsSbc!ULHC|H=8vrrX_Jh` zIG?Mxl|&mMW31#o)7D>|SH=Na&ehSNOE8htbSG)l4~4lTNqqqf>E~s-#0S_A zL1wEcG#@ z*VY!}0~Q&wad9o<r&g?D2UjI1klam9ffRb7=hbZ~ihQSv8f@Q%iG8Omu)rdd zIR5d;n92qwrUbhK&k-q1O`CT-_JS5-ws2~v>C2F${QIBhy?}@zkAY*+S-BaTt(-J7 zBLrUHPGUl3@aq}c7NEjMaHnnES4luCCaDr{4m(MCNQW zWLBE}tKt*PXifdTfM2!}!zNTs&}^g^GaayUyQQWPZ5<9V-KfIGjT2AyX$kj?IWc~H ziTVDQFxmaM=iZp6ISJ59i=zFW4~^ppQLs(^3#gQ?(@p~M(VLfQHBs&;N9lhLOuO!s3sT9zyC;7{hB}ZuwBjIV41q+5QyId zQyWh1rb_$o8G^szTN=;4&jzF@zSm^xRq8ENzbMIpaP9rnKCj(;7l|`qhwQtYY((R3 z8!@}`X`lGrn>+toZXeNZn%C=ph)Rr-9V-8`Ry}iOlu*LNaryMMD0W>-KHgwrLNAk2 z8#AB`Q7-7J%+8?yJiqxEcd#{3T#V&(nG%I~8Z3H$v8uQ&NoxJ@5K58L zzLQ{XJ{-$&IM22sudIBS|B>6(mBk*}$)ko{7K1scs=MBaVZz9O&s)HNio?7konbc7 z*5`YJV-C{qVe>dy58e^qy>q8=t0msxOqo<5jt+FTakGLj)#gBdUkjI$x8Kk4Wi}!; zH1E#Uy?EXE7577ZyWEnn3ew+YXVKZG#PvIj$~5lU>jSBIZ5;^~(Cga!k(P^vWB=z1c$|~VcqLe6gAy{NDJ|SL;4LZo`AU|y&Dnla`o19T z=gTl`gYlxgsn81@GYoy4OfM>nCag`oCQJHfJ`^8qj$ccI9}BiM4d?^gMd;Z`X}w!T zMyz{D9njAJJ=%M(@#jl&KTy-D$uKC*cs8+Q$(SN+QEOtQ39eXd$)qNKxKzT9KkArM z#~C2r6%}3}bWpe_T8|`mZgvN{It9xXvFu3{Q+9icwLLmq4hAxQY*Q9$p{8PngzYP` z<){9JbEJ6PUqPIT4eW#=UQrPV1GVbD4 z1jeK>@Iqao!4cS|o0Ux^iub@hrttfats&WLJ(ooR23!3uSdrCJsvQ~V!~jOWw}ebWI1rQooGg(r8~&HXo6y3l=7&b-2Xn1g8|o%; z^Oc56n%U8>_9~MFOzGWMbwwM7wPh_g-kk{>$Pw zxdg0VPAa_<_f2C@{ddP)zrKf10rFp%3<1kzuzNzpNNwF6oSq?(UpwB4(RosG5q|p3HB-iPel%0F&L8M`8JrKraJ`Sn zsKI^#R>RT9@VR@i;?a@In`L{&#J+6#r;c!$g04Kd;O+{@!hHxbzG({hfk&q})ng7W z^qhs78F=hh5+e#!M32ib1etg7zo6dkTV&Q1#D8~|p@LQSsE~NUnqpp7!&&$){?7OM zC02KA>j&eZ#b&E|gr28}qV)~1vvvJNnfxzVBSA}K>|69rD$(NafN$&v6Db?{A_gCV zR<$2f(S@vHta$70k?}o=vcrj`5T2S)1|# zfV7uD0Z~hrGa-FX(!(gaa-kmYSax>>#KTYYyNyFn{G?_5IF~jDdS6<=_20KihkZH5 zdp>XWF;DTu;Smd2{4(9)Tun!WNTJmHibRqo5-cZ>Qj zV95_rKZsb1{mj{1L(VBJL{t++WvnOQN#&ks!ZKAL;0RzOEwZsVsdUwt&W^W%(!jsG zl{V`8v8-AVDfDDZe|obf>js|l+1kcGtpNotq4Y?bOp$$k=yn|kdhFsQ+$UqtGG z45H!8a~w0Nz3{+d^>5xp-P|sR`kQx?#oonR+6v&{GSZkzftUhKajC$zi&kH5SvHZ~ zpurS!hpGrq(zgBq3K(AguL2Ym(pS|KY-g%f1sT={>)+UQQ=AEW(JuVqDGIgo_8v%H z@Fhok%hHs*(`!U0^SZ5tA|b z@4%ql#qEnV7Lnm_O>%Kv% zb!=PtNlmS3b|uZx(xWMI?W4CEH=MzoCs}xyi<_dRP@j1&(H!W&5fn3WakqGk3&Lkg zA^rYvj_1wbE37aPI{Xn@#U@%sx@tY}4BUqoZAL;}op^Ee!koJDf_JYvAX|>`csXPm z`Kj1t*7>QuB=HRf4xfxr1Md!oihWU8BmP{};<*?ns%Ez?nEocRHm;@v0Q$RTT7QI+ z1*wJ6?_wc<{-2+Jbl)lHQfHL{qD1S&A#Kpcya;n-K!g;KD`#VNtR!zf}mTOJXgFrkdO~l}xuoMrcWs2QE#{ zO5kLk;a|(XnX}GiY=|fN!8hCfhf*(EG5fr#iIV?v+8O*)Y1efFH5JNTG`Jx==9MQ! zu?tx-q;O*LmY_mfY3A%%(eBf|RHy$~@41MqIdAOTUD1wRU;qpTUHq4wfz5e$LSC))K6vT96YOb~vCZyzPyipU-Q8O+`fC$rOvTG*=iKi^2XVj3V z!Biw%NvNnATXi1MU~%eoX4l9F8kqL3)Kjf*{5fQ6(wIqd(qmrWSfAxkj;g^f?^wg- z60@oBI~p8FeE=EElA2mS1&0** zOw9eXRxrJ8;8@qkFT}Q*qcGaF2QD~FAd|~W|Yv5ydsQxJ3-FT;?6&h1;L%}9glXBkoZ|~aQDCG zlPLsQ?x*)NP_2NL@V=!dK)nBN$&qE`i?;UDOM2l6A$yAnb|X*#R^M8<8ZnMGy<%(* zXNIXI^Lt|An(Ct2M{QHU@HO%agR0o?Q<^m+Sqyt;wkJnwUDgxtYHBT-pUO6{bWSy_ z%gxQcwr6>w_A1~avi)LR+uJqLWE(I9-$G{dE9sF|H>doAlyd6~P zhxTCuF725`WF9c`8BZ1GuSq3sCqqq(Z5o5*m^~(ueWdNTAJKZdxvY?Yd?@`vzkpFCUBD4j5n646%%aCSVypU9+=KF zF41}!x*2Cq?GtKxIvxImZRz}#Wg*=bFu?>d#7S%q;jvx!0rQK@EAZC?#8+CpR|Dzp zI2t1{aLATagHKhGNh9o=<^^g?fJ`CkKKSFD^&>Wh_=~Ryz#MBw`U|2Lama9M`P2#k zwz>t>t)>xda{3`p7~-v!F>g_ZTO=z;&rBhewaf#<5CDALo{&EoPWC#Si$3mD} zl@COeYKT4P9UqnNPN4oH%R|R#b~CW4eZlZm?<3CQaki}L;@StK{RvVJLE}uv6xVhL zam7cKZKv|pT?T6yVVUUZ1)$pk!d}q^fuC;wD?)OS?e1%auVk%nrM|-{9TqEVmR!UG9Dj;KB^lv+hM#JXbx=G(K>AZWR6-qI&)3yW5 z|7c=Px%MZy!iq%${#!_U1gn)tf6**7?Jji^-3{a7J{W-kW@9*AkVbtYX(>N69^o|D z=aUWVuk=4%%fd@6+L&ubsg>n^7QB9%NKO4it)fQZgXWWXYMcL(C6s(W7hLlRBXTob z6wDF!lK!Ln#RnEGn>G~ zf~ib~XWQUVS=UzcjK6ueAJ}A5f}V|G4oJ^fcnvWUj*b0xK7VHl%HxNJA%6D@Sjp!L zZ$l?6xIXId+igLLIUw&Oz^k|ME}HsNUdE`yu`BwPTmNLq6flt z@%kPymn15T7$clP9ScY3zp?92W06Hsvkl9!MJi}12iB`CR&y@>bZj+b`ux1g=ik{H zW#&ULLI<3}_x#kb${XMG9C-O}AS2Y-OmXjSYj@VH{s}pBd;+0$#K0Hmrv@c}Jd+5Xf3FUX&qnW>^_RyMloRIn^ zJnv_PiC$WUg^#zF!yq*^*YanmB`U1O=U^( zGdZQ^bXx|M7N=LlFw(gKjjS4eM;iYiIZK3wrYVDf8|iaB%I~FTfWdA$Vu#p@E~cirT_Zmwnz52WW`8HO6ikLpV#1wu1n{xlf_9_@Q;l=>lE zSief}(!M$M{g`}Lpb2es25hDX`I( zXO2wGVGNuuAETnyJl8%;@3ALgj(;w>F44TC z!e|fR8RQHm+xQmDL)M}SiF^FO0!(Q=KffLJ8n;;uXYT*W)(V*Tf1T@LJt00<;{a8< z$0!4)8x~Im&b(yHB{>s>URGMmV#PgZm{?Rp3E7>DH-@ugvuZGZ_SH4g zURPrb`{~~n0=0bIGD!l@=k8`+Ltp=XSl+()E(=qNuX(^uvv5v9_R!G@ zRpCTN%k}w&yeP$@b|?FqJV&ZOg7b`^I5F8&9>;jL$NX0DdPmbh;~d&ML{)c}-gm*s zF=12$%0ZX1Ubs`yNY;B~_2GycL2Y?lDw3T?T8Obm2JE|joA+0-h7cpie{Y><0XUKo z#ePwhsYRNHylEIC?`}r&Icb#U&=TgOHuU;rNxYtyUj!@v=U1mI@;8ZJV!_V;{zKj6^Qa3G(xQLt z94#FZZ{&_w?#)PM`Cyej;K8J?#x~vBadz|&uK>=qQ2=v`fyOR|@w&50$gppbOhL)e z?e=yVkdyFNb@BJPt|Are3$-1mnwC$XHFNOyf@vV@As+cj@$DKtp(i@_71L)4cb2g6 zldXeAyZd07F-^Wfx!2I{3e2hG?+!(xt_hR51)L3qZAhH2N_#2!^{z$`^$wuGag-_J zjJMpc7o?xFrS?1kAk*0$mv7LlvbRjPLx>Y9D>B}U3!Y+f_XHMMniWQCZugPA@`g8@ zg)I**ea~TsL30EF`hF@h^&$v z()i{DZKf_6+qzeIydZS@5hr&DL+Yo+HIk{1zlxcDW?(`5*Eq_$_6wY2PQq-ZCohfS zs*+|OFfr+9Db5DX)Z|o~ML}oCGHaa}1~A=|TK>umtWD;k4FmBgL!5d*X}1(aWEojkv;r6|ZLmzu zF8N-9FP252(m|De3se`8*%m3TmqHWne>%$FzEz&rf6_Bg$;Evq+WAC(X>QtOpneC4 z?hoPa{VWK=xJa|5713@d35ucL?9zW5s%-J)l`dy1OZznF!#1wWwNxE&1vo&373BuVi4MBS^*ufLpq4qS4}d~Im%IA*3ZH_Tv9OjDZC{*&Z_Jxzks&H- z(L7SZQH8m&gsYo=ZkQNBRBO&+SL?5(HK29g|88lX%2^jQ(9c%>hig59{S@o@XA2Et=1GFkzt^Jpr~bt+7`54DKJht#&2)(G5z7UT2CCG&Pf~0OZj*27_(g=5UAHh()f%_9qhc9@<`e%;G9zt{By zXfOZ?qO4P%nfuT(-z>$522cGyt853O;R`93+$68o>!4Cb;h=%3L7Urk027RU@Hj?!z=OCpiw5Dt_;#bj4lqEJqo(eK?yli~JI6y7+sqBrRdxb^;$Yq-MX+j>Z6!My3YfCVXc3bf28bHs) zd@I2cTL$$%?=*^gW_!d-!Zh8l#^pKPZgp<&*{gV!r(g>=QlL>>dceWIBp>sj1yK_A zo!u?m{DOY`H@6n}93aM`7cI#iCf^=Nu|54Naep=>c*D!Tx2)Yih~s^q*>6Ss?dU=p z<2qxdKxZJ-n3u;cj6-saB5GZmrS_3GN zz<3&%(R8xIsSzgpV3Le-1-sxOCkm^x2y>7@)8FJM{s601g|0opyMdN^!Hh`)BQ#Ev zg3!=NejkbBtSMj4n;Sixvlc7>FW|_K8ZFmfDqXe7yVStQN2R@(rRVBJ`rmmT$QzCc zgfTbB(&91^YWl+6HK$KgY@*+$*SQN*9??7;9cgGlwZn24yO8m`Fo5XGkAc$6S9O6z zz1bpcOPui&h&=z_&n{Ldh~W{Okba-nj=+ecr4eLE%88_qeGJiW&P>4HhN}t4-$sYG z3pjB>CZW0_Zu|I9mjWVL&Y$;jP(83I^-o7G#mgi8FkPdr>VQ9=GORpM;f^Ao=+X+8 zgaM`oPeY>LT$9}BaR#dG7w~-a0hW-^j>&v}z63`mNvLe=X*Q_<88rBS|4E{03#D~4>^czCgrx})?&r~XYGY7B!VI68iKx2!p9*y?VIy(|alkAK zzQ+TEqlpUtBB%HFfpY=hw>(gUT4z&m)%Ae_*jx-3CNLXXH0`i&(XXPAVvk@~#a6hI zDmi0_o`qCjoZn+vT24#;jn>2HRK6$_ zhpYd6wdET7dqc&G3~7?Mt=+ya7jM9eXA-r={{C;NiP8k z2h(xzWnV>9dCjRfa97LY2V7-eKKi=k@iUE=p8C}2>?eaoV+h~XxuYVml#27HHb#qY z>PHvlH5Fl5pR9rlyF{k5IXr3BlzqY{wCLloqC`R@9LjW?^5wOQ)_2kZu3U;pi1cfC zg0aru@>&~(I4u8%hAX%VX?Dpd1}QR54s{?HGJ{7QzO>G^E87dUqdDXGwL@6W+8NMKn!3p7-^2rB81e_gB{ zeRE{g(2zSlOQ-uU9n5@BP4@D81@upYlHSz79}J-`32avabGAZ(d|a7Vi8rQB2{=pQ zwGU4~$lP9zYVFLZ*X;2@zy!V*&@SWBQ|q0Tdhc#}sQ{aeb80yw`70ba3H*P~>CB$; zFW}{#ArWkwp!omR)LhFBV=RiQ9T^=T&(LK;lTq;1r3+v7V*d`&wU{|Lf!EQ)a!J+w zs;bQL@T8)x)j8f=>wb*{7e%+$=i~40xJ*R@5iZhZ-BtwzMw%M-UgS(gO~+QI0<$`6 ziCT_Zv2VpDY{pAWJQH`iI-jUG%XG_u=484c11;03+z=hhj~~c{z|I6xrG}I0UPLFV zie{aazm(aI+jyO@OlAGvaX7XIIgG>)hL3M@fIrbs$~bgidIgvKbFTInj5lXD4#V&$ zGjRXUd{CAViQb5iT%(Twl3k5FT7RGZOlTj{IBl#gLdiISa8Lkho9Y&zQl?@d(gY+{ zf1+NtMU-umBdRbE^}J=%4keYkLf3#RW(G>@$fo{(YjkpfX#3NWSOK?onh(fVjXeM8 z%FV&)@c;v??YU`*DD~Fxb}I7n%E)t79JiXd4@D}xh7_*4&>MXqxn=xUWTf2lf1j>= zo{OL^64!iicYG{e&3KV;I8DAa+p#7c6-%GRpln#~5Rpyw&tyfX*=EL?99=FrTu%P6 zw|dZHbh~Nl*lJ%t7?OaC?&%%Mmr8TjUUxJ|c79ML0$*Pb*5ZnMX<=9t>pUqv7enS5 z+St}o?}D>z{gsCLW>fhzBOaIroqa;9T;;~K`KKRhbqfNv9aB7K_LKsr2nealh9&Yl zHrI@I6CNE7ZiWAui-DsH>EbDMj|}D;a)%3JaQ8AAELKN5aMJ7@-n;(vbXOnQW3-;= zquO78T1iVxS~MNU__98ra|8{{iS?UU*)%#8FU-#`*`w?|oOuFFoIbKb+xqOc^Cp3K zsJRRZ)JM-b075j7wj8^E|Bag1PzjrP&FMV*bqTI7%rIwQW)0K+=rt}6}T-D2NR!AutP&Sw!Sag znV)ye_+@$oFWX2nJG<*whHt+MH(GIdFWJkt7s#s7f6bNgV@G9W)}wh8l7_+{yw!r#`zFt*9FmE$oY*Htdu zRXu)yBegV=3x!`|N~2ga=U(;oNh_jLP>U^ueTC9TL?;+CKS##Qy$VJ&0v&bK-N~Ia zZO2C}i01(s3@iByc=07nt4WFlHOOo^+6DvveCePlUd?Vq^PQT>7XYW@(=JvY$4Tm* z4q1xyL+5B{4d6Ld3x2V^B)u2h)53O~r~bvOwY7P4OaO)^QvRX(kp^b-9Q0;I)5yu1 z?vQVmle7;$y(5&|d4B3Qa>*Scdi{TPyEktn%3wdz_6X6U{)xfJ#7&+N-x7|ROdqs{ zh@yRvqwAn=v%upkMXL)2RVnW6<8xnLP9Yy4+pSr!Nl;K0g3pipLivjiz9dq%|LGs#-y2hSOsD#c*T$6lucwVHvQb zO%vr4;Ogu>jvT#avZ9m4=r)VeWEnzcZABq=todc9UAH{5W+&{H4k=784q&#YIWjMX zdvwhKB0mu7YUC|dl`Dnci?h-yb8SRcNjW-|?HZ%1dL)#7;E=~rm-+r{>#n(Yuq@-C zJy4~}5-WJ4sZ9sGm4ODKs*?`X)3!cKs^`$Le8q9>SY~pC@~g7Tq3|i=YWx`SM!p76 zos1Y%6RaLlbKiJ>;Er{X{KMe1q#VYj_LhXwp!A?T?ONkNl*Y=zB9sC5*fX?7{p%}K z@8_8JpQxby(E$-w*FwgSMq296_6@E!>4^zqbl*}jz2Yvp zI-ZRIwm@z3WgJncvro$mM+K%c1L$*fgo05qwC@e!Ci`b8&Yr$c#~JKp6}}VWJlx&G zp~-1GtKUSsKLf-a$0&X6q|NTXigR3i!G0M5wB?1Yr5<>$GsQ)1xW#JqwJ@OvV8R%i z$%JHi|C(~oT(Wp2op}0)kL=?y(D76V)@`Miayc?uK!)krwG27${!I?hVeOYI)#?u* zZO+`iN*+XYF<5`iTA{e(dJ;fOKp!C%^1h|bcT=@y947j~^G`OJ6n<0InjF2^(a z?^T=MmU~sze@xN(crGhwC^PPR$h6u_@b8CNb(&AMw< zc{Zq7l^&T(@pG%6JE|CV`Nzgn)_Hqx55 zo7CZo0rKypg$;+DqvpcPhHv>S_nUVui@%`5&WO*ZV*mUq$gMOrKe!`|w(%wUDdNQQvigpOp-E0gL=7ZAR+eoEP5QibBrQw-;tSHMIH z;C6vSAMbj_GD#TBn6?`|zx7a|@~DX3Q3U+ZQ~L#120wkjusfcJJ~ViJ?b)k1(0! zQPc^Z(a#)NpXH}SVuZ)lTJ}~9=DH115LQ_7&*v}aJBeRnT#0(tZUVV<;4TRR;c)Z| z@}o{9VJaVL)+EZ66py3digjVK(i7h;u`Myb#0i#b9vZIq_U!E`+Z}|0){}zxM}*$jYQ8iGEVwt&EIP>0N%QuE`JbkdVE{Y%gRJp$r$(J zJt8Y;QfO|4cR7!48ntt7Mp^KdEB{wQAHrdKdD;XG(xxY2`5~*IVyc8MA3*~KRFy0O z*4qzdP7cs}^)DZPmdDV;CvXa+J00YoW~!q>Tuuw@O&hJ!(vIOQfnyHJzoCnTLoc9s z-W@ZO^WryZTTv;xmUjjfyGCa*)u_ved=X+kR32|wc9K-cZsnJMY!C~o7jf`|z zjZQxOv%2Ds^}y-ZV`W773>n@3|6gKA=^4_z3h*BV zalmW21t4*O5=$w8cE z$jz63wAadHWJyNERyWh9`@GTjzd^Q?%$tcBDD1B{^-P&$zVQ`|#Qzo^VJGGYp>nY( zE0x`u9q|gTB1BIweY4CmhRjp58ha(zq`K|#WL%RhBaD03{RVw;cTilq9_HbXp-xc_f?f;9(Y1$d^25|YwBDRkiq}t zKx%hac5luu^EI3L`@)}!ve+i4D#Mc=VpzySy;1}X{DKWE$BLh?zTE9OD7smkl>!)j z|IP5gh#6ks!t#jzV=oKGmLWGw6?&8+!n8wOk?TopV|JXT3s@9|nM6~1pJ z!o)p~21)KIc>#wDz{tm?yi3|5s2WS0#7zZ;wm88^3ZjRcdS&r>MWoA@8A~N>bWc1> z^ym%?6fC-?OFYSlu*H2hKqYzgEvx+Vt48sW9kIX@EoDrW)gUlq2QcAn(n z3#92xg36^Pl^^idYe|@RSq#JN0^SYn^7u@ap*M83+L?okw3$=t8>WFmYjjsbPDST- z^!~{gCpJ(1pc^kuCF!q){=LXBk(mBPv4-~{0wrmDE9GsAc;Meyk;<#1W_*R6p~t!aXs7`R+-rq^q)v8fKFSM(L?WtHhXf7x)pPy*8+yIfy*^W ze(ulyKbFpdEvj~n!gPazbT>mP-AKn!L#KdrBS?1%(lvAq-Q6W2hz#9bB8XB-N}rA2 z`2n*pu3`2Y>sjkwM;BWfFmJa%gSr_w9|cS(X)KApD>JodHQ#k_%WkvQzo}sXGido~ zA5C`m^y(RMw2&o@39JLf+jbT8RupKX`+~%P$O2HU8jms@!`&$VJw;KhD1U`xO2sEG ztx)WQA);Dv(B8%_ytAJMy~Fdj0w_hOAo+D9!Oxc9dt}fp797FZy>LEg7& z$%Baxs@1c%f?%au1Zpr)GVxl(k;>d+{avI2MTb* z@AsYMBcHwQi;0xKSXp;zv~owgVbsrab$6%q)O1g|cliGJA*}axTaiD@hwy!kheY>+ ze^1y^K+(F)c`?=?8TQg|=(%mO&KtBwse6-y%a=0BY)lcq-tjz_;*AF}qw`(5DacOc zoyyfOWY5sgEuPJiYrnLAobZ}Ht7^HfxQK!(Y5v4Khn@o^b%2~ZSi>UD6HUX_CyC+| zPV!usKPULZE%Y_Q8oJjn`)_@&DsxYV44{ATKnvm@+6a_Z0xH+vY?y5LTxac;!{wgo zH1akk{A?PJm)b9V-P+1X1F$#5yN}P4NS^>Db>YbdW|&s8l>GAnJ8!`Z9bH~%l!U#R ze~m!Np+gRwS*;rAlG*Rfv?^|r>dT)oXS&ekQmIyqKhS;(I>A|OjSP7)aPHW6ZsLyh z01|$;^i+Ji7OyW!Oj$ir{Ixl($h#$AxVq-eG699J{t%k=Eqv)?f7x3*uv4r2?>Dv0 z_RA;?udg76Q_=No^x&mHL@QItpQzkbl?N{QT)&Q_m#RBvdK7o-wWqccfHv8iwRj)i z>`E&!X`ea&bR^gT5CO9RjpVWK>>E!63GI-k<3N);Ux7P#EDY8KoYUj1M%(0G>DsDA za|)sGFOD{(+}Qn!M)dR2Nj>LPXJl0An921)NGLe&KcD>mPbabHpx9PT95mrvI=&w? zR@TW83V|3*J{%Yls&spNj+LY2cQ(!;p?T{CAeg>rkjf*CB>m1KL`rD`w2W`N|D*_Jxo|zwlencjyg+m9~oCF$dfVPd7!cEGazaY-vPj z>R^?Bl8nL8MSGFT9}yT{5#K6E`Mx;)Yi_3BeLNvl3v+xOMo_q`9cgT5S0iTkhXtgw zhhl}D{GvU7q)RV_P7*eAGBRr#F|+(L@RA4TeNF0PAi&cg2U~vl_!q%6S^ndm24Zv4 zWPaf}@h~>XX<@-eTbp@a*8l1r?g#3}t*$|>6a~4Y&J3AK{ls}C#i~t+7P6~H)axD3 zAY)}`bIs+OlwX)OjKCM~6k~jSk_!~6!sgn8byr}l7SA9XY$aR0;cf%*ns%elN+J!t z)7ej8=X`W2f5`%Fe!u_~oX#8%so3MHZr|!T_|@(Uf2_I5ddoUapo}!nQ5l3>HqV2J zjCn!=0Uy;C+|9u~Wf_{VO-iY)`SCP;aYSNlGQ+ zBC(e}(=H?gFPL$d>Ui`u&3}2*KG}>g5T}2zIBNkZK@O=Pwe~~^nI5Ur4|O&<;vV0) zEQS$*Q2lmQrf)!eOGxJP@pB8>9S#w|qf6Y4aBwS3O|`kzkAFoTzQH zpz!I+?(oZdA>L4A&Ii~j6`>izu#)hC~~{Ozg*)~^3F@R-0E7C zQ6>UTl%IW(JCX0A&u!zRi^IMWP0BN?QiC#a&$iRX9nWc3$fM0$G5GwC-q0bc3LGtw zG3iks2E|}6h1V+*SwC3@a6{BpIvWwY2{}I6;cK8za3DtP??jGrtnRDwHT{mZbC-=W zb=c*CLS{b&^RV;P6Fs$FIANC9I|U`d2?+i&04_iFhKgfnqa_0g8Hq&!d*lfJ zz~_NFmCTA%*xtVPhvL^BW4PA5;RBw<6JWTd&- zu=XGy^EvriqUqkIc=lL1(Bw-yU5B}V3eCW9{a02YjJmo}Ke)(aq^BrbnjNMJt6mf; zJt=y{5a2yPQN+ezJTwk)#^hGr`h#UW#Z;l|)WAO96fsrPefk1w~`1?mj z>(Ma&rb|U^OoXOIyUW9`#_YjYD_16~waZPmqbqDg9g@lx?R^hdZcN|6zg*2&CtW$x zY#5r++Qa6HECA}DJuqL#(c;sZFcIVZW!dzF&(G8H7(^LoW`%Mz3j-JRXZ9U)cNbPF zhS(k+c|}OVr3okk`7ekzAKpU>-6b)1du%T^ydqvLVBKwA{KlJGer#~LDrh*bdz}JY zvtG9$r*q~j1V+@-(T%SLJP{oj=OtVF!%T zp9dDJdswpF6T*e0rBQn?{KBl10)I6$%sdIMY2eIqPwd?=&183a%cj6!H;w|1Qwc(L z)dSZcg>?3(pMnHsv`P)ETk~lTg1!qSh-CIpX+5MmLhw--ZEoDK*=Mo$K>@0_fYFDs zWR#*$5tJPC=Yj~TcX^Ld5=h^#&oTeKdj#o;ejtG$5GjIDs+wtnuER-CI*A#0`KJBE zIK3z*6%17*rKlhpXZyoz^=QZ4ROa&UIB18KT94o0L zD77xd>hBukyG!kGcVeW=Ih$ZB&sUQh6^eEMZ*ri^>|T!e;zfVpK^mdCWGnY7xlei94-rH&Re9s zTg!cii6H{^uQTpv4i9>941AGr!VGn^6cm26M;Itt;)^oFB`k;nq8|j$pmHGhspWHjv$MzA zGnAHDm}uke=B9*j_8v5i6o$$nJG$}J*FCLe`Kzu%+K-0w%-J8bR!jLaICK^E!&$Ww zivlzzc@PfIp?VbPwC+_uuCK1-Hp3e4fsSsBlA%gzGIUe3j@_+@Wc(7r!?2%ZfSIJz zy1sdov!$^Y09}-*8ppby10vCDT&4jmwuned``;kWo(>iY@8V}G(`v3Mx%@*TgL2Pn zbz**^trvbAU)p_COh*rU`|OXm(}NX8(P-GPXS}f;wg`f>P%iG#!SFxchy``k14kCv z`2{aRnyV(XOSaZ9gP=<-kCg{ARCZCXaNm5RMVA0ydKQZ-+w zQIjEG49uP64c`5{+J8%X*Qwsu^=%SY(sszQSI0niufQV@>f`=hv1 zTfAa-e3ShsWsaFqM{ZqmcnYS zzIu5`yLZqDHy2bK@DOki@N1N!+DWn;n0`kpgi9Vi4ApT@N9+%se4&y( z5$1=2e06MFc7c=*!q-1!EU6>yKtK|kZXI}A@>Q72BkP-Xl^Gqs=Uvz3i8v5(kj{0! zI7vOW@j6!=ZcNDeMf?Ql{xN{cutv^05;F7^F)=GU6+2R+H6;1_+1iq}-*TtJ<0tob z3WH2gm<6U)6{M96ZgP`Rc_jm)`}zW^V?;$F!R{28GX5%1fOI^&cO>nNNi#EG8*)|# zsLR083tW^D$n4WQ+bjYc9FhS^HNTwb7XHLD|F6EH8E}>S0Cc}#1;98+R z6)*?xbc{Wvdnid{f;2e}qgq`I7?#Iob@q^2!l^y_ZA;-}iu@QRxLp1-@Ye@z#HV_> zIbyGAu+{F*cquW=U@-9*NV?dr@DHveGBi`8$|*LrNieOimu%s|&FvK}UN=n5 zX%=S}aZH}|^XU~rN!#ntF%5&P-2hL&W-Y>L0-}@}$Ll6eqxEuRly;uKQCJIAoozXg6EpM z*7$XDASg2;lF8?UR7{4A`MG47#k;CR(Lnrb>AldW(#v)Qi**G_Obs&5Z*#LUDHTl{ zBG|Iil>~T%M%4o*lL@3n3?-Dcw|)z`x*JlK=%Jb8N}IzJJ3Sc1rDM0l&_r>Pb z-9Ilxb%8sd*obAoIxFD9MY|<{zb_4sDCBH0@Ye2x7r*!~f)A;!e z#a$ufnMi-^%=2%N^rms)bDusIw;h!W56n}di8N>Th4k=E_WEH#lN|f;2$c0$qmOeLlW3=>%J|4$m2~R>dBRw4a4WN^dNRLaM^n%e2u)!{*GDp3*C% zBbG`{DA@u<1hbVIQ@$v}S?Jw5x?^+sA>Kj|Y5FqbkOm{m^V`Lqu=E}DCE@e>bKS}4 zo{$o}fy!EDZ0o>RXfb7^Q)9NHHy&ho)#B)pVCxvUJ-vYLFB;!qwd5#M!ED}vJ-}ML zmQoYmwLgSXds0tH~OgEZv%2T zk6EMRU6T>EXXv(pY*a1{v+T9JW26*$Zbwxgu8Jn&!@4H~^BBl#CL5VU%EMD?mVAHb zp6(Iol;?V03YB8L$T`-8h>Cp@fAwsPqx>mrGK!Ts!1Iw3`QOLmNXP!XYO;*~jhd@M z?>cm;B557)Xzh z7nwwMyhmP}gC@Q5#ImJjYH&k%kY~ z^&aZ2^kd&dF++puavI#9sU-Fd|C_nIN8II}Z45p&VP*1QaoOH6T;%y-8LZ9u4qRN}ln=)w&gQ*Q0No+J0OEQJzaQP)xeG~o-7uikqqC?bH1l!ggTO|M-u1n6j zwpepNUfMTO8bZUci&%Y9I5J;H%4lVg+WC3d;VVERK9p-`spO_swdul-%kOSC7kUCk z1)1z5ooZ|-oBZOUhf;7dqW@zPHk0RyAr+M*lUmx^(tQ`Jr(EjO_zoe!Y={x0;2U*h zeNk0#dJ^OnRA(&3xq9nLJFsOmUYf)Hwb0W}KE<0s>1cnpobsr{ftrlH^aN4V_g;x83dylqy_X&)Yu z*h%0Y9G5Q@%s_WmB@=nmoQmYZ31dgQ;MDO_`J|Yzrp0kfq7+!xgZRJVXgLOj&b&9d z9VUz%!_rRON~yBXn6U%UA{eqMN7RtHN#k7wAHVeP49FAqbmLkDg*Q4$3&-V#?z%KL z-n0vx$~bgnad02vDIYxmkV63GZ}Lqx;2xob09ATh`k=PJ-MS-mMwr%xu>~1dc)Yi# zCQ!DJ`tGQ-(D zcs=(BP<~3_8)}K1pXHQh>?3V2PUad3Ml0i!LZVY<;SPMauaBWIRmpIdY=T_M8dhtF zZ#Pd>1KKav=>kxXgI6l9nZj);>(#ZcONElufB%~REpWT$AL_*|m*Q!pI@s%Q5QdKz z5^*J>s%W9TQB^K(!Qj+pOjt97len2-L+$@RmNRu@^6l2v&htOI;cK;_Oiv7h-P8duqZ%BfCi&W^Em z>&t{#F6KyWL~i>u)Nv^>l(aKWpUT{DcMqrgc)qVoE>pt*q^SrRAt+{4ZT#k;N6rd+ zhl--2RmDq(YBtYn`17Z^d*zzD6W|P)(O8t|hQZ~{SC8WZ$YWP&5P9pDFtK`4nMx1W zvGLAXaBzs4lZMn=d-v?}ilt!4_im*D$2~-jLWcoJxxoi{5$nVsB4Pq3yx#*{m$;)PdBlZY){!MKPqw;9}cB4t-}l=S}V4Ka|VBjbZ> z!K^tP1Uc)CHJ%FUzsVQM2AYo)+az|GN{%$NMga0yac$P94ciNmK=C`WFS<`8`=#Ycb z29mkZ7r7E8d}{c~^x)!13)NCPY0hgJ1Ht9NYx2gboeL&Hq@dHSW=sOfD1tNw4H5G@DW)RuaSznBNF`hZCPu zwqdP^V|Ayv99>ClZFF;YI3+I>ZF?tTBeZYt>E8ZvFP};9WMmJ&RgQ!_GDepdr@cYED&62*A-&pe`kg% zSJsdZ9LU98SiUk&A|WK9kZG^Pr7bcYE-Y*(E6Ce(Mdy&oz0w;BJ^ASYWR566ue%|m z1g&`m?u+uVrqauL5awmWo`TB&pw&SQUntjoa@;X zh_)+bOAMi&giyPC;Np+hc%I0wiw|>~lcu*)(Hn3xf|76qQ^1Jjm@W{CIPcx(EqP2Y3qrdmS*nCh$VZInx?30yjn4EI<}C zEv^@=kZ-iXISs`>xytE~yBkm2TQ=bF!;+&sFxz^$ySN}MNX3RkFO{vFtKDFFwISn$Jo`yO zb#ZQiBclysiJu1vyt!1Gm9;hw4`MW#_)gnyL!j5GtSC2ZT@%9bv2*ch7XV&KxUe)= zfjb|N#Ny#PlfZr^_C6D zZ)3s>t6D#v9#a_f&IahB0op}&iC+3gG`?yJ1y16B_5g?+m^OWdXoQzHZBZ{}oz?30 zHDJRn(9VR-)a-9|wqE(abNaY4N1rv@#%tX|i7MBRNbujEWyrd|{0IUvqi`7~IbmB@|7MpvKp%m-N3o_ZZM%wUqYcEK>3MS15zjQ~HF#=U=D3{`6B2 zC6yLuB)`|K?Z(YG9@>6LHTrV#Wu+gu;1uZHykkHMD8GGgCLP^_LE(p!ZaJ4K!x{{4u2UMew{DS8{?cAQFxUJ zzIy4-{!_wRU;oo4U|3i@?x31d?}oWLW|R%T-jt~THb6ri4Jf+@UitVZ(Y6=p_qJh3 zRF3oAAo~vVG9}L*;^~&2Y)*XC0hv%nQHfk@oj4rfw3ZVBU^b@^GmE`ql<#Ps9x7g1 zS7g1P^lvM{kRWk%jjhQdv6)~qW0i!Z?X89lB`9+=9whBk^&MT()<=aci9B99-w$CO zz4_>39T!dFD9 zN(DruL{8dLc&JA8Tr#J|DIDB^GmfzQvnr1XRK|yt4GVL3m9!Om0+> zvh<8ke<{?b!h{fyV$a7pcH=!pN8wxH3)0TViK#jm%Ex%mp~p&{bV;9QC8O04G^KP^ zjv$#`?Zn6-pj8!7tHp%&fC~Nc5kS@~9!VC-R|acHNMb%055(BDoM(baNM$CG9!vyJ zCk!TvvVG3F!&l3>dRuw5AiTbB$ia{$MLcnE9N8!jwY=vf%`+r%)kVc1+B|6mn!b%k zeory0PohuO3gk!B-@Od~cMP=A6pO=+5PZeN)KY3gE9YpnAb%;uBVMew${)H>U5<%c zNFx%M_c(h}h|cCQjtjjM{#&3F${A z6moiSwBQv%7{|LY(;fxBYV3LTNM!o{o0!IRugl~Sj@veZ0Rnb&qUPu4FVm);@i!#n z{S-4+Md4m0|1gG^={dU1tO^O_-`QIwBVhZbG%-1C!N>3y;@Rz%{cN7CJ5KAN-xDmJ7`;t=#@i7>r4JKQwFnj^qll0z9LqSXPAk7pg`0w1+c zrje)!JqE1)dOTx_Tp@tZjr8L`wr4$h$5|AxQkJyM14Z_Qded+EFn+|hfAP|R6@(ky zY6U{A2vIce%gR;RoWA|WN>Gc{&aU8nEG4JtV67v@DRhnZga^sm0nKPieuV5= z`EPB%*M`U{bMx^#Y2^A%GKcLOHuZQhv&CSu@(yw1LjJz_{v@N*_8U~h7WFli+PMvt z*k_cC7XD~SlqO`C$_ZwwbymHM(b3r1h04@Dn)u*a0lCPO@=le&J2d#GU^lGbEF`&& z9;4nBf)2gt+~Z1-r52tpp(47E=T9UXAQlg{{lO0nlbyFZ-4TSCJ3Ol$nn}oQA0BbcgVA|itTi{FE7oDUDx0%u&w}&gGA8MKMVgpgF1v%a?lk#)6s{_5a;e- z1~UB4rDq~#cNjZ|2?rk%zynIE*T}#=_R~#;Y1xZHzPGcGMINfK$X?9R^YtVNiYHGF zuo~tRxSLy8q(OAe(;>&~C8;8Rm4+2CT3jq~Ha8FSBFH|-o{qlkPa-n>p#Dw`L4Ji2 zGnh2En)uChwP%e;oIuP*&uwb-t9_7nXH})>4FbZ?>(8VC*1*xw$2sz^ma8^*QH~QI zJ0+1szc3;w93QjU%A{B})zPdkwkT4)rL8zF(q==zOH$-@rQIq{egV@TbNM#fX7pJ0|o#vC2Si<7slziAysH%Y1Lhr83_*x;!AS&aU zwRpu{E9{SlbZ}>7Da%3J?`-%%ssS^*=tDIB0&$e%=3Q zU8f~Boq0+}p+i~fh~zVUiI4@*t_6fT00iGW7Q#5d^acV8!_R;!>bk;_nB_Jv*8MN4 zxo_ouUH^=&uvCco@ND)f7>usjgw-aQ3762O<#&hL@OtU^wp|mJPXvuHkt6Zzi3HC- zqvQ6xUH1UyTY0PfpnAsHjBDYAV@0Vl9Yj98`s8VC9xHq~E5cF7zqz#YgWHt^ZpTkv zY(xqv&x=*&jN zL?+5*ByMciC}OYvma07nW5rj(+Z92eyX}mse77k75&QY+jK7J{Utq|ELU_@;gX}Ld zh9F%}yjaFZT!YgAs!%-b?l6&L&}f#XSkL)Pa}0F)V(wC|CL_GyI)Oo{S6rfgslyIQ zAf5|mBXK+cX=6+=tAH_efy47pM}G2tZ#uB{-sAz9UZmi6B`6v1e9%kIU)ckGNLR#N zGu*T_&>0Y0=+EvCFEC}dx&>}8BoH>4QXlh1m(AWr=R-dFQLky?OZKz@{%;rSLpE$c zDmz?oVT2*8MUy;16*QaxqpZ*m##868|CM^2(4YRacswFPG4k;oCXyy0m)Ib2&kUI) z#J1y@q%m&ELdhp+>%fNUK}S zRJ;{`yo~Ze)Xas5Qe@(`hjg|3sB64%Olr|A_sgyMOSq}2&O&Pl|8VIz90>#7^o+nb znwHiol{HpK-uL**Xp0t%?tl6WT_Wale6^Hc#CXlAd^FLan$1}Vlv2jF$%?FsLl*h_ z;>y^m{inAc+3YW2hXAx&L+@pk`I+B~a~V)7BQuC)fwPE4guA~>EiFcGBqVGc4{h{|eJJ6-`jMZeW zO2-y^R;o($2D6bPJ@oKw#-u{G0#obN_H4ANf3F+9ykP;)Z8tMZw>tTYGIsRMP8OqI zbfBqGZ>%X_Z4KNF+eRj=hT_4psxvKqLyz{V1<_b^U%ABdxYH+M9 z@g;QlOoYfzVCAkIhy#Dqdcj97?+tgjT2qS=)DPkB5R(}Yj=!b6y)~Vqq5{fqA^F!oTBbdxQR7i~-TO1JU^0t~x7nzamfV(El{MDL*``kZSXWskAQUx1*L z#C_eOqcy1XQd~IA`D(tfzj2FV*mLA-d?a?XOmE;1qsX!~04vImCjD-yV}E>MP4W z|8>7udPHdZd(C?dkC%$LC7q75Aq(YlZGc}Vyb)ZCVjbGZfGfLrlK^u}hcJuE=5aaz zHGG7zY{qd9&ZZu}F_rZX`t#xkfGJ%!3k8nGI#o7+{Qz5nxPW%Z&_bEVO_`AfOreT- zGKnvzdq5|BXT8~9T85vhk5a15AXU*z8ZY8MKOHeP%2tFt$WZzX+_V+{UH-0M8+z1jqAi6NB40T$-_jx`Sujyiu)K`6zW?*fjN~_w)u?eV_icim(8ne8|C~ zzqp|9(P}ip#)JP{_Ee1+8@2ZG`-83~GU_S&HQ!^U4G;9+0wE@amN^2XAfyr!aT%rV zbTH<1F9dw*)ovA!vZD{o7mR|!2dHK{eHzkN`C?q*bzQ7Y@W!&C(ggKjrbD4$2c%o4 zD$P}nBz5Dw@st6}zb0)BH%QiZOuD-C1v{Q>acl98iBz>;S+YH|)jnn2W#ib|aLJ68 zhy+=q24PtX4oTPJwH}wvZfrpZUd?} znGwQ(vP>Mpn-RRgU?7*U%vAubod~>L|F(XD(~uc>TM;+B#hZ3WbH0fHsOZ2p9u>Gu z@pdVVr>jWDnih1UbQKutCMIdny3Cd5(bM&DA2(GK9Y8n|?(;yFe)0By7FG63I3a(IS2-d#@dTL zjy?w?@5>iC1SWoHVw2zB3zUP~4UABPs!DMDuVr5xZ#+AQ z?#t|Y9ZbNrHrlFT3^7C45HVY(Cuu@X(sRjh-*oG@3{5-q}sxG9rC0_eg!w z?A~VlD2HC>RCWD!0gj*VF3qNfX{xoEU-2ZmGI(@4pniI&XhTM~oP|nTm!a8UzJ&H$ z58XMIy&c2Lh!HoQQLYKEHdw}@q=Q~^eP#~MUAKEz-Qp_y456wzPMEh5ubJJUyuW4X zguXS;C%e$MD$ZVxy$0!{%Q3ZkB;UT@@jpf+t%I%rb~@R+G{Q(XT_juS9<^yhmuPg! zb^>#g!4LX0WDdL;rRKdPLG8|w#D-%;Av9#XvqK7FL~F!ErDNo$3*?(S$dQVn4qpY^ zuy8mnoq+{QN_Um6%7Py1sU>C}CR^{(5JNo_+ws7kM{loY?g(I(Y0^vfOg(M3_w% zT?W4L2J2J+`!Yt_i{j(|1on)yas$heM#r>5tzlm1^d>5_^%xygGKIc8sKBU_klqEn zE0?G;3{qaNQ?TNA%J65R_Yc4Js}nUy7*=K{TieSr!dv;?JwBu^2e$Uk8`~|0NTfi{wOmSozDCYY>od(&Hwx ziwYh0m*k==2kM@a+4btsLAT`Ksv}d;m8e%;`-*?A!cSFO$xj?y(3KS$E##j3q?`q^ z`sHqzQsQ|qjt;jA-(a_5DzZAre!_m93DAeG_*EqsRWY8pq{)Wm!`aFms|(nL(}6TQmJn>Q0orw9}^0K)YRY#Xu(^@Tb23?B!M? zNthV@ZU-A=>!JhKLk+N0-gtp3f+8Gc5lk9&?S~&eUwD*cgG8h%Q~Em-7pNR7uQ-mn!}TFJ(r`apr4N7KJv<$V|ni zuwyKKKdyB;|3G2t@z-Om7QWU)l92ONFv#I~|@CzuRCe@SRx~IC zkzri|f`Mq^6uaLEgV3#zj>N3*HotiUt;gFX5nWQZv6(=WK4+Tj}=07!H7|TK;S}>3Ch#@G?txbf2 zqAP8k{1Z;+-&+mAH>rv?=w+%|64Ze1?Z~R5T%J;oYWkP=-OZf^R7Lj?UmUF+dhD2y z#y9hkuabzdtyZnb3do62$F7jEc4{iUB%jd!DSZ)qcYkp8Zy(MefyLC?q0mlS2BE1< z6hxpKDt3FAlsp0RYMLz(^S0)UuI2u0&#$fDFFjVOH^0DtE)C!>!7@on4FUGUY6=#OaP8xT94Tkug+0YTmXZ0i!JU%2)}E z7ex%*yBV-XZ!Nb{Uwgk`GW|;KEwg0-Y$<7jBL(QuFC9ekQ z6poKn8U*~OH&RsXI67Vd*dm~E2IFlJ9~Ux5C5S}=XfG;hMkrKHdFQA&62(K^lHcPd zS0Xz9PG|vesi$;(;2~Gq9c^|1;hKMFOy3)F3)+1fG+T|(8)-FL6ZaL(GZy3ab(tH_~M~GN72%a8N0&+ zld{2}^;yFk8vdJ|K}-yo>$kybL1XCE&ye#kJ(`!7%Ah2gmr$UljY7i>!SbGDa+OtwzG=?o{tMPL zzb1}(<}yGG^>7|0m5r%QonbtYj=J+MfI5JZm?p*_&d!ccQez!_G(&2Idw)v*wJ!X>|9F)`2w~VX?ug$>tXA! zHlzW=U2HQF*uhHSI&HGX*uXuRppJRG|VUV0U4bUG@AwK^)zaXt-aXD;PtHl!c zs9A)2cM7+=B2o=F@`d7fR5d3?bG?koJ7reWvHWI8*qeu$Kt^S=um? za-WvU70`JszN||X+i3wE!RtRGfCC`j@ALdn4SAvFdwLc{3Yk^Ls;IiV}?=eA!X6kAc!L73Ef9?R0)#y>6gCOGxKTdh!j@XkUvH98O-k z?FOBQxv)h2ftXOJMKa75dJ(gHOkI~aP33tmnnKvbpJZ(ByuD6jHD)~4+vD|x3HqxF zn9j355<<68AkzI=y0I6>wk6u_4MeVyy3MDtOn#W_S)15^X9@V>u!9(4L=uIh?CjRV zPyb><`H}q>!mjJ~HceDp%b>Vl?|C88-B{2vXo>5xkW&`GMMnzE&dR7dm4aeDTc z;e*N}Fablh!atDM?B!w8_JvzMA7y&-3mHq=34aO$Ch0BQvZazw#pvHOb1#SAq9-_J zo!*|{)D$#7Uk6zYtRulq*>;Jb@p#xRhnvn_9%X%IM|7FE;_D>qw;Q=47QjsaV3&2| z@Mn+1 z5+_paGAO{iUnTWrJ9vOoPl&|NQry15)GLV099?_-l_3oQs+Be$^#iL6Hlgd#w2};& zN%=PnhgM(ZEThTS$SYXgzz-l=-4a%ww(#o>Rx#R7jcdx+@rm^_PootT9%Kab%@KD^ ziDwDkf6H*}oj?>7AFXg4$y*kV8h~eTTkmWw{8m2_TnsCFG1KFwJg^i^rKeq!fd7d# zuu#@d;{2HidG}gnlxS7E5t_!)>ZY8F8q0a`az7K6G!GidZg4>W^FODx+B;-Qg`AWu zC(+t+!>{!IT6dIx%zZxNN)lV?lx-L~2`;mtB=1L)@>exDr1`o^p_~zU+er8_bB=x7GYg(AJTk8=qPHfm z>T<5mw<}`3xPhAsFp*UZicUkVwAHYa;r2mGkj{~z-F<@}40Dk6wWt^{nSQO}{vE&5 z_g?SZ2$TZqkex530No-(L04E$7BWql7iz3;Z?Az9z=gI}%PELst~^54lks;z)xbX; zfdpdMR-KdhxlmQ`=@6`OOa#rVr6PDnlbQGR_nU$B9$sfCPavfkhE-QsW9njL#=k1^ z1_KMsmbGcHWF{Rn&Y=bXsmJc>za`5w5cvy)Qh?(1*brP$+^ngjFiYqkVmM3}R-Ayt zRZ6_JCTc+ePh|bX2JO`WqkY7(T2v5Zt#TIrE6vKZkl&i-(pa&RSP!%-YjnIa-aqy zJ}|xFEFxAG{q#^4f0M6VVA2U`es8&GDZ4KG7Z(}@KxQdKXAjo5) zuBuU6K35jAv@m<>uT~l}AA~2g_9B3My<0k^f|j=S4KT4@OKBB?P0}IMP$Sk#?}W5P z@`P0fN+0b4Nl?qaCw$URYYHANony_YkmZf2Uk&>5d157o2&-*E%a8-c7C*Dl+z{?3 zCIsJgMWI4@<8Pvn_`uoDV}M($Jk%_#bQbU-bBw!(TE@2|-HNvs9T39)mWo?}WZ^kN zlak2|0aNO6KAxqB<>FWP*?p!QIH~hY9S}>=yLUpy$1O}z*itb(=ul->hivgP zoH#sNEYTTH{$TlC z>%iK(O62z|Fh35-+`s6;^sIi3QLCzGbmm1t3wE4Je8c`Pa(I4IZ7=aK?2qWvsb~i| zO0G+Mfq{8bw$aSM`L7?CKS^kbkt8keg*87%dZ1Kjd>~7vCl35>b+jV*rrR+B;rhT= ztWC--hBaddm>D(_p)#cVvm>%_xGRjKbUXszMy&frGB-TOid~SvrC|h2A~k%}m>uj} z|2+^S^5|H)o&5We5wwO5zeOS`{_A{1u&|1Hfg^ci>oT zjjlZ>HF7%A;A_VWDke+iDqn}ebF(^<<% zRw~ivKVQCTbfJvI+Gi?)yIq8p{q7g+F#W(I%7jzAY~YV+%}zOl|LrD8T8Z*({>fy~ zxqR)E_QWqd)XzX%Y^B74nwWaO$Eq3_2LC6}T{K*LZqoht@v+6}G_6tpMq#8{bp9i! zy)H64h@}QNh1;%2+~T=>%&ym^P$HGU$yIiqQ|hRqalB}er3AJEum@iHl)%Wxo)@Rf zLi;tu9t8>NJdnVIFO%BBcjagbm)^JM8aZss9a%gAgR*o*MV7VZQJJ_s!zaj)wUh6W zO@Wfoa89XbBR|Ljwbx;P-wNm_C=bKvjNncOKY(q6tQap|;DVf@R!1F556s=tR(OCZ z&VOc_zVXGmuNZ`Yb~i)A`Jls)k(EaF*OO4-r^a*;{BxY6n)N#V-3D%7)lkxTcnA-P^f7r}r4)ySXz z6{M5F^~en>4yA+!1m^N0IY#*8J-N}-Vp6%C68M9qUfo||-1GGxBR*;qVmyP14!>>_ceU+cP!QIhrtFW;{SWf0dAsIXer}#PIwKfj%9h#N zz`2p2uW*+_BMPpl2uEi#+bIweO1nuVVfpoNMCA6FjT7q0!$wtT}Hmf!)Z;+6A~c`+H+shfUAiW zRGCUnGu!xlh_vF;X*_n2@DJ9yub)uH)q-Nf9?-^5+kvW>6(0JL1vz-NR~Z>oPwVoI z`wUi)7e9nHk%k$h{?Bv-OxHrf{cv}mOUU&Hp|HN)#DRppsW-NvciP%8jrv{qfx<2g z)~3QGySmL#Aj^~qvrRw@HJu1{j9|VBTU!Xo`S^;go1e{=OW7BYkKg?((nm(P;qxdk zeZ?|1in11Gz-h`W)cFjPP506@kI^09nmO#CHeVWGNTl@pLW3MC2Zx#3?AMT2p(OK<2d^FW>Ea9Y?VEOF%$+MM~`kZ~C7X4}78xW5&`~ZB89Y)o=2i zn6jKv5U1b~da1#s^-Ouw+q3-B70PA2#rBOwVw4NY6N6kK;j5&ORH*jv^&=_KP zFcMzoNj;3ZJx`&~;H8)=reYT-3*JDAFo2i?3fvFViPFUUN54Q>Ow4k%vUm>_R#^v( z3@-*ZfBdw-{9ww=4fOl~i2FmEb(lU1H{a=GXb;Z3OB0F_?c8sC5OZl6|EW`ym=`T^ zkDH^5mY0COg;s(p?Z@{n6#*qa198q7U@)wf$}t4xj4BAvSW6K5n1tQC#y?DR>Si z`V+_PukTsm8vD;cVv0!C`}zWRGdrr7?Sf2Uf(wBERhuh?VH<{J^G`JgtSAvx3d9{n z>pC;0(n7QZrv-Q!DW12(MJsRq+$Jc=J93F!SRF;lPM19!nGSY4koG1uZMotD;~Xp< zBraJiw(y_33JS`;d4|}8J(<|!@STqITyl^DZiWsQ_S_GQM0Zys=GfXY1Zmoj;mVX% zuP)3EXwkKU6u>o~yH0U2`+8l>Btob$a$b$T0?8pZWC9GOm>FCFlTu2~p{xn4??^qi8 z{tWQQ?p|?$NbREPz|6~@A!!liOQ}DxNZIn18Z7I1@_&! zuT_bn)NOICa*|^ii}klpaborvtoV3V24ZC@P>ZjvP&9H4%&hchpVv_mQhXpX+```} zoV#uC-cZXBsn83M76}#^T&k^W%5^9TFjLy?Te~~*`9M=65JnQM^tm4`=S^ruDo#8X z=jer|=vOz3^$@u_Ahk!KRH*S4P3cPrg8(=t>GP8N)hw=iCRayI5B}mZ2#Nhfb0U@h z1H~h}`Hrk&lo#Tdrc%pMjJiUb?~w$a@B8jQ}||ZwP&XkS9U;ulFR4FA8>gm`9DJW26k?R|k$5`M7FF zI(q5X>8V9tjF3cd-Virib)>^IY?$Q&oUY{=r4uBe~WM@oedw_m>*~}R>v|OZy6@6f&;VA=oyPCC&3UyDTHmGV-1IU z3Jkl{VfOh+k#DAwk1vJg*vDLnNyc#9;EX4NnZEEioQcb~H-`xwOhFo$sy^%Sn360lX4J6zJ++W5XKCzneaG~NKGbJOyeh|aTQ+9$H^UHlY z$4!Kcy^K4q?Lg7-Lh^=1<{I;-A_3)5ckK7K4)0WJvzBZyZGCWq<`c{FfPs>eOP|RkXY@;_?Cmdq~@3;Z|0W#CW-?HTz+&6zzD ziD|Qx_sR6S`TdCSg&e4@!hpb1%|Uc{L@mfVUcv$o{abG~7qm=KmCKhSvmwGz$nx`_ zM8N0%pL)nR`BFvTr!4?$1&wG?CD5XhIrzU#S_HTjxOM4oSkDv$t}4-GemK+xN*=*p z-njfg(_v~^_9#rZ`K~x($DL}7=1h0eGR=Mc*AW3>tzuLoD*xhI{QDQsCIYf|30liB z8=Gt~IDdI`crV?AD#gT?-F}jnO(Xna+z{zs-WH#%c)PpJ7}2_={vIR=<$m07d0(TT7PIy8{ths-Z{(+Rg_5*&M$APIu4&eE zJz+p_wIgAmbfgN5EACpaH79c3xU+*@mxmCv>35R27-ig*#{fA!ZO4tOT#6=gl(>M1 z*`+{BDeWHPf*sWCV?_m`c?{dl)ybysXiO!)L#LC&GcX5Ry-o*9B#!+?slbxyuVcw- zhcV4ehop=FBL~9a7$VP654?1>y;KE`P~TZd0mb6)Jf|{D7o!!ATx%vuxhte$jzwlA zAN-PDjrD~=1d)1ZG)CRtcQN!sobjvKsUrf&k52T`gpbntH%2`^0KcPqT3tQoY(Moa z_O6zC=R^Vk+yk8BhBDY!uBN_Flo>#hA%(}Jxg}TZTBEw=p+s6HEOCmco*Kf~2AO2? z?)i(hh1k+@-@lDqiF%3vVc;f7)~wKL>R$ob*^))>Rux+GVMKR1DI9jIi^E$lWFCMf zk>d0(g>F`v!5ZfUXf3D| z!*P(;ju3cT!?Z587K(X{tbCu{t#1 zlm^1w2KYy$vFVpFK6<+-aLZ+LozxQq#js{FrsHA;2fFOxj59?8N0w8Xe;e#M8Y`dN zyMz`Gfj_@bb#tKNpj}|Tw7$TJ-=K6x@CHaa2P8KRkxKYGV&yC2&vO|6jK%+O92}9i z2-qV&s5VV6oQFq@q4J_5uxnoce)@+Kv?d1U@*oA$%Se#Txih-eEk1^le5daE%!gu- z4DdXFA6*w(#pnyA{{zh<=?B15Si6l8u(Z}8_0|gl$n_T-1Sq9R{etsHdQZsjk6IlmO{&HW;h(oH*(&jX z#0z0Bz!mzZS@vrS9(g4$6horHoW;(3U^wBCxDAq{7W0c+PRaScQQL<}t!SB& zK!9YC9?~Q~m@kFR1N;+!rc6H-8FBkmpKevmoCQk?hi+a&{G@D4V(h2?&}2Zs2>}&N zOn6JcXnMKfNp+Wrv_Ft+6Oi;=CP1OoK8F0erpw2;Z@A2b75YMuh?eGSzBME4-ItVn zjc`I1n9e0m@@J@;3v*E{jmJ&f_76PdgcGIhJ=<^TkF$yCbqjfVnw>X2ba&^-qh?F* zTScnK#jLv*7wpVOOT5?IPj=EKY%MkQ&rj^G`Jc9^XnC%I094y>PLQ@oBssCG|*eK#eWw-m^wvw%)sas^qwCP$9|IA48pg>SSS->;_2uI^`|5E38 z{~~Kr(A6+XrdFRM5{e??a)}t{uAt-=2^e^^G2^^G{DMHRN_%0=-nR zC+Y$O3|cd=(#KFS;|FzBA11=uOr<#5KZZ87vcmC)CiQ|;SAwD<#*WuJlsISeaa+Ce z$+;*A9)a=j{_?B(*{-OIzvS>IQ+L~D7Li2Oy>}9y-yvn;f6-oCe8A8%D@6RZtgYtF zQfl2lo#MJWZJPYy{zQP3sx(xaUD1_Ae2*--72f@dn#KCt9<)q_8Dv}#e$r^0IBfKt z!wm=*Hj=>()t44p*+R1J3~x#&hrf^QwzBZI`c%vQGXYw4n3R7|zkfUt&l-U5RM&EG z&`CGJSE*$14x=Ua&Uc%HM$d1n7BRq73Y|>V!b!# zW|^5;S#@LFX+4N@%Ua`Z%M2?a&-BigRxI|*uNmyY_MrKKvpHO0t}n(K|MX7FEVCn7 zA_W1l-mv}Wq*HAr?j3UI76DX#wZ5*5?+i}jaB`;J1{pu}Uf_+V7NTLchQ(=u00`VQ z!8o)AkJa0mR($ul-C2CGGSqRQ>s%;t)L>7qDh+7GuL8L|GL_LG89$>VpMlo!;c3s} zp=IwZKr%etL$KmKf!zxZsGIU?dh|=l)FsrHDfU&ZsXz4=WZC>KwQygKlcaQ3L7OWu zCO@9jDmZhbhQp+Ux2fJ0Kc+c=) z*DB6mO;EXJ)U-KJM;DyWOgm~04(^%t8be<=V$1-csr=(c{NgH9ft?R0H(=kGl+ z-w;m+QZV?E?8SWwN&JdT=UaY?@u(_;S?{Hw7zjYzP_p`-h1=hr|R`@6vNNUyR*~O z@V21{s_tJTkUbT4bt(PtA+U2z^TDYbJ%=+Ig;7sIlm(HES?f=HS2jpwhfQw3Y6F|F z--GlwHu#*g5}$!`U*(FTvnl}Yza^_h1?$u58&f}vydaV~lJ|6FrN zjN+{UGNxq(zqJOgto|oAoeAJhm6T7?klGiA2RI{E5?MX!EYN~bP@gYkv`PYYY=+9w!{q&0s zkuJr>K^B&woA_ektCI4}!=yw&r1NtbX_V~k^BLg^#{cRpv+}z@rRlTWKF8`&71=dYa1%H0WCDB(-%7Zm#@ABIdr? zONi-?7i)93uI1gK7T{U8NVRCi4lCyY?x0XpVmHO;R-%}OsgTkbY?Q5i^DU_q zTL+ffmli1$EBqK@FHo%G70%M@*1yh+hh~1?fiQqez9Do8i*~~a{sv(C*D$$T@l~Zz z2XdD$(8e>wgUm#KIqYigLBXK+RUa}`kY&5taY(h`?w5AT2Z4vAQ` zz}l3MR+xe+Q>D82FO?sO*r5PxPw9gNhTs__*O+;WRon(r4yeM2+7Ml>#ZXB5lixlFB*El* zmcoZ}`(hdtqUm=oaPX2yjf_F6Yj%giaMoFiyM%aDHFu*gmoYtzvLFQ58QCI9uEVj=MzIlLb zn!i5Y;_!x?GvP~(?!RlJSP&fmLAi=GX|E9KGbdQhNT7wuu=fibpEbK$*s5m5W^jJCMuLS0Y<@p}H6xYyX!I-KcSarC zvjP=H97q7(#fR5h@Ak@&|HiY2i za%x>@o)mhJOCj2n%Z_S)yDjY#X8~6?w8~omQMBw+HwlIDmb3rt?>*3>rZMky`!%xX zQxb;j9Y6?)RGX+i;*Fq$0uAa$oI!b)-;{N1fq2$}_dIZGhVju{ZTw($&JmK>qPB_2 z@6=4`hg6s5Jf<6bnm1QnxwyqW0Q?pi+Ey2)eJr>eXK-K{M+cfX!!P|Y2_+bf7cx8I zLH{QB^^ISC-z#_`NrbhsUY8|1ICv%C8$oy0Mj*cRQ*U8B(yArq5ERSu4@geR7Z^0h z*139HDK9`(6IKHcJ1EhL)I#uQ)o04|M3`31k#r207CRkf-~YLGH{}%L5`|%cTl)&7 z@#zvQ@x1^iz&0??L`V_KLDCBKbGR(v?N+46HyiNhxTH61lp9%Ug6$m+vk9p2w(xq? z=cAA-5J_b$DzhkEZmA+vG`3>Rw8v5l^`2o+^VzZT`~^L7Cl?NC_(wLxuC~*zhpA(# zt5YV3pPoLOA4v36n9@4^ux78!R=Y^j_=D16WG7=@elle^HmKTov5)cF)=4cs& z%yWM4gRMSSpHK8n`m{@_B`)6XiDKpDKgvuHLkl7nphdFK$nv=io05g-y+`40u~!gW zC))e?A=rBZ*j%nL#sT1mKjnB+6iJ=Q=NHe~Z=Cps(-g^IE+&Mh&(W_tKQ+Bb><{+$ za$z@G7^l>FF7ZLS@=3km_zm7PHE{KXETPZH^!ZIr;?k zY}8ad)RUEGom-c;RyGC#e~p!ZK^@$o;l>@#`|EsrOZ4v<`oDtko7BN}B3|k4oTu~2 znt_lE2RhJL&u%;(06^CSK_^cs;|hB|T0ilugP)BDVX}(emxL&BYqKscxo=kdxUJvc z;(=Bz+I`}Si{X^#R1tuIe^$K@H{8U2l=I?q$S5_iswjH*u-g41VAllNTC9Wdb^~4_ z#w{!Y@alj^Rj6A-4#VS(iso)cr9$tC&+s-9cKXnZFs(KtnQ(K{YVk9E?7g6cSdH%< zaf2qFK{38$J7@aznfkZ1QV8VmGBbo%S99g0QRb;S-`G3BgRa`WXy^%T+K{v_Q!5xEiQv;%!QfhJ)yb1S_@TSx^tddkPcAb9xXpzU zT{z$GG!hQKN<>YMw;P(P~kUDWP`mqkoFHkv}JO zizZa z2&`)u^N77Ue;v{IwHF z70M<>s1!^(9)(;$$K1Lzs;Fo3%{CgNYT7)Y8k*v!9S4VHZmJOM_q^^2L&?x3d#P9>4}EVEo}1Z5p960=^cocpv? zS#)x1`r79*JTD(=!3*ip9gD}C@0`{qLbwpZMP;J4n%L=7ad}A|Ymn;2=ocZCSm8q;tJQRC#J`*kN;XJv>?k| z^-FTTJyU<8^t#&@2TrF&=EMJ7jQ0hp1$RLKQcP*MM32je$8v4JRLN|$Y872T^2fKJ zxvpiim(Ne@G>Um0rpoAwNyj#=9v6+Z&9zf8100pGP$10A?lQ-ujd##4B54jD*7Yi5 zv=iEJM`an0jLx}iRIW60+==}p0fY#!I$N@Rr+~{6K_U93Mh%*zfdtllp{aMWg$4Gi z*mWM$=Up8xBVt6x$xK!r>s9tRUP}7OzpHF$*lG*099W~eAS9fQvp9KqtpWMtMJF=k zQnyEdabMxVq8buFjRuMa-om#VYMSb4!v!yeTz2F~#00COv|ySxBScnPuD}h(_t(1g z0)0(Co}R*9cK;QF|2##3=-pa^7^jRFy+{jR_x?U38=Zt?@f?WyT_s>86Ychtc`fO7 zdhF#n9X*nV*g~_raY5z>%y70RwDF(;<2a)AC1BLbRH)-MbJ2#7Y9lk{o;q`huYSBE zQh*jF(PRNBy)prWmJ`;@jOMgK+3SGXdv3S+7cYi|@JdF3J@z2%pR&7!Jr1l~4eM$H zKPHT)=91mb;j*pW^S`W-e1e(@dv}7|YZRv_4l@X*KTbVd(8G(PsM~xC;F%*tIQWSk;z zycYUk+Zq^LU&FJpErseX*j}s#{TfH0@fW3;6P%y7mO`)8lWq9v7?T%Amg}7dH260N zW)ZJqF;1jGNljjD*&y56l>C$T(%|n_{l47$7v{+r=9yAwEmrz&oJdo1x*pugJ`^~{JDZIyZ32bZwnXtJw*z6@ZV7x=Q*i~br)RRbkcQkzACyG zIYeS9x{;6{VuhtA3$7%>Nq zv)&&0rg>yd#u^;(u>GA=fopKGD{%VZ{;)1V%XgDp2jGmDhOJYsK%1%FVla#-^GsLW(5 z*3<7`k8y=R?~roEb^^z)ERGLd%La(IGaumW2@9jhFFzE&XuY_(^f`X3iW&waBPbye zsVj9DI^-GAK%H`*l__oA>2-SEN!70=?|m0%5cMznz)LnG%|yfM%2**+B20*}BqtZc z&TRLGTmBVQB6|4amH-}W@H?)D$6OS4{-gaLY)n1(evV?E4E6u*0&tueG?_ig*ciJ! zm>GXv@dHeL#RDgXZjB?srBj;*ydgJi`xGEFdSHyRfO+bg#*W-vsIeqlN2E-{zRMNE zB*M#2I6<#RLF#-(MjzKG*DMiy{7s}dK$$5F#Ij?n*kKuPTR{J1y;#BapSGnSmqTPv zSki@!Gfu-iLPb&eK}>_c^5eC-#%xf*=yU6&qQaxfl?(%W5;^>^b#b_UfDz8zCw#ay za;XKwOazqW`z_3rCm>hn`sPT*&CU#^Zd zwlky%ywUZtNZZhp&62Q0YTM~NRPw0Fj5&>xRp;XtJXt5k4V$$?LJc>`38n^r^N7Bl z-P(*VR(Lm^wCTEEF!TKtf)JQ|a`@Ckw`e)&uf|P=@yp1OOHgf&iu}4odqHF{%L6Ia z8t0eY($Qi4K4Ae~D?eO#2ujbr_3wOuS^7y8YExUw0}boCHohuphjgyuAKW2UJ4tdr z!A?)J0+=*eV0fGQTfV)`7?x+p- z=fU&$fxT$ap*cDk4<5THb2!SrMxO$aM@giqS>utuFJf#M{;4MmwL?M^F=iy5-iKS= zl{l_40^h!AyX9r;tM@K*b+bq2_bz&={0G?=@0G&4z#jy;hclxg&cEXNSLbZ|1EqG+ zSXFN@#+yRsNMuHrpKjRnZ5mn8^Xu3G*Nc5VL1CmW3j zR&&c!c#I3WGuw3qKF_$H@MIzE^{@_5;|t;K&~)taTdeWWZtC$;7#Q|k((3#7kHfq^ zkVZN;I_#{Fz;znlW zCocvfz1S{aOU+G8^%Yr$l=Z)+J+V99i=cRjTCke6@!gCN9%%}rZsEcY9ZIr0;BmL_*}qDBjlL0Ris$DkC|Mt zoG~xEW$(xAdaMu1c(rZXwHggpHNIon#ksdgB|YyFDUz{s*r%~yhDh1yP#hns>QAvN zVt6!$++TXe&Z{$OwSVwpqIIdn zRY0T@HlI5TED#R-$!ka5kMkLqwYFULA$-dc=pDBGok`baBMtxI_~Rl~h*uVJoS8!t znlTRpXSTG(aDV_AYX^N;fBU5*Ub_F|=Rcg-*2$<;K*sl0301sR{T(#DDIygnVsRtn ze#V2o`m&uc-1+0MdrYa1A2i?xAkp0CIpJRmGw99N6~e2c=BOclha?dEhF750^HpRn z)4}H5GE-xJLv}l>s>DYD-=M3UrAtCX1`2{%@(vCTt@B-eYQOiwp9i>kH;>`PO+-;>xRm|t&oD2ok03i#Y*gqcs7~Uz%#RH4s3Kvy#$w2Krbs*e zB@?L0vVXj851J|DXH#({Zz;f30L7I#OUJk&fliJD811Pydgw*H8k2u1aVA(l@|xQl z8Vmka=rn9E$gAWRlcL&*ks0-h*YZ&{)U@9aEhPCG;G`(WYZG;&n~~Q43>}xTP<5E8 z#X!+9xsb%^E-vFD2cF_w;b36Kw7FhjAF?Sz!V< zWqik7ceXzkXZf379ptPzT_Tu-@l7-<8?!4_VA$=1{4WUoY9zw5jU9He5pLgnE90e? zwN_WtP%}2__2i$yv=*=KLuNI3iWPRhE9~(!tatb*JP3izFJBz|Ek7)G!2!0fOGk@GONJGLhhvM2-%q{~@k_!# z_j>s0U`D6d-^7?~pYgTzAwTn-bxyNuNxmira!DdfLa~96KV*Xh;a$|U4y!Cs02jX` z$W8^e%T}vFI>p3sj8;6!@CzdnL*Lsy+JTJ);|+Aa-3B~fGbXvGS`5q1VNTSV zq3{xU?({=nk;aY^DOYlQ7!8$KV8_IS22%dAYj6+2p4ik`*tf~lgwvw(#9t&CbwIO` z+>O0$X=*5n&kU2EDqS9mz>NGFZU>5#R`qqWr;A!b*BX1Ry*s{aCf7IIQ&1zRbO}<&DdpbL5DD)2n;^ZB; z8U3#=zCSs6{a$kz?jjMP@~K!|j~=gzP^cYQaXx8de=~t`SS!w! z*-{D@AV-Fr*5&L+3ZKN z0d8?@Q|)i3AF3dx60dw?RK>o^T(I|>d^@qM<><^11|BN%YO+!}cJ}g>75mzj@u@sM z#hx^=v`l*cyTDYjKl}HMr>ZDG%llydfmU3XuwF1|N?7!R1qFZ1*p=!#DoU0>D4Gucd;<>uK>IA+Nn!jB=((8fw z6dX*SvJP;o!tmu}o=7Ec;e0s(Ch^ro@3qKso8>;=&O83W7M)det*%!5qu**dQDtGE z=3ZnUy1T9H59PJ8r9tyh^PFuFE-HL#YzrMRM!i* z&m4$psx!E`(v}3$(xtNypHLhn&_)- z{)%Lc!YW(Y+@{a`>X5iiO-KzHC_{wKu>wCqNqH){-e`eU143o3H;U)1>bT!t#yLlk zSSmgfrj1D&{PwP8%fo&vIOuJn z&=u)u(p&#amkQArzlGA5wZOx?&%_I0THJK^oLW4ssV*ky<*a{eb1cv^a5~O8D|bg( z?_!L)CV&-Me4@Bc%wZ=bzEx=Ei3Xu62|HBXt<~{?`0D!G!Az(7I-ZgVWRJV0FuP1K z8Gdp`)8b$iHtMZ@#?L2%SD}sakC1nzR=rMjR@y&p6^+cXk$J)I?rZ2qQVCW+XzH6O zrPLFbm>?}e{XTV!RrXxraZO5w;JLJ{C}zMoW>}W89I2=@xutBw7qo%gYm>ZPp+Xpz z!OKEp=$t0F^o=rjf(~&VF`*l7M>(n!alY#Y1aeqT{&Vta6t-=rex`Eok7IO6g%`oC~hk@;8`2vmd&?n2$ z)lBMQDWWM%4lHSg%-h)#zq~GlKH47W#Dttw=0C%4j-4#=)Hj`u1E+XRx>I*9;a_3j z#;agPmta6-pq`7xRaZ$H$3JM<;`Fd8bW@R>+f+LuO?Osh9^8V1P7waCO(0!8|1)!F z_!TjwPw$gJHQTtIi^Ct$92fZNy?;aW^tH5Bd4|HzfZw|e(H@x}K2@5qJ$r|hk1NT< zjruwa?v}0Hs~v*b!vy_1B+&HT2b|4=wDzi0SSc5fYq=j_fh zcg{yW2LGXoG(3yHLY;PdKSn$rcWWEfDn2xumBIKj6>*0_LnH#lnoQazQ0g5ut#r{n z%nIuWq?^#{w+~dVzf(I}9(Es_XLuUkK8ohCA{e8GOIxp+sRiGxHyvz$5FHXuKRHQ+ zU|WG-+jz^*)mN03OrqE(T<{`&IX4vSO3f73(Rp@ewgk>ZPzsziy`(^FCOYHYs2V$(^s0DGpiCr)v~^m2M3IjJ%(wXt5kp=acKjq$t@?55k>t*m zI%$m}$a-UPfre9kj~0eFQKu&iQr5Ccd@sa$i%D)(z-ih3a-N;Ovk_p8tZ`!0^ivZd zy}@sUm2NNU2>gQr0!CijQ^dPHUl7YX`OB#ll@W*wdizEzN=41cLze5O>AS|0_;FzW zElVkwm??(if{XpLAQflh1HMm^J10pV3n9c4HF`>vnhF7%=;=u_7c~`lu4i_HG%RPm zWpn)F*C}crrFWGYjW2a5g8pC@rDc4<0D|2rCWZ0(Lg;nJz~;^PZC`@<&xctFE~PG8+5QY}KJ> zuPN7#`?J8VjlMdUFI*E?;a^syUu=S+V>2`+2g?ga*`g888xpgJmtUdt?b}$k-gY~T zwJ8^Fyv^=V;q>vJf%0GXE_x>H&O7>(K*X0qHAocpt(u!4y4OnERp=RdN+_K8pZ(xI zK6L`*xUqZ7i?xl@6%oSRmHeWPVEn|YP@>?_@vagQ&I;aqo=X6O$WJ(MGWM! z*<(cjOX)|;oDv0tCG2p`LR+d1z&=Yz>P*t;tmkEdjVc2>h)9+O)tI6Rz23Yq$RhOF?lX7w1QqQ08lrL4> zzd4b$o@-FC4ia$1Y}<*2Xx9o5*}?{nbK{I8xN$Dh318Q^=*Xc$KT|g%%_8#kN|qy8 z0L0k*h)=0JSxr4{qi?PsKWSyS>pb0>uy4DFvHK()Cwg|{{mqSj9zim!RU-#ZsMHeq z3%%n5IR2T0oZM;%7H00zX76x(1HKRH0u{O0qviBs8XN7ARS)bX)yqyYF7a$^xf%?o zRAp^TXW;><0t}+m)FnN<=Biltp}$5xm-Mn64h>^NFs=qdvQ`#*qPRAliF}6qUGo|l z1U3mb1_?6$Bt@|eiE6u1*&3Sm_VDYP*&auOJoK}+N~6kM21RQ@Uje0dt)*9B4YVb8#^E>c+C*UYy zALuI!IW+7oZpzcpzcGDYnfkkqwEi0g?$7hal6quyBep=648BG3^qKlyea)3V=~5>_ zyM=&+h-~)HLlp<9_eV!V%UU>bnqb`kJ)Q8kYFJRc~hg-V-phCG2QzG`;YSKzh0sF3Z@;9zOsuIde(8^T7A zdMr+KoQX^d=Auz)XT3Hw}yfT}7>`Og}#)6MMaYfvkyjxy?? z*_9K}qaJ-253DtURqiMAAzMFgB|0@@$*DjfoO-qmTxoZ(>` zbC_5($r-cPRL@};+Q9_RxlAj3c7PxhF48Bd>j3UIGmwlMtIo4_ zN#SE=tqS9}(6Z`pf;^jM&Y9UAOYlumFutLeeXkofsjqtVJtjs%Yyx#t2;8pd&4lhh zM+HLy_$2SJD=O{3ih4dfI5pKyG{4_BP`bB?etFUFg?}G6}%aumE@QUPUhV3j<5Sn_p_q)<5w!g}7c%p{Tr zJ3u~2^0z8)=ceNTRnI z@dR5M)>Xz6H$%;fu)XT(DXrUWoN~C{boY)HipCn2%Qn36>YZ8+`mo-tvPgUXzW@vj z^YeNv41^zb?W(2p7C|K@0Lx&3p4wn{@lL(mR);*lyz^Y1W^t0W+H$koiyX?MxOGZ| zB2rZC;o3fKuB;ZWqFfI5yLk%6?8{sT~R5s)~D3&t=q0j%S~l$ zLN;**Ep?YW3SmxQu1)-xr)PQ2s7wg#QR!TWH>A>I?TpHns?ol2^IBMYQ|m8HoH-A^ z6;!3P830M^O7M~kpe;j!!rMbSVejzhXoA9nU@dN|u~fr^_U6rAT!+($;LLwcr>%)Z z^?`vDDGMwgp5+le0#N0LVd5o#8kT6(MoF!0IVh6vTRLIy%}b#P;$Q1VTaBd}CbX{} z7j;HuE$%F7B&f6kuBlAMD!fc(y`$1>Br1zq=ux94fBf7$%M(>frj07k@?3Js{6sDn zSZ?02ZKATH{t%*pN-N-+O8TnW4=NQ~(3B$e7l7&XD=XCaq=w>+aVy{vbkP;&1x zDzCND#}sE&mL-*{xGtLY`C;cQPf%G*rAsdJpVP^ucXR4jkh8+_@mZb|DyP(Dy^~qq zQF*PER@^fx`;tmk+!oF1uWWOA<>RhaMglB?RL3D-qq5vl8Ea=MbI7b14cjJuuvj8j z@Xdtf%w_aM<}&&00?Vgod6rZPyDP0cMu?V>VEr&E59(2X2SW2F+x@ZD^`Fz@pIImY zVKEZOU5Z@zU5bz+LBI{FDomXSf^x~I5O;i39*ufeugx#Ed0$j*Z4K!pmfo?=2!;ws zF+v#HnOg2Vmq-7Cqp19lO?hb`5t?XN5I$_+@>Yzom@y{;Z>ry_PK^qU(jg^K*Dr4* zctYjS*&%sfr?OmAS?;JTYbpm5Pf76e|E)g|O;jq6WGwrF%ivLL*d!0NT44F~j%_DY zruSL$zD{Mop|aml*_Tv~Cf*XNAOBz68cYAtIXwaH2D>&n!G>Q6bud-LS`|Xh2&Teo zhSf6=j5@Y>?H-9+hojLBB>WmM z>SC=4A!h_{EY>{J!!Eg9y{^BV9tvTJq{@N1$e6~eiVwt6EmS0Of-xdyO-sQpp;6AG z*2idYECkVwBKB`zkj32m50{vAbWiIhAXH|Ywp7cfcWi5s6MO3Bri=&il#sg~pkQEzn_*3qpqmVbGAmdAw$`L;ui4@}Io z1XD{LObIh2RU&CPwaVK^tAxHJNZ%aDt|qt0r> z5|!GTQz}yig>N_fUd%to(xT@+uy}-RB54W=1C?ZP2z)88MR#lcqN%2?60({qVaQMe z0qyJm9ktXj7}^rMg7;1beC{e6EP7iiv%vD{S)OLRFfhQM6P{rd0MSYUI3&Wr+9OSj z%gp8N_IF{L{G&IldN%*!kmsDr@Kp>i&%ci-&_^Mht{} zH(+$4KV|b%j{EGe1(r|G^7J6ax~$+RJS;^WcqVa=nRHkQqT%V_s&O;1sVF3L7WqKv zdw;lbuVrrTsXaJ9@c-Ul~lVm zl?^+_VL%$c;PRDiP7irP)DZBH7=hcAH)BN*QUHl&D?J{N7EMP+R2fdA7!fsQxCN+G z6YHUDJKwjA2%v#e(BR>VZMGuxmHS*SrS!MJ^6_(dtjrF0=yl-M&1IM+EK2zU3&C<{s8mp_W-u@mPlsK{PixE#m35CwHH(c= z<37sR{Hlg&SCnzM8IHsgm!c!&v7;aRnOZ)*mgkJh?l*Q?3!C7Ov#OaGJJ(c7|1PKm z^Y-#E`Lp?#f48)O7f`uSOWCE2JU-fJqclpG*Jg%His$62-xfkTG(>patlcAVsXQ9y z+`z8^V;{?okmnRP9m^J2{{3P^A8oWznoH)|%#ij2m*v0-`03D~ zJMS@!l&im6o>EEikeG-7&ULGgy6<7$f+Tv0k41gHDL5Q`UGz7qZ1#I zgzzQj4pR=COIp=)gw=!{1^d66Zf*E(t%o5ReTvQ$hB9~rW!CgK86V{ zuzY;SwyZ^iuF2IcZGjxT)6N}B6C#W(rB1nc8iGgdkWx)B!_E%iH4WLDZ>LN2DyX!N zX|BWx7E4Tu(K9u&aY-ta&{pYqnMMX0P+26EYF$3g{Dj$U;wV+}DeUvN(~;r2dAQ(mf#uV)Jfl{oxR7|0uglPHs0^-< z8J|%Z$2G_;BHc}x=3is^6)I<~6oy}*(%^wg>+z{pmIakqW9{MFCXU8NMwqY^XzpdH zObbW{F4tH-zm{iBWj9g5K<{XkJormg;;3Y>;wvga8jFqm5MkoWCLVHGt0@tJz%L?Q z1;nsvfCk(qdID7NEv*anD_R^&9D@fd)Z#^5-fNA`KM+}OU2KfJ^ar9KB-ADPKQy#? ziTJg^^7(Ul4)8MeYD+>#gb)*PCoLOQP*yCd)9PW$7T}z2skhoUS`&0yTd$AA6LzN3 zk%IKx!L|yI705Coe7{KqNUBph)SI#Wv_hBi0_i_Xi@4EO-S(JE^7n1phiZ+=hDe z+>GU4AD-oLKC}km1(GaPkn^mb&~bx@PtgAEQl*H=rn}0Xij6(fr{*57tM6M{8Yfx2 zq_QljB!}-(S(j8gNUX8kR?Db9*ynyoUu+w=Y)dW!&rU4A|MovWk^FX0`25+;(aF&e z|8I}xhyh45m_+eiFi*%sBbKv2_RRnL)1UtB-@g6#*O2|^w|{*9U*G@n{kOk=|Mt_L zfByB`|M>RLfBpNvzW?9vzy0f<|Lxm9-~aii{|~HaFx&q!3jlF{zkdJ# diff --git a/tests/b14.transition.stil.gz b/tests/b14.transition.stil.gz deleted file mode 100644 index 1b36e3173e1448e4d2eb7921f0281a5354101cb6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 149513 zcmaI6bx>SS&@Q~YEbbN{xVr~;3lf66y9P^e+l62Of)fa?Aq0m2!65_ukX~cOjwTC+=+cq>_(m+kJp_bcXqFKUWeT*OctR0cyIj*MuQ6LPQycQ zj~}g2XZOd4r`FvP6c-&G0b*PovK?43%MNOMy?M6S$f!AGth(~tRY(qj_=@Js|Cp+cQCQK_Ab;o zKOeuRcI%{M4T=~Yanyge7uW)DSX2ktYEMeVh z*CTyRm|W1~O>xeHE@H!C<3#)qj9+^N1GU}Czt)4i8F=ur?v~*zyCFoa{Jy2P>V#ZF zo@VJdb|D16t*#3KZ%fRbo$P*W^*X(4nuWT@E);gPh1{)pUIq}Nc8Q^KLE>-#bfx$q z{r%obGU5F>a$Y9S8Uk+}iU@n$eSF{9gSuHcq^D!;TEGg??`&^d{qb5I5>lw_>507F z>1e$rX1ISmQlRVU3VFEU5m;LbyPbNeaB^J5TZFpoS9mRPJKT1MyzUxbu4~I`d$4)y zC(s>6bV1=|9nNpH_IMI-TN8xpy>hSEY(S~LpQ__4yo%j`Bk7iI&-WhXdXOuz6WAfw z$obe~g$1eGB5`ycR{xo6l*ZY^W&d%dg8Y&Xm)D(A}^ z9Ag+CSpC#0OOMWId6K%PJ77GP7t(pRy%Vt3B}I4*xj*kWK1U|}ei&+;tdjNezpD;H zbq1lTgCHHe7js8?xwou6UeE7T!XNIwAihMuM$5ff*=_Zh6^-ujAXI_`>{^&jpZMH2 zTBg3dYdpF1ub5mSpM;S=y<79&Y9DKPglKz&xWiVLT)jMC=CGSTEnUqXUdP{CTaIh~ z2WV-_|I_l(1GXgE?BVKV19K8NT^S8n8>oVWQPfS0b%r?XKIX)}ojeL%ezSr~K0OIX zF#bXf5Z1}H1;iG{;D&14AHE(xbql_oI?%2RZgstEx;hC8zBLWL4KkmiaJ|ghr(Mg_ zyQG_jyShJH&C3bU7?&#Fm6_R@{WIJtA#$3LljRob!2i4Lb&dA1`nY&Mwla4L-%l<@ zUVM=9m<*yPZ1&K7Uo}^Pkw#VPk?_2%;+Y5wXD;dYfE(F0nf*#ZY>w7ronyV7nIuN# z&d|$UIx;x~eB-gq;Ct%7*?eFp7rBSUgYRC3RXPrV;i@zTIS+?@kR}%gfh%CI9HjE4 z1;JUq8QUSulwl|9xO|^sdZdrhtWC8os}dhF_;K=XSGfBw&#QYqmp>QO@R59NQ{>Pg zvv8-xguUw5NGCJ-2?_a$8P_uF|0gvY{CM@h>8Zf~5BgNh{i}D@|D@YBy%4>3Gd9ik znM-LoBnI%4^GT)Z`xlPiVnCCHg`8)|v_iE{FSs`q41}tmm$0PvYeTD_#;o3LW`E5D8vZa-o8o zh~hp-&>eqWc);z+gBM-hZTQ7zLFrxsxtA3ZeglJqpCA9Gmqox&<`YmCw`-kn<+Vxp zbyYy!X?Mq+EAP(n!_!(brFQIE2tQ8TA`~NB)4JR5R}kw7EZU0 zlLHW${s==a)iyZ2CsI8GIcqE?7xt`c#F@NNz&vVIV47JRXBa+O!-LlvPr;=b$^obUO489;FUAu zy>Oh9!qrOE(5e3;l@w%fLeT%o-XRp8s*~${IEP_2wArlNuB<&?{$B9%lC6xH>|eT` zhpj>2d%5~yNb*0(3y=H7Pq`=Tt(-f1{m7m9j$1y|jwfApYhbLR5APtt+jujh@ ztz%331~Pts{O>JX=dR4j5N;{^VvoXG0(eR5#cxkz zNwm$6D@klLAs(&CGCU#ntxedIR%;MgO; z!&#^EJm@xMvfW+owDuS;UM&2tOxY*oLAbR$=HcSfsc+aH>y=ZlV;eg22iiL8E92XT zP)X_E75THva+4L|h1#*s_j9NBm5^HPN7+Jx$(zcxYZ!80iv)fmMJ4{b+uB&vVrF#7 zJm{dNb4An~R&Y%axN3f9>b0|nsREgnT7UZSd)jKu=mk%0Qu@vo*oci%58Ts>l;SBr zZKK!<*Kh5wKyn`6T>1LyX|E6zwpU!w9q;e|`&H0RE9<9y?9p1$`lxRn;!-E`eSvQ2 z5V+({c-$O&v!oOvBOh{H+;uZ$)!|a7>QZO$o}LW=SRFxTK4&xXXX~ zKl}+~{(txr7##Kg@Fy^ND*T}MaZc%>GWKQ`xTG!fy)f8C?s_U*QvN@YKH+f%-;%bf zi=5wd_(93zoXW#K*8hkszZbT<$k9I4Re7jPxY4ILu3-Kj(f=*z8}&b;r@BuClcvI9 zN)H}{$E`Bo*XW8KTViiubW2_#EC`2-%w5dGI(qe3*v>!h?5%dKP^lUy~l!P=&^82T(0rYZ-&f zlM|Tn+Fk#!b_<2`js4PVkWICCf{mZRKI!fZ<+WXyg0{xmSVkAu6I2Os`f!fZ>GEb*J zb%LDtKlVzmc07z&7v@%X8_-`~Z;f|BeoQyQUicw4qypqn*Zu3#p}?Cxxw`|zKzl4j z?%{LP*##^h;K|7E&`Eto`lJQ>$84ktZtFa234Ym2P5!(KBngw9rOSPX8@9sr&oK32 zJG}QlGjZkaFaIrXXWO!Sh#V4<#i%djFn2Swp;3Be@^<;lqqpF{fh~LbiQCKYx2~J7 zgT8JS4`O&WvRY8w2XQtd`QdAhSd;xze%v1iw?1$X4$a4+CRnAPyrpY+Pb}-iOgoP| zq9Tj?&nCW+WlkC9^)|c8i@o~rWr@(v@Y{EP9($%B*4Mxg*;idE&c}#dQ1oS?NvC^T zp2=f?ED{v;d+idLrGzUwG+{<7f^KGlEHC;!5mEosiM7|$L%Q^Oq4q@*|M3jJHzBI0 zJJFax6UMw+fLR|iPe`QGYP`a`A|5CK=d@ygH22wZ|ejiS(VBimq+yeyIScfgK#?+=wjl}!B-Vy_;2u1dNW+mcZq5ts%_ zK2;mXO;m1Hfo@eUy3LH=B?Zhovyf=$!&NS-XIz4qJn~_0KX5*ZbshV=-PI#INZ%?E zZ>Cj(P_hj#Rph@JzEpUFg_?YMIcaom%uH%`8rt$B)nLW2mwbTrK0%@2P2@>d;Ew;c z)1CMu8h_hSXB8@vh=#fjeP(Ld?4wt{RvXmM!nvJ6k_t;)$I7-9KxM;Kmgk>jnb@TJ zNU?4CgQps77JEi-X+$NE{#yqFiBJBu*p_~eHqa#I92H7~nz;w>u=cu>z3RgD7Zclu zAEeRb$GHX;g`$aq9Qe6JMa9|tTItv6ZDmY~?x=%^;a`je#2CIWVwL?j(8LW>lkwDorjH(ky$3W+akUfEV z$bbT$O>AOKQA%FY%~EUBOBAY%TO9zc49!OOjISEXVqBRJw3c3ni^Y+oMcOw zGUg1m1Rjg|) zbLA6V4P8tMYlGvwV=wqUVon828Ld+3v>Blc_h67ie(!o9RuFKprNAMr#ZyLV%Ow#| zY7C7Q#BN86Gx(G`cAtzqI_Rmvr~Wk0?EMw>s?~&QQc?|SHY33VAg{xQEm8-L>#BP; z8oH$RvFV7YR(mRZA6zmW6LNk*Paqc*Dy0J0xpv#N3YLC{o>UpEasSblMc%QY);3###ZskCV z+tZwlPziiNQrur4CdQEBm|Nb(u6hkGf#q!$rqZ`fftW)Yt0b9neZahv#a$oF6q!%I zoHLWLSn6LLO%zPcQ70KcUc21%$xmx0+P z4iXkk~ZBc^on7FJ;}nz_kSEjUbQDdemLkKr@4(; zETV|MVi}NYGWa(wW<{pwerhx|EE+G0FHOg1y7{;n&4|gIt%p11MAeO@Gfjucv`MxG z#|gTrio^)16lL4hiZ^rOu)L>a@BL@EjtdlEHf}V@ab#{g-o1(2?WeldeG?FCzosVW zDw9L*B@&rU=CbI|-sfXxCf@oN^tD6Y5~8%)^oRWD7*UYW9SISS%o+KqX^?smS$l`& z@J)^pgpRgt`l9yLhYn+);)ofB%?blBh9Av~oQ$h*sS;s}-2!k=)_GT->!59DF@S0} zKmO8XOe;UU=GN*f6{!xeN6WMv-ByqOLS~ne*hj|6Vz16pIjUHna&jSu2@Ec`VwF#K zWqtS2lYqqLOj4lpOX}q>EpP!r3{0ybyOg!aODH=;`iK584KT56%6co?nO85OVR?{2 zK}tTa4fulEfw*1dkmcI@tE-t^aHY}QC+scoa3(kOHEB^y@<58XZsmt;uzfsMihF#v z?5cRFO(NhuMWo<0Rv#-+=u=irjF=4}uG%H$MVRR`63gPLCdcG>uJKFEF>0gdV}77A zDlgncc}{DEUCu1z7?I7HhBy6!k?F?srdfH}E7P;2E`2UNS^8fPyu@Anc+*jnRh4S-Zj!tg zIh(7~ke4vBIO%y(zD#ESGwa%|LjBk%NY63P7WnHn@xxmy!SmNTiS%j~e8ICM^7Q^{ zd*s=DfG5sLs*kM}+*+2g-GXx}O(y(oYJgZPu{{zc?nZ&iCT#%Lz8PU7_LY4L#ab}O z^j@qbP&*D+7QRTH5TRsRiz9mX8ugnG$z8o$3ATxdFu8pvrf->#7M1%;{zrMfIk4s2 zCX-~Ahz(01lvUhbR0^sF9ZS725$_nkQ)L6isC}cz3Xeh!Y^4MOP=B48!$9)eN{i&? zf}9-T?A02xeN6H9znqfG6Hf(%hicxJSB*(?)2(;F5?Ol`%8mg zxr}IXGs}Z}z(!WZK}uju9hh59s*w@~ZM+aQ$1Bx|OZdu{!Uo4^bR-@X>TOm1g%`j3 zA+3lxSt?#$S(h}TY(>xim^aTn-mlvc)8|GwlJ!0DR~@SX;^Le@Lnf#FUN+M)iQe+C zG!W*kK;#GRzRwwo5QF}X4eYn3k`9J{Iuyt%M|oGXgx}3I(r$t;-Q3 z`oF&Fgs=zG5MvI?ZZB?TdU{vOAcQP(53rT@h@Q8jg~q+Tv?5lNo#y$ZgjJ@i)m&Cb zHaBEbe!KT6aT1t@-b>2goCKjF@X`71`0c<7GfqEjx=CKj@3t{45zucOS=_Xt6UW6n zhGk6};ISHOSOo9HEz-?fC2|E!(n%$L^Q%U%jJzI5kb?_k%W1y+<|IDHbHi&AgE0xX1 zSyXNik|IwQU`QVeNupS;VC4;6?*-D_edI#RieR(l6)z@r`z0kGh4K6}9!SoRM4^H6 zM+-*yHcDD;Ov8m&pZnc}fK11(6+P*`#dTjTG zw1`1~zmfKsI*+Nvv$I+%1&0!mWb9+F9bK|0abL&-a;V5>CfeRaJ{}y^q~%Q$n{BT= zD!q;K=_WCm7BL%pgkG!sK#_S>KNdz!W>e#$yW%)!3)a)6Tc9K*f$9`D2T<164o*-4 zood0j#k;FZUrzA$4CO^EDZSDJp%-zYR|%Ggq0~{m1l+;wonzHov?JaOn_^4AM}&UY zzG8ZOv!P2(gc&WTtHCrCGq#)pS%ttQ#_zUi()9J$u{(+S;CkaAhLZVcVi(2(;s}}# zHJ{w0%5_1S7T72J(keB`nbYhT^=u>poOX4HtRoyFE6ByoW- zNO%b|j;5bmtEeCLTtLoy#)Y&1!bY|-_tHwxP1(O73#TC<=u{e_^{m0teF#h7+`vUq z>`p(;*A;PaRAVGG1NdNk)??AvL%zBr8KJihMfc3hu zL?9RL@JxetML*7gQ@1|3z59YtRg<)1Y)pX=8xEH@QkX&?DI zX0XCOKj&*<_(#+akn>PbSZ%Y$%#06iwgiq+iZG6zl}@|Q$`C6Sh>2jo#QR>TJbC9b zwf#U$!jNOMFg2q9(v+oMvXaMhdvHrOyK);KkV20e5yE8Q-3Z+H9Ua2!QAtPLuG86Z z6b^r*!<|{u)-*1Q)NfgPa<$?T1sB}9l29}Kkbx(qu-m|K;E|pAAcNx6!BgXiIGc3d zIylO~l02VLEl;`x+W$9ec($2eV~8yWMVVEc<{2xT`uvp7Hnv!<` zAnx~ZsWuyWARwDh(ravc=^aw$(YlXr7_{VXaMZH~Ojt^W_4b&ZcLBP(_OE~o=U(sQ zji%jhD&F+qv9GCu837LXTVTo_5*i=PeLE2^bL^cep#G~m z=4dw)Mn2T0KEpm!QKkS1N!+FM&7n=xE%?=GChMGd^ieb%ALyUH@Pc)?NZUbe!UqZ& z?HC2F4ac!A@23D{rE2VqnV3~>-RikTDNEksP^ua*rn}bvF)|)_KKOQr>1~VcQau{O zZMNU{Hf&7!6g^`*Fdyr#c7)MS;4YzleMu6D&B<*+hOpoOUABIY^(lB&tjhfKjDC_97A{6HoUp*LOQ99Yb(Q{<(ufz6l`8d!^aE1GKSd*JL zF%P`EsE|zxv-8mW3^l6_#ltDWzKT9e=q#}H(B}{|em%1f0BDjq+PU&EJtO|eKwLwV z{!`F_%<}@S?NCL{^4;il7#>$dQRZKU+@Vry=qVKgII9XR>}k#Skl@MNJzIB@JOoGD zqiObaL`rf)b7&{i_%DSkEIvppENW+_1AJ#o&6#S#l{+@&UyJ*L+v6daYee2mRG;lB zP-!}wC~;(lEhbUbN7E+hbxDLF7VI-dYS)%m8J~EQj?BL6Z>TLOQI>Y8GSVf0G&@>x zhxx&}58`lv_0nhg`F%J!iWl2aP5kA@Ms*q)a>YH4^5k6>vuDwni<#^uA4Yu@@z^*z zDfD8mE&7TZ@qHExGXNODhHnFwav7oNc^Xk{0wCg3P{a;|yqZamhZIcN%^oN?^}&;p z1l^h!cTFB@u7?Sp!wM~)ta<%QH*0A;GZQ`X1!uJa_gqF8=2T_uGZ@MD7j<2@3{BG# zr!?=-90NW74ng)e>93vWEJq9Pxs)?_>3`~sA=KzHlm_>|o!RhkgaSBrmWhOZ!#IF3 zr`Ru};}m-#fcemwqz6=D6$Rnf?|OK8GA z-yCOn-v(f=rx|=W@MEIxr7Xs2<3c}*s@WJS`2oJ|yL0~ubf}ub^R3G`ECjKRwFdcT zJbC$%<>SY8pIb4Q_ZbKsKTbFZ7(Z)NSoc_Dw5xe3Wi~hCckCs1PidgnF%X_79R)%s z^&Tn`^Unv-@cp99U^?e#h{e|Js-(;;V!ZRI4B6~6c^T@H%hW#hs8uh{`YFUkYz>24 zS@8~?2$A{aBk}qjJk!SbM}GvLkABp96SR&4$LiG4-;YLdD4e%YqWF(&afw4W#C^xT zz&IO))+pasU;5tvp$`4TdogeGF^%oqIt0$XLe{waP4Zf}^3$UW`MApkrqJ*v$N3EP z%c7KTFLm=9DZZroUuWej;%!(QK`tcW|KvK%cWFw5%UQE{Y!wx2bDmx zeR5NcBR|SD;|-@N^E-WbtG@ZGeYcs<*BE8EE{k-HNg-G=5G}lfZQ40eDH*1I(Un+!mI59u2f zD}|TT3O{sXj?w?nJ{pUOlJE%)qiQ$SjG9DYmPXDG)1|~Uix^GYw0p@fiFG)a*HQ$> zsP@Y1bQ|9*#4j6~qV!l7OY?8C*by3K#gjBIZr|vb#$kwo%w1*C(_RcpY|Fj+IV_~~ zpSM#(s@w8DsWFlbZgQ=TW;(RVOkQqqQVvPzI8Oc1iP^X0w(&B52d;6(s)%OV+|tX+ zV&6sDMDuIEbNd(CnGkW15=VW?<`Q?x{=Sk(-t!$gB;|{PcN>)#f7dXlu9SJQ6wkr| zcdfua0ZrY+1$%II8%ZaTL5vfKkFgEZDQK+@a@ z96I}h(J^0@tTM9XhFG6v9z&+V>s6fmj$XsO?J!S9UgYzkOK2sotxeHE+Fwl-2Et-h zQ`#}YAw!%QQwuD{5o@Sp3ZS%yG*9G(xJ$kxnIOL6kfY=I^CI>e5A5j<4xzz_g1rMl z=|%z}>==@l4Rm36IAJv+`I1n>{r54tc%MZnIajHbbu|Se>o(Q~)6OS5=rb-q^l>h~x5G2g zc??4^?{C|sBUO*?;aFr0(3{`nLO(n}gJ0U#JMH2m^{}>VMH?vIl-g#le;qaq{Wl?W zZpqNx^k*&$did*I#8E0`1%vBlpzly%|54gKLp_Z6rKDR1Dqf$1;gK*>`##(${sj_<5=AmgV9l%MhU_O z2495;&b$srunaJLS-jRDFG_U!JFV{~8v6I@;Bzt5l#|ql0%f#K1893u3>hfk%*ZF^ z>p}*A_$Up>#RO7WZ^F>*?*#A}gFCZp64*y0YXj@*?|P<F@(1Jw(PR@<;%CGH$8Y+C4m%8=y8<43|th(+g6Z!qs2ha?w8lE*=C86pK0PCm%Sh3U&zwRumys(1?2fi-15z^dNKIthnW!}EjjLHvN))( z&#}kDn~821IS!Ev#Syt>NdE};yCK`o`=22C|F3Z5NfaWOjk8(7| zVYNj*i9T$ASpeAMH=xw^|LTdyWiSJ=V#`jC`WHv@9M*d7U;Hz4dh>Kh5p|o#G@?nZ zrz;TieOuF2)1O)Vm{AkY18V|Ulxeg+Wt^oSjAM;VNJY@3*Oq+ekmh<;RzlHFJiKCW zyycP40M!(TiPIb=fR^F7HZ8;smcykqpc~wMoGU*J&rvZXKlz4JhDpLqyD<3bv%T3g zOaC1ntTM|uM%wK-J#63$hQPBJ{v_T7uH52v$Sdy`rAMYqyiG*S3_wiKinPN&Rn{p{ zAE})9jq4?yVjCg(TgYB1wUZ!p@lu+TNp0W(ksWYCXkAWG&iw z+?3eGrj|St8AsNOXp5bk<;lf9fdS%ej4y-Mt1_o@(01lbfThRwVKqyxKl>X9q1oPi8;RRcSeiXFRn@O z*(&o$Q8#@GQv_>!lPnvOPP1kMX$evysTx#1JDqE_kiTXbJC2U6S}?j!K_8-{sFF)f zpZRwz2^Ep5kH#{H)`=TLKJpG^*y{f(%=lF+l{qJeNlZRzKNooVD9 zvaH>Xe(nY@0L5eb@4(Nl)}GAxI`FH;_eq*O1;xX-{%2l{)<$$BJ9Qp+F_*GP2|Y@F zVjgBW0!g?i$CmPMX!Rd-_GkB2&`h#OqA;|%&jx*97FrT)RY9To*G;*Oo9F-3zZn)^ z2R~)b037oB<3OWAbMuZJf<`G7==75{Bl_$0KM_OfkGNHFWl3>;|reX?9uduZI*WUdeldI#KKH}4~Qa3PwwdgDqX}J zYa%$$?SMV4M1|7`gIN!(H;Cn1-TUVgu>Z-bCOLxkjbN9wnnn(e(pMje);fv=D*&p8 z|2}cnW74AJ)tSZXQ;+=poZSGqpLVQ7iPFDI&%Fp!54XP7B5|!d;H`Mk#+*ZfoV|B~EA}vCWl+Kx$Mabcxuc#dKsi4q|SO7%FwT zE~MLcyKC&A2_v_2#x`93`<$q3PGV!$TqDv?u<{oneZND0hS$oTL2=JmC>39O6`sS9 z)&$T1Jo*AA)kCE8Q9C3iRp@ZKR*GsLkb#P<;QJevGTrR{x?r}HZ!3!kUTNFgI<2u zV0uad6YGz$$gCw<`8Hq__$e^HL~9?!@bRg+0-FV@Kr*yXc!~;IpIs#Eu;jbn!8O{6 zX42qfcQQ6rs#V1!Z5u<$s&#SX<)FAUbH+5d($d;J+TFGtjw6Z-sQ}zs+o8OBb8^N% z&|%8yQQZ12S=ITC<}&YoB~jYA5ZG zR*>sXsw#Y8Y*?#FQaDmg_wQB}_bnRH79J3XQG=B=g8%(@ob(UcSnS_F#$f|mOgpSfci{^#403QzHOjuPI@+@zij(l={+SdeAWictQ zhN%RfxPj?4Y=Yi)$6s>tiGaD+^s7LaL~bgA`FBUr3$lbEa15H{w>GZAXU$500_uE! z=-d=^B9bpCyl9bh^w9w^Yao4>!uumL9!7{_=?q70 zDp(lh!D%s`HZq)edctDvCi+Y?DSsQb&#_GzCiSa_bdmtM#bH9gt~)|d|9I9krJ>AR zz#T77MgCbG^j8W1{=!<{D?1e_kb{tA3A1CE<4N0^IzF`tY^DHZ7KnZ$1P4 zW1e^gIVQ=A_eAV{e%u1K{#)~(<>4B={Cc}G2ZTQ z_p#1hzoEKMBnFdY@w5QzvIEvksQnoODvlys54=Y`B-Pu)=%uJ8BW=PdXxYK5S)>&Kk6b;vw8QHCz|{ z5m5!`0Cm*uF7|&NTOxq+ut0Z4Tx{q#^Zxz$^2+RjZ%k(dZ0t*YNsx>A4z+3jp7(pU z&DiuMG5!HdwSP>cuuHy|a>w**TKC7Ktkl>*2mE04W&`W(rkuq{4fzkhe}BLtt94{6 zjU1uJ_I}0PPEO+y&gQgZ+TFY2k`ih4Cz;sh;B9^6XWQ*Wr7=DX-?*;($jXEx|j5_Wyh|MK8D76Q6hroVFenRr)Yyh;lhriYSq#2FB|o%*qQ2(jw% z;gm#(a8?(tO)4{xo4LW_M;@5mm1WB0Dt~6G*H1K+s}<|^Ak5pU~G*0W4{;lN8Xtrp$3!c@NsT3!V;xhizl=;=Q_BA{iy|inw@{lP)Y)Y4TEFmN^sgavL- zc@wX}5(;ZCxJz`tdyRWM1tjD5Bjt^PU5fzJRb+z^5GffWkpYY{J&_K$YMcP_eWsQ! z3ryCEke-NZHjO+2MOp}Ib4QODuM(4^D2$qS_9I3ssx5sY?`ZIzvE8Y9TF)jmge0H@ zV!nS9Or2jo2OMo$$JZb9P%>&^^_CAoZtBp;wm&NJP}XbGX9z>1gDizCqqQ(tx+s!` zG<&~@dhCQ=vA+d4kfvC$)NTE>*NltaOR0@?JWT%=;(yhJ~}ejxlE~+H4;f7a6iPws!a{}hLm(4-TZosa2bBJ{$mrApV3VJ8QLu-}Z+wOF$kwLtr^iJ=32ASw@DqnZY8*+*!+4Rgfss4vf{%QsWxD+w zPl)@K^k5IZpm=mj+-_>Y?~PuaUSPEuuA^E*qanLe;?Z<#03C>VRA|^FBm` zJUEZC5Ud*eR_Uk57YMiEzbxR_2NuADcUmt#8X5IdaO)jwpsAjckuW)xGIthuvHD+$ zr!duz^*`x2Xm|0*y1@`c>la?wu`2N}fS-h8$Z#<6_k@Z7`GM^%o~TX;8|SN1;Yehu zp5#ApOS6W`m&VC!ttTGWvyTL=P1rgnfw-O=+~sx%0JZg@&4G2uq+_t3H2OaPpIx*= zu+P0|--roXR{grtV)0ExSP5hrHh>DMfWDwD-mngMm<~$?^18Z-l!4pnkko(I*5%x- z32uTVk|6VL2zJt(V0jmmXxh6W0S1bYq@c&}=MO_T@1x6t3xuX=mni4pB#+y;UZ`lHjR;rl1mre)O zj4drzd4ouO1R{PJpGITpcd|#X$o5)btbUD%{v)&x$SPp*hlPC!XJJ_MtYwnEaJHin z;%R~zd=ETk0G@Eu*vS{oOps|5#;FxsE!%wvIr#L%WYU>m+VjUr38xW!TnQPUf%3Y3 zEty%t1x_%enm!jm$KbI_LjHX|`iGn4MA-m+E`1m?-9Z?{+OM@EXT#t2LIju1j2F+* ziDW&AVI4i+92d)voa7C5n)a6i$4H?4LL{vsnVDY82-H0C8=D$|$m_AxcBSG7?}X0V z`Yje`weiLPzVIF^XF$XEl3AfnqgEM$Ka~E z$>qojFKOQtqk1P3V6;g=N$UtuPACWvT`gZly2n|hm?Y+LiRUDUjM|Wp>JEBgdpAu9 zRkV);)nn0c*>Nw1lYDzunNF)Xq!Xer$AC6ihws~ zllZd(?FcZ>MenYNHbcI>mg{v%*@Npt9|aSU#B&_1qH7!=&kPnHepkG1Zf~eObcr2s zsP1PG{~4~4H-r5+Os;K7NH8yu{NBa!Azz2lCJPj^AdVKpkA)lb@SO%zam_Mr$M@+xT4<5Ne2N+mzJ8ZCFw_m&-e*0Q6+li(?$bwOx zX0F6q=xjD#Mnby{kKm}hJ#^9gTnE6??$q~BX6%mzAnGF);1~Fnpgf)OnjEJ7a z@dwJsU)bizDO)Z|9Pq(*<>+Lk39-&zHI4t$E9iyt5R#?(dI@C6m7_7J?c3+u_Wont zcv;!kh5i{G)D0#5D*%109-4U< zX!>uyVg%|Pna#)!Bf>pUf(K}ZmA9(;U4ct3c;tc4gBkB?=&0ZY^qq%MOMot$ylubrv zWAns-9$sRxQwoH>7ud_x5Fa6tRy9Ri0>6-d#ru+L)W`XQ`WY7r#@yQ&1Z&p_csM_{ z_1QH?0|+x*D^?HC>m=>Jw{h5cmJVXVS^h%wiw3(INAfiu95S#x(}Z z#8md#6}ttvwbx&5g$SC61dW7$^hCx}gw>S48d~#uEArEEQu)3q4R8%IK0hgb1csh6 z^?dG@>iv<4HHVEc^_A=1-CeUMcaL=G1DFw|v*Uw-XH9B_&tf=1Ng|N^MJkSa9~@`z zB@r=vBE!0pBhK?ROjnR=0Y8#iMZq(5X6fx!(GQjg&6Ae~)2JF(R#E_a2Vg16P(Da{n!YHB7QS`;u6tw}}y zM18eka|{uYZqoO~TF+}0{SlB6UqXPjj-#UfA?}Zap1M_1L8i;P&;~;o=6bq188t{z z9ptxqt z^rFL-DsY>i5y;$gKom&!xmSI^C)blpYx#cediC%8#uwI&7rqPI{BXv z|BiPe0OREYUQ&7I1Ug*VEjR1hm)n@V5baKwt-lw}R7_s;h!-*b^SYE! zkH}ZVAQw*u!66$<@qNMMDcZY0;gExW zzxc75Tu;)!HaJ3+@qJB<3q$fxurV~Hg`d~XIs#Ui|CM>l7w^9=iKb9j8L0Q7hQxt#5VzSzkXf{S1n+k;}*Oc zo(I&Z0u0IgRUh@_Ma_P&|MsxrqMf&~GY@z>bZ;x2t7jhy#EFez&$e$AUGth(M6TY( zMliFM^gr)^akU~&(JLGuB*7AFZ+eV_!KCXip0V5~&6KBD2FZMBL==02zuG!2#d%G= z`T4-rUo};iE|}d>i`lU?DW#T~hH`Y(Y`y$~l5%jWJ?jMrDQdXZ*NqQ>Be+LIJHW-5 zdEe%dkdA^L>n3Y9i2{G%)PQNlko%WkA>Vn6Lz+?!K33TC~ zI3`{wrxo>3ZDFkA^D4P~RdCZsC}PU{=?|j54#sN41JMzYcQUvxiEfOl8A<1r^DU2) zL=&9`n(s13%+@D~WD%I9fJI?Wn(wN5&wn4Jp463o$R7bpI%UdAe_H!;7K@70x?+wV z4^PP*G9%}xI}QrEIPMd+xDjR(Q!-^ub$zY?L<2g6T+EHrYKjxTdvHCBvk-beRJ_md z%EBi9DUZ2A3Ghl2&BJ#?EAnc9Xp1*vvnZ_VIUSyrmCC*O_t%P!WBAX*N9>3F9x;oA z>RY+2K?N(7RPP>8L;Y8*l^udFDZvn!1ZU>;(>Sk3cYDvB@xD_hc|-HdO?W(Ek?o`B z`Q1rQioc6+$^$8o22_Bc{#t2f3}VqxTe-Gn+O;*KjH>-|CI?JCgiT8J6pB{h$jn>G z8`NWit7rX88jVv{3uxWdFD)u zTH|oP{v-8o!Az)L6@b-FFYr!!n$5q#5uZ27o%`gTZ}Zg(PhqBEr)l?9s<{u_YqK{m z8>?foil43km7@&17VCvQs5D6I?TycJ6L z1k|akTfSJExJ~a1S#4fxM0&@KwNIEJKEA9m6C)TeJ5l0Odnil#X;X4X6)eKc@f?~- zD`^Ynh~jX#(E`(|bD(c(!A*)Qy5>;PQIwGeJPY%aLeR<#Y<(+O^ZR|&(XAc4l)o# zFBaBGa4n^YqYjHioU{;g1rqxo(YuvyLN19E?_va>itFvd_j1X+!|&_py>6?_@^s4V zcIefdiAl9C7NtW!D~9DpYpUls*<~=zkjN6r)`hg&eu&bC4NL2Q&3(dl7foW^J`%|H zt7_C*y^!1WUwl_D^wcP5L96)vHm-VDE-3b6oOy2%wUHQ2NIoI3(JE;r4vvHnrT&;?M zUsyjFr-b1>-tx3y+*6YEVN#NoKx-g6vos()QyqErB=ryO+!N3MXVw7$$cPULR2>WN z{Yhxqt!MLFMUKKI+C`!s( zxOEF0rR^MVaqr?thGBf6yXtvBu0B$f=aOi*eSWt=rP?Ff>T6*%Z?BFfU*aK!FMd8F zr-^wo*f7*0?lUV-z#&QDQ8A;$Z@)WkJ5sBftJyEp=YTREok*nq$|of;yuHDh$sEY` zJ29VBFEM8&^Z+M*+mi{;b-b~L`wxwLr3p@-@K+M7uf;jB$?TE}h^BGs?SOJ1n6D+S zp*47zobB)iv)X0iM-P=SAxY z4Vsi4IWgCc%~vcusoER3+^}9wY>()gS;H?m(}e=M9N#48M5XJm-<sg^1nBf;V77y@*#Evx-0u-J`MO4_)50B~j_UW26JVwKE1Sc5LnutM(dWn{R8FW4+jv8NsWw<-t zD;L}Dik>u=C;#6PVAZ{*83cMYrX`58eRgLL*^OtJadEWh;8dT(Qt{roJ)(ZbqZvUd zcFz@X~bG{NrkvrkcAt7DyehZo; zPJfV{nUrqB92@cD1&%IRJ71iXUv2FByFgxSYBi(2&UlM8%y_)=NY79U_$_Gyq1+k$ z6`TorOOrtLfavr5UsPk!+mYst_2hk?6_R|M*%_)hRmuZpl@5z{yhU1c+9#o6^CNW5 z&jjGrswqr)Cu~Wg1|NJ$tz)+g;eg5Oj?^tK3Ie#D##|8!V4Nm!R&gQK$_#m77kEq^ z%O)Z{glbjb(M#yC!nB zpx;QX3YAqVcR>LR28zLMnj6jdq1S2yqqG!CvvY(B(GRb}f82q5U4zD%8KMtyy&mb9 z5tnMjf5NelKD_ub6uV~<{+W=QDl8nYJ1@<;R0H@+GLJ)P2}9ZLUh@pbVY&U!#CtTj zD$QPRrUQ5gbhR_Z8T0Wt)V~CX&6?V+Pg0n45oRo*O$oeHI z6jhrQ;dRf9os*eS3^Q@4Z7N0JJrY3Nt21hH_KzQcvn!{<&*0?w|AUOMhPmT$$Pswi~lWA5JU?Qa$}wYVvTzq3+` zb19wtd&F(^6!?J&INuu~{vuDGPmi|#X_*b}g2S8}s$T)Esc(n0QXHU82dE>~m4s{s z-L{ZSOK>%s0}u9(>&s1k?7G3qP(Qwe0gdwf+kz;g_r0uSXdYFfGDpmL{0{p3fegiD zqyK9RzqN*iyFGalSx~Oq3A@0jD%3aML$YN(s7w9q^I9o}`?87&5qFMSYn z>9EK7As@(TOAj!wd17+Rv)4VDSzcZ9tdNBaDU$25a`4`e_m>)r5eipAgHGgk)v)ZL z+=W#Rv0GfXt1|l^h_70TlRm+cKN1~@!m3oO=DOaFe)o1bcWVkcJ)5JVXam}OkLMb_ne<(~uq$gXGr|6>rrKoVNbKSOA+@GTb(mL~x&QPxmt*HG zed4t2Cz1u!E$@4a2h(lpoAVlK0cM^uWM0Y~nCFF@3m&tajxt7GnYbEY@QdW>omL%< z9?}_O_+GJj$!n*c6n41(H^Eg}hfEF2lo5w8kL8q<_x<+q95`XO?w6merKo7^rV?;i zBCLs`iB$uv^Gi$O*KrO~M^GFx(%5`*$ormmOk(95^z41PpEc-VJhgM^Ea1B3$Uh;b z76k59FFA3^R$wae6I)kI()ajK}JJpUn6B{N2=?vP;{AHu^!o?>J5n-#>S z>JC=BoMdDTs1tfxxSC{>&paouO0$UOxgWc5+w!97`*1*W@xaacyICw(OvLY84@(9= zRo1kDV()^X1T)!NUSDJajfbi$iwg_p&Go?p{*FE0K)4=9kN)w1_iu9%#;xRl8awD^ zVfihguX~iPs$EJc`=GwOY?5p3rahbeI1VBX!%X=Jj;%P)g$cQrOtqOTUpnK`gWlqR z1@dLTR?-xZ-Dk%bbX4ETt%ZD}9rHYPx3xy6@Kb@1duFMJ>ByN4&kA_YCs*`J$>^1-ttn|%-{3Dz86 z{7t&NBRp9?kN#-&gB>1pd>Q@7y(o&`xiu?Usz~s}9%D)jc5XzFG4PVz^M_^M0!HX% zy%mACZ+N)QEDXIz-dp3)rAf$YFr|Om%-g_Q zhRa;>Nv%UAHv`F9tRTGE*`yC^=YR0DVzP~B-UIV#B&%>`vM%Er;sl0~uxtHErTs5xYqgx|iY zVWlD5&9kwdJ?ZEO_im=K9FhmnCMr;9{H2h_o(ztL4>h$$^kaSLfIBVf_yU$={>@cT z7b8+-3N&>E%G>TmbK|m-G4o_8yE3kG#Rfc5x8TSO`;%*P!8vmY8RV~_-6Xgpzld=H zZ#VQGBXFs4YmF-Rg49wMeALVHqZf~r#6RL-yh+ic| zR7P!TRT{Ec5h-KU$9rv5-kQHqU5+ONOF_B$Z7fo}EY{M?Iu7ZNDEVb1O&mWvPFDVd z&GXKgq3~GCsGap<33inygmlJQ?qWIe_Lt9D43r}bE-6VfpR=BEl1+*`PCOrdNL(NY zGy1AVK>sAAvzX_l!j`rh%^iDwX5wh+zP1r#Tgap4&IR%Rav-6v-sT&XEc)OSlY51Vh#l*h9s-VCWBR z{lGy1FlTnQc|75t2gtJRl$&ce^f8JecAtVH-`g%C?tHsY21oLmO`=E7u?XblFe0}2 ztt(GyqeQpV-SqSfg_>|={vX8C*$n}rs%lreyRJ7v-{{J+#oSZ8;HbhP{M0RhnSByC z{mv!-8ui{AXGQThzD-f>0Vhoi@W+GS*9piX9K&DsxV}#(nmHBT@K_cuQ0fj45n0og?);m}q=h zqggCh{+RFn4=O=5RqjW5fiG3ygtfC9EQkOxb^WEtssdGXLmL6Z5KhuQcImJKCPKt+ z+JDCkyO@}S&ESh9a^6k`FeKuTl9y>X?-=oFNzOTYCG80b%H0aoE8p>eGIz-g*tF%N zfd-G$yuzK7_0__{wjT4pV$YI4*Vj>7bW6Nm`6F3)=&B0(9OsA^sTkVfi{!%<+aXuJ zznU7yd=!vkcs!_>2W0sdIW55JII@41dZKeA4bc+tRgu3yr{&D>+6TuKJPkGq}FuDRKU;UP1rwj?Ol3SGJBqosQ_Kt=LeK4Y3lKoK(n;tB{TveZaR7XM zAw1_jesUC{JSXzOGPwy?bj}kg2c*rn1`2snD{ALso;!78xX)lhoO#=m(H_emOt{^K z#bgWx9$HgepaC5(rsAJXGjpt7MBZib8uR%tA8afzi50tF|F>{h{wYFNEthd!{4gEX=HYtOpR!>z zsEcN-Jfii|gJaEd%+EQs-r~v6E{)7*AD(`BQlO&2n7m)5YO;jyoN_*-=Mq{a7{>M< z^RwUaCdI12TGR+ug-(Ea&J~1UCCf=`&rIt@7Ep{`LQ6H>3$Z`zl3*JtgbJ1 zQazSU_FD=GL&d*tq;e}(%^#ais7{XKb6tHszq@S^HrbiQ$&~tPxZH6wd;6a_SmM%< zyEk;Y8eay+OE_0kPW!I*F>~8(--~+}5^?CL4Dj3kDC3idn|g+nmKf9Fe$F8XNQ88i z9X$~uN<1p%_v~$`?D>(eRCh(r+J@+K2HpCZ$;u}mV@c0Hm`4>iNw)l3jB_}tB0TwI1D^q- z$Xb?GB#BCA@-AgVFsyA480rY_Vs+AAXMs=X_3HmEbwo;FCo)D>_i<3MSd?_A5)g@R zKgI6Fu>o*I%%4FV=wy4hbB1c`iG4*^gd6hPDycr+&M zG1x_vWUhzPIMhDjTeifR`JJZG@zmqtau(a5qk)k(fg z`V4%MwtERK;3SE0vieymTW}H%-@Cl3IdvbQ={w1tY0pamBmV+pY|HK#vPtg>-9z`o zQK7HA82UBi={5v*J?O5{2;maVndi>PBOr}))sCBxQ$-tZF_kzgb~fnWKQ zv}1Rt?F399A(2sZWMZn2&c)I`bKBNG-@sfoHu*W>L4WbD7lVL&8 z#fe9pmt*D&;W>?IMkKNzP9JMKE4`1P#6ZZCBYT1{v;J8#9&`B_K0lQRU-apsB%lbS zm83p?Kowft{89Gj=LVxX#$3yIiCmP0c}G*Jl36iUB??;b(qF+6ZfXp~y_f4oy*M)zipWIlf%i$C*u?vpv(@%5zy#)Rzbs9?b;g72a!yT>@mwYm zMC(o~sJ7$@&%Mbq3XHT$bsh8#xPZhQ?iJ*!D|P?j{fSR0w@e|AbpAAhPp*9m@_%5B zHmEQVxKP4oTzAb@4?-qT57xN=n(_X|iZ(0N z0`jV=aQ8AekzdFW>ba+4k`z)qvUa^zL%I0%8L~{JeQo;62^#6F>dFLfptYp5 zx=@qj;inTA4xiJs59-&G7aTqKSX+gUYu~4Y;G%*CEF_n(P>so^75DR*FWzA32`nAG z!+$_|LGh0*#{tPLyGdJ|TeNf;r|dayt^My+tuu8v{ydxUe*0XkoXa&eUzIPZp8zb& z?|q{OTvOAn0XN&joP`?=mUQQiQo21oGRt}mPB)AaZ>eoJ@#inbN07U)aBzg~OeLndOjtDhp!^6N=rcKqmj6>uy{Ctk$15;N2r~LpuF6ese13)eXJ!enbuN+LL*91pb&8 zbb2zi9tqj)Sb52f`>R;z-CPRu?{2&9uS!50Isy|*t=J0|syROe z-??hx`Upj@Yn5cWBBRoz+A!fdIc1rPl*>T@{$nW|DN&P@mnZbfh@cEyeW?dlnOs>4 z)Gj5PZD`XtAwr!p+!vZxF(a|un*zmaBCe2=qE3~Ws3uN3+DGWFBJiD($+Zm5m=E(rqq7h?Y%wZK4l&OFGe7j-H$6f ztODS83A$H=Lipx)Ra7Z))tXUp78Jai6G1+6Key&1WPnLP2>)vu?;*DcYtR( zk^cQ(&tOQ%<$N;5I8joLUZ(B09BGJjcIk59 zi{=_i^KZqxyGo_FNo3n*KRAYc6|4>i8RNL(e&y=oxUrNJOwbA4SUn66WCI)GC8Cvo z6`!O3Tf!pm&{o*ghbJ6m>Ys2H7b30Z1Pj^j_N>v!mITj1Q1ABKgH`&qpIkV4f+>rf z2-s}UZ&;_=42?%Bd$c0%Z$i{VaKb;n>?PgbW+95H50Fi=$pBH>1zS> z4o6ag8#W%MDSw7l20k9b`$jWMorp!&)5EEJPQXpM6_5aq?O2gPI|w9Y$Ge!i z85}2`Tu>b?&_NK>d-|#@1|F*h;&gF-REWYHE$__qYwRxY#1UIl!w>@>AzTx2aibno z14?W_=0IqWNZO$1l;FoF`fh#D{6CPM*NpctLEzADYCwVLtt^0rUJjpfZmF2U8)yqKq6>3lW+8FBAbwg!^Uo`J=MdE+XN2#td z^tGO|c&qeunM1D~l@7IT>-@bN9f%vky^Ax$>h}#*rHP;nm|}xU zIZ+D5JZu*yl_G&Nly@Qhh$0w`Fm!xmzoWDYsZ}}ao*;kzDtMzy&(ovt@oh)B(~c%> zjMmk^8bFM7n)SO54ag=|4%j@`zenB zK?^lkCb-Susd1E6;p8BlG5|`+)nIcA!9&z>;LWUyw^qE$H<7@y3{r)w9evOKS6+xj zVnFWX51ya~VS=R@QePs=fUlC^5eaA0rUzf8@0nPfVpDWo zCzO13G&7bh0!L{9!$nl_t;F0vhRhA`q=tqY=mp|`)4lX!Z?NH)W1B9qtVH zyJ?Qu6(jeUj-b`=%QuK4W$ijY(JsrxL@n95wZfjiTA0+e?*4KCedf+f)>YcLMG&oCw3pIXuUD zS!S;&EJXq97$kUmjLzuu87)^zbBEUhPlE4AN0$gl&vH+^g4PN}wJS792mT~`C^8qP ziMBm6yUHPN-9Zm66RZ7VItZ+_=go1Q)(GKw4CZ;5m)gvaR`_kMojI`W-TB~Cql3_zV|IjDLug4|A7O$Ez^PQ|O zH!4*eb;J7}dcWfQYY{x+2slm)Fw|-f7_0^OJ|r^1k)^#Hk9y5z{Qaa!6bVf_({b^9 z)zFnAoqIqESS6N=L@Lf1Y&O6F+ST$Dfd1)mIxcEE#U+nYKD+7Y;DA38_*3}Aa55XJ z0>OZV=hq$ai0mn(@HXJG?^!_~lGq|h+8(^6=juoi_!=|t6N;vUR5mv>KbSqn1j&{9H9ExpKajJ0v~^}<&WD+il})QdgJ{i~LG z29bUgHg{GTX1Nhs?9JA%1)&8&xjyqxALI8J0Ok;<6cdkw6b%Id{4L@%0jCKQi!~9i z8=QqD)G7P=@jidMS&oJ)!M9F;n&MBO$d-Wk8@?}i65ncR0Y^~2M5~7qv7Kd7Ud~H{ zo^7Sc|K1C)29K3iz=3)}2p)CT#_$4lEUl}c_DgoBgRqz#Jwl_r0;*}wAft}I+svY0 z&Bwuzfe~VM_4~>*%lz4IJBIKavag91yT>Ea?iBI-leW(9TIxS|J zQCen&uH+bo4Uyas>jtK)lOnRCvX_>}R6AwS{JzTh&W7(qbszNe$X#Hd&xXPG@)zzhRa}1Y($h$({!B;b^?mF)h_e+E2>q_s0w0M9#ns zqVj-wh80vNXi2^ZKW+_wjL_5zLhUFU{D~D1P<0JEw&l5LaZLoE_6XoJbi?(=mMqED z$+%~3uPnLHH|XDg{3Ki^`F`=-YhENAOCH}SI4=W{g$eZ!32jgRsJ#iZc!yjR^?i|iJx z=5;gXjH{haF`%XqCrtY6-7EAV=^?vpXg%+xObGk8IC8=#YEPqeNVIQv zeT}y1K|~00;3|HQF7=5V{egL}!GOi~tGb$r$?bAu<U-adKf3RK%`yVzpZtKzGRnn2*z$3l z1H2#T*qdfI{)WNEM2(EQ^7W@J)2Wnf-F|=dhpN#*L%&#DFe5nCe+VIRo7~2CP<+Ji zD(E1Vu*T>t5EW&=Irw)Ehw%sNO^mnJCjk&W38Neumxf@S6TS5{s1h^cuIx{hhK9-Q zffi_I?Frl@KH+2!ik;{tb`X^ro?;y-EwIl;j!2N^5MwU%EJ_V>FBmxa;DdR&*d&o< zWiPw2O4+!%T?%E6W`N0zJQ7{vCa!$b!2>Kwc5=4o!;;G3`IM`!`vQ zzR$Vj%YolIsc_nGV2&ctUWd!TcToBSv`3nWSHy%7b|ONsy&58J+KOomxs;&Mv$1Ns z{yEX}tumS1BB0tczd@=rCazu(1b&2diAazZa3O8XRSk1YeDZfFIlz>8Hs~`I#ctGY2(feXTgPQUS2Wo@YZ>mFTYYq=<1?Gi5 zAig@x2qr&13RxYx$1Eo}(%`W7-r)7A1jbNJ^hS?gg{oLtM`%BZibQDA77-gv z>NiK;pxSTPWD-!+#u=V08t^U!ZrJElW@)&=UVArXthPEU>8MXAXh)W6(O8b_i#<$! z!qy(HE7HZr65gNYZP{sGAquxTPcz1OLaf-#=Z@R7$I~_{=*5fo(k{#<1?nqn2$g;I zcXu(RX}_O^*G@}k0nEtSlL0j{qJ^T5b7t>@PPSWhD)%qGf@ozQHSTm8moohMe5b&l%Jlaz&iIT@vk;s!J>&5ZWSHg()kN$a8X0ti-)cFD{mdI zqieKADlpit^q`@Y(Yljql7aX4lhLVn1JBI1D-AuV4Qc7;>LW!pAD~A%-L|xDp`5Z# zNRmVC>xX!yjvDJ4QJNABo09PFN^t;*f_bC=alwrMk2;I(Mh%VWyVNzFCxOTJ*-EL% z=((vyzTx5C_F^U8*jTGWk&8_Mem%#Q3D2O*H-HSMS%jmvVY8> zfFn!^YJeD{54QSz#V`Z~*Q@9?Vv1NDZ`fjCYf$r-3@H))ireyEl zck(4N2vDDKWMdu4em%ll;`E;)x#YoI=2AE;I;B{XVjh6&vpHn=SP zP}-06KMwr`J-?wim%`LLmcd~XyRbOT)Lhb)Ar>fWxTI#TU?!57u>3ISbf0q7s}plu{=pCpuP z_WaLPQ57X+-C&m*v|4P@g1MaiTr(?X)yLd?P-K;Exa6(=Gfal9W!I0jhOx3|Y>BZ} zbw)B*>qer|ud|EG|4J?g1t{)C>Z&KVE91@F)}K2?ial^ywu4%XgP+S-? z7dB>NQ#>Rpgr@)H8OI5~We^+jivO-o=}sw1CI3&LAd~#Po|S)k%D>A9qw&0~j8|>* zl@AyON`AQ&6UFZ`or#6yyL8oLk7CLaY}jh7G>C?yu^bBaADS!VeWw?fA z%ELm3HwO-2mLvXc@5Qb>>+w@r1vNYZ@~e06R!c)oF`u0O7u2@FbiQaAuRyrU=^LH@ zu2v+A$_Kt0wCgB%g~*0mKq~b&*jkRhvzW(3ytZ>uiMlJ|!nVB6DC!JT!_S|sU{XgO}A3-OJ) z4E+y;lk|9dg`<=clH@j(?K`UvHM~!+W4(r#x%=#L|CWq}K!J-^-T|d#An9E)VCFg2 z#p8BNBe7&jHbkKK;}59RKCpUcH77uhQUszwcmDA9(}Ko8`DfpKSj^2DJ75;>rxnfz za$%?2y)1D(_1Q91mU1tV;8%FZ5h!q8S01QbDb~B&iQ5PEyf+e;a%0+Q0ED~`nagI) zYI8KBc$ZS&@U!}KNxr;YU_|Q^W!bagMTWXZl3W&!0{5R}{-?XfT~rTE9AtwlM_G=; zgNNSFfHTYXDZO5Jnd=Ynz;HszT3kqd>1MCJu7F7yr(oCJ@^tGtSG+q0SIYb%5wB(g z!0p&=DW)B+F)r(I_i2IbPABM8oEho-s;Zo@hwYg-?xK$``1rM+DC*|Z0)guXqw@fe zuc;I1k>I0lo__E_Oh2W4LjFt}6HGRZGUg91jT#Htnd9=1f)fKccS2OfKaNr~wjrUN z?mc4!v}sB|D_$`Mm~+?2u#H!>T3;96Gl{h`FS$UYup_upCv%+PTzUjr;OKTKW-MpB z`!h}!*2W@$^hX(ABsGjh?%1AIFyC9guZ1}~BSSL!*6@U2dE4$PTX5VFdkQ|pwkZUP zx)6eNovSMsv!ZW2nCpw_NarQg1Txg>YG)()RrYxFdFkiBdwP5Y!w_EoYbpL!aCG|{ z{D^KPc+l#<8?n1NdCnxp*5Ef(3*5-jk#Y|(90|ICe|IF5 zbmXHk9|l?|5Ige>#qB**(5Tg)F)!Q@HcDrplM2bv>q67aIo6ma%*zUl3{Gv7;R@UV zK%(`ggu1mPv}hB)k-FghkCXH8!vj!N367QEY`IYw*-|b_;~$< z@}a5Zu@Np#7~>8f_=ol|_aF`At*GY4Rs=ozm_b;wf0hP#?k=XVq_UB5^I6^ zXnnFJa=4J-{ZZ*A{P3TXhX$`ohc(9p&dNC_1-^LJ%9Deh5=$l#M4&llCc)cftPz5o z=!Co`c*Ds`NsH$ZOFu_IFt7LCN!jr-IYdi%?+Ta_e=TgEE{;_7Z|C>Jv}AvysLfcL zBUZq11xL8H_sK?uHSK1}Ukj6h| zMiv*wqu8pVHckvC#2(LYxQde!xw!hXSZI9jVFc#%!&&5i?i_VF2=G`pq=1a~uN=AX zZAMP|0%I$e z5%%iBr&_uaMdKlwmLt1nJ~Z)k>dZrmKy$sVkqJ&Y-2WY(hq&H!In6AGy4Yu`r;W%z zRyQ+DVg{DH6SJZ~SZ2k0=70lRvWY3he+GST|2xl${RwE|vnXY^ajCaBcPNb~9UrTY z-#M(#32Fy3%Fo$?1tznD(fEoU4C!rKCx7MKiVA=I-ENK+-<|o=!k676>f=E@*;B%{ z7(MHSXi|5bIVM6qxLMnZIfEHdL@(R&vCtevU&I6GVEjt+F>X+0DFPii?(NciD%#m! zLiYRx@-`yb++mAY-E1VYiyO~M>>Dr8;oyduliiU6ue3o-s|rkU;iz>oV4mbi*Cd=1 zkWF%l7x1h@3Hn%SABw!%PG%h~|Atriqn~##SHQT-A3;)oO5C#9V*#0ptIE%~tnDL4 z9dx)nxzAZBls)q}gn4^NyX>U)^e{qM#(qvE%kImUT6_T|!yCj;t%T|{tvh8sjk>zi zC3ffKo{$^eXVHY(Z`iovhrDif&@3FoiS9IXWQBpGj|o|saQLLeEPzxx3E@= z?DqrqXC5?F3h9@>m_SHGC+i=j<|lmyGE%b#iy$$69=4BD8qkrc;juAk5TXe1RMig8 zIb9vQ!kMSdyI<^@2%2is%xInYG^M?ZWI*ra ztVZ@pst*{gLnr)-)4TZNn@I7q5i?XeT5z3<{Dzi~KlfzcE_zvosY9(n2K{wq09O(B zyk$S=R~7e{Pk5NCW^pH2q}o4O1PZti)8Cnje#O@+j`|fg><8j*Gg12PAVcc+L--T# zX8FtFg7>Wzf>p;i^CrIf<`>-f-Omq3ahPHAN>G%GAy9gl%_P#+eVYP6Nf5|Cn18>w zV$d_YxFpeXAMs`0)^yWFUqaGwe3Ld~aj+UepFGg?N7xnxlI$oAIGe(L(PL4Yw1JfD zTxJl8DADX7A!6l&b)=6|1`@n9TOVc;;+PE0p?@HFIo7#Z#L7mATz|;O71QzlQ|)%U zFRTB(1Ma|mLwST-+c!{xqDBq1!yrQuhHsmb6GCo)WFWFq+&_T*Ui*%uYV+F1Pp_Ohh&p`Yazdz&aqZ0deEzzQQeo~QV)K& zouL?G^gY;8tA+ke3{=f7j)R{BbmvY$i#CoxvLmqOw^^Z34T~vayxVsJ0mC>)PZiuv6oxs?qHGL6=OD+BYvl(g66cx$Q56oQ}S{<*c zghI-Eqrl1%Dh%*8p1f_xOr$rYfkP|5_JBv|L)h>mtkoq`BNLTNA&aP zvimvu`XJSAJ2(Lc$Cu~cFzm0+9dH7FCzlNVY)|&!p5T;5+mDY%ni@HusFo>nml&d} z9^zT!ZL;Bfn1k8242`I!N)%MxXnjl8c3q4`a%Tw~pLKGpj4JRDjeB>HoQy>tNc;#N zZ(37_KjuRIK_JUL`&ZTCR*1pKpA1uPpZ*8~3Sa1Pn1%|R|JC{Ro&4>g#@2>xx5n2( zaP8QbJnHYg9WN1C@M~!>BMU^URmXvlcN2D=6E32c>=1jf^h3_jlz1Zk*A-IZ{kf_v zZjz7SjjI#BYOZ{$mkr!iiCQIhb0%i3%H@fIYHiId!v0C^()bn5t~uj-A%n+H#DzTj zo7Pa6%b?+=hdSGmq4%k~rB(uDSTtT-<@Km0)b}lf_@KxaBqUrkd7s$-^MBX#HH!~u znfOW~_3TI!{QVynSbkeka3j1nlKsKPZZqXl6C;5eW9=~7mQ+S1`pDx0cF50F*f3XB zbO{l8zvcS}U%A1lPtd@P13aXmZTe-@Tb%Ipy#bs^attUUAJDc~29Lm~ z-erDiMt1JWe453@{}-m=TZmjUFf~s4##33By~|l!z=|(0mB=$C*!jikl>XTpx;lSv zxnKJnTOT?&rt3>N67?_c?Qq;eNE6R)3pu3PCeX_P!<-N6gVOIbkv!vIZ{ZgulA4al zAwH(LH}8`1KlBOONXwEdHGOp`EqA<-lGp<$j7qSk^}`a}w3ff{typIG8Hg+xg~{Uq zl6yvJAu?-iVH&Az-roz;eALmuDnr6Q3T+Vw**CYdfn3ZA1jPPJa>)zkz7=P0%iytY zC($G*IhuP$E~ipC#LZ)hlUmQ5OmF|{9h};enK+Jp>#K>_vl>=I=dy{R`Df^12Evdd zUNq#0^z;vKGQF`Fp&P+8m1!e2hu`_k#3!L`U3kLpGeFT4Wl7K^w!QVSjQgR0c+?iF zSLhx)gE_dGvcjUmvu(WgBMpc#TTMzfMMrL)F<{r5>*TFV+MAJ`ZK`BDvGHx^UyB(s z)4kCH!$dK&q09>>a8QmEm8$N=#q-&^2Kt{;8X-QPi+yj~{3Ij-Ba8TOR1^xdUfz3Q zOJp?|B0;sv%aIv)qG=|L*ogW$P4ppymiZWs&UYex$1ddeLPBVrO?AB}zmH2}r0^p* zI1X>Yi(|bIAiIK8pmh(=)q%#xoEU+oeAh6p;wCCm6v3JdyVRQXn6DF%QIM4l`HGXa z)INh={u-Co26?Qd$g$qQvmeTZ94XGiE#xEn8V`&O%BGaOkD30R?=@o3f3H!aq-JGI z?hw4Z1`6&Ns-Wi~u_HQk;df*lA~=oFeGEsra}@_a9T05ZimZ(s@0ojKb;hKS3gJTu zto!<>*t`4}Lofy{p+eA6z%S|0D-jH^XO4JH-v3ks>b($u@`-YX13(Z0R)xx zwxMz;Mx}3KgTtx&n@UCPfv>W+H?AP!{Kg!R$u6nN4ygm~mz;~SKYY~NN<_K(Hb2-= zi08VsmbeZEv{bNcvx|L11wIr({U~nx%SG_~p;>{f9`s?<)L&786}oNxkZlF>OkOp* zBsSvr5h-!J1mpgv!aoVgUkg8#q!pMbW+iu6)_?u+4g~JeBS#oLvFUOfZYy)?&WVo@ zcN8>0mgx5vlcF@vvxK|Ka0an4@HH-e>Z_A0H(@%0BzewGPA%!=pB`W}tpFk+GQvyL z@OyK25T$JVli?PB&Jx_Ig4V=`Zbp0}`Sc(en0?jbne~+hGq@>hNo5!i{SmBmMzyn2 zfY^shKj9z7KQ089-gsmG%RR^XBLI`U%?)OZ2Qo-d==`Sda$us5L!f}j7(c&XuOnW! zB{r2+T_e%g{9ut${9g?RX+Sh53~p0n9hekEiaw78O-6qGNqBsL*=JqheV6nLt~<-< zBA5~n{`!9;T?Io_UDur=28JA@W9aTK89Jp!5u_vqN$DE8k(L$^5NQ+vrG`dYkP?AG zQd+wC=6Sy#aPOUa=bW?mUVE*zVNct1rT*|kpRgz-{`ZJZ7Sxm``4i7;Dxk>2c|}tq z&7sqKmwz(w!d1O5;Z&fBzjVUa3DPJrj~-wE_k>sjlS`1KSo;^ZD7!pSYvqSG=k@K2 z;=+IiszIvP`cyRa0S8;djWd*ogdq2wUuTvoMZz6WA=v9<_y%0CjJJJBRDgwc1@9s* zNt#DmmMV_Yr|ci7vPYF91Kt5|mqpZ;XmIv65ePnR_S*a{BpqS%Y2Oc}KBJqaVZ5K^ zsc{znVPSve8mg24dn(5%2cx^k(;0wHmT+^b2EOUhr@xyB#NR`S_FZcz(mareFA-LH z5IBtNa+{51uAm}VtN2UJ@&mv$;fDp@9lHcP-=-^``QZP<9ld|j8BSOz zOL~wJWRas-5-%xWnkxLaZhbFM@jO9sUk;Y4>%x6eo5LkNhu874nV*?Ygpy%|&Kw<5 zdJ zbd2Jzqp#c+Ap1+YMbXnokemC8MZZ=D^CD_1LSi9Y*+B8QtpJ;^Jtwk28{Rh%M@dKp z&TsymP{NmGM2Ge7EL?*mDU7JJ@%yo+L7o^dt~Z`s>S^C~39jC(dv(Xox;_t~V72n2 zSyCISB0%(j~Dt&13F99~CVF;Uzf)f%9wa_Ua9CyL*_$68^-4Li?n` zqbXLP7BweOsY{k{E-*Yga6@J)h94KL^P5>R8yiSWBtY?Ev_$&fu({WgENzh349iE4 z@^HO@k|&^iROeBGPADsy{k=yJrgZA+ZD7Cjwz*u9RSl%@TjrG3niREOireES?~^|& z8ILs899or_657_(h2EYIjKf_sp9h{N9=ks>s1QO?0u<0Lfb7qN)go4_`G@j4KQa^_ zTAk`MGCj_!`bIZjsnL7bM)|ydzrN6*e|S&2mi{u(U!K$DYqF`?F>htW={yH{fqhvh z8!BR228d>m6d?<_byG}s1Pbmt>~s|OcU;>!vw>lvIIAjlI~c`R3z~{Mn~^mgHsN$W z?!ismD-|Wj0CnsUmO@C=(2`Oe{z}^79rvA3#$&Us__sDrivWWzZIL^~?k#!F{D~LYA`w%hiB?H@-+q7Jl*@hg?W>4 z_X)ZJVWe?mh0*U{$uL91&--Pm-kVw9Pb`;6f>Z@GD0l0R?faMm; z(nzPbCDim@ziaPXylRQ{lhEW(IoV1&;bN~ZY-8KFnAer0jkNc&#l9LBXT>s5nn(t( zx+xkt#EZN7A$eEJEJ~QB!Fm^wC@*qE1soMFI2;C1}Fh8B4?5 zdntbrqtK(L*6NqueR`o8A$+Kk^}j>Kfh!aUk~UOhLRxvp6#BNIwB#4 zUrKPcLOVQ*r?bd)yHJ2Pfv|Ry(8woCCue-v2BnMCH@D6KVm~3+6_RH)^f6CyO=2T) zg3gV^H}dM;a~%;K2|2azj!QDEA4XzvDB*Bq;U?ud-0e#i}ex6UfNJh!Fzzn~X zy(k4>HNy`~w!|WuF#04Z{L`tc+13x67p!TBrl;=d?&Q=Ph@L1#oZ{~o^3L4P8A33D zXX#0$()fLNS7wfvqs!s8KnfHQN$fV2XV?;!)@u*BFJuLQrAx3Isl&I?%*&h-ApfXv zL&z&-<&QT;FG)1t7{>C>+!jau;frgv{zG9L_Vi{{JD#(!M*_`6@P2Ad+VFyc5&+mv zj_xK%tr>lGXO?0Hi|E34xfMTALM1cvEGH)$i`Z_W3n`Fu&+X8^q*sP}+3;s6n8O@l zfJJ?V`S`FKDhRbuycvjsSa`$Vd8t=2nv?xOTN+X+NvCV z)pP@4JCg)Ie((!(k9@1)T~C_e`ch?aZ>GPANy)!*{N|94V$W1iC_16Tp@YqR)14!t zi{`*eiSnYM>kbYYiGSOtf3;GXN1W0UgP#$3Bx^ljJ!Ilh{nC+7(h?^Kih$5@fv+M` zkTeF1s#25GYYYo~aKxR#nV6vOK9!15!y9p$@fmDUW^kzfHpsU*<9*vVe3o{bR3P1X za>!FD1*?9cD|M7FB(j?$meD=UvXc$nNDm0~GZFo@@HnlX4np{e9n?GAa2Ek!Af6zs z?Te8FvB_cC$1HQpFu5=Eqmr0tCB7hEPRDIdR(AVcXy^eqYDoVakletWX?DOH}s zy1Yz$v^9n9PZpA7y90c7+fm$y%Ljcm3+}x&`SuF*`#HO*FeZZkJ45d>Iry%Oe_1xS z`xzg)E2h@Ck!i}fHBz#N63ol+&alUwDBG;!8t+#$!^GOoRdb-a0vCDy3Ao>L@ z($eVw#rb9zNccKVUI1G44m#lqO(Dss8u1uzdd&8vp-yLFNz86V@4P(R9wb_0Jz3=m56# zTz{B_&e=lI`Y%J5?>_Ysn(EoYaQ16md>^j3oGO9?JFph=B3#uV z*<3si%oeYmC3pP@svuCEw>ZN*xg{7x*09O-@jaU6`2_-f!2-za&g*3|d?j;u-c+{< ze!0Z_hjf;XxJ)89C3`OYDJvwMFU)svgKP4sy5K~#GevH^!LQ&Q8SB@RH8Yh&B!HO2 z(BP$^O91O?T9udkgBteH|3%;G`ryd7ivHEs?u6=8AH+|bb4m28YW}M8_~gLktVUdf z@y>#u-Ji7=#b7-pe)X;ZQta^S;~VB#5&jPp;=(`cQdzz9CJXNn`XUqOy2GPsr2mol z)$%troxO(RuX%f(2U{<<8l%Gbxi@*h5`~;26Y^cV0LE7q&jPK6JTsPB_^`Oys-F3n z7>8m@XxXy?G1)DZl;*(xt{aE>GK8|dw!l|l1IcUUFt+g3AH2X{@<0s8ovdYU>~Y#( z;^QIBx%N#cRkS3D`ai&h1Ykd+&KzqFtEq^^6wL-1jN-48a0_o0d?2Rzb^6=0aBcN#m0EkCNg_EPne<$iu1qZARe zPnLVo{L|DUNX2I4oA=!zlcT&iSv2*>a@Ob;KeQYE;V!^P4!H9Q#}ZOM_LgYukFkIJ zW4yvTGG(L>0ktxxrMPpRtjmj$16h6Ng9E!W9Y9=*WnS5W^qtJ;KA@JPwv*$ZYjGO{vVIO+ z8wk=6i`t`P0;-nGM!o8cLw4D)qqaGSzPbcU{#2}JZEcDg%@N`&bFUs{o*!GCGC|T7O*F&ng{>BLsRAf zj_Nb@6dMaEb|#T{J6soYPChoHZL%b_XWmWp4_CxqBt^fYzGVMa5j}wy_!RI`ztS!n z@4L16eMpo}r&;zYc>id@Pr`)3CC&3>I8tl7;&5yJdE&{l`$G7f0tR^UR!P(Woy6%F zi$R2Z(r?8^krXmAxSY$`K)+m(U?Kb=s=%pXBs{&4sv->UN!U~$>m-f$gjPsM%Ge7Q zh@ldf70#e5+1u6Ay5hqdT8-+KsgibkU^513xo8N%+#DO^=LYzb4~Uv>jf zbadg;)q8$Ob!Sf1i_4SKr5~_Q(L^tfu&cWkUN|}48-dP3@QY@HZ+UPx=WNwczvz0@ zrAUo5St=9wu?F2>`A>DrL=Rx^H$u$#Spswq{FsrW+r&qG5`|E76R9B+Wqlu@k=8}V z?2Cu)g%aw*-0if`BP=Z9)0>NyVzdl6s}5cp%LkRq7RO0h?E0e)Dn+zJvQAO~|o>{n#D+bYSwbvjTQv?9QD-Ws z!H=*wI=2G2PXlvr6CUD^qIGX&KLrKD7l-RZ6N0rCEOLqcnEHkv<#6O_WK$h|BJxJW zUIbC(cR#U!T9Iq~w$_SM;@jE${B{Rin6;tb^E>J3BtRwZo86Qyxx510heS>%*M8Bi znuNqahE$9AnbWL%5l!BH=G`k1MEr6WuIIHYBkIY?<;b0`5*F3`mw$Ln5Af(6_4o|C zC%Dk(OkP9NS|5Lm4T+ z5A3JeV8TDt&>O>Z&1&AnBdrW!HSQoSDvhE8osfV=9zVx-KLAkP`e-UUT<#pBxV?)b zg2%x_e$2bvdPm$^*({7ew9?7ob5Nb;Z^?)q!W!ac9 z)ab?U+R$sW2{@2r@fS17Iz%1CcB2lGvJC^2zk0b_wlaJSs24l6GnS|&lO515DERfO zyc1`X0-XxOsSeqJT`New(w@ zra&ju<=y4R?69f@)TvkZ`*8ig*Fn~eyzXW#qI9FB2x{GI!zZ=eOSccAh)KHL|GoZr zK~~jP9jd@;!ruyhQwKpH40PGP;{6DOpdzvX9=IX>yB(J|fG2J~RbK-)!S;k@U;d4K zosrj#{HG|i*3l*+ctzl2)WlcneMz7Ke1l1 zR#6#Dus^PUVJrC|m|`+wiFOO#+l?ZfD65Ou1^6pBVoZ$jV7Q5tjfBu3zm%5@z-=(z;2^}SiK$)5rqrV4oR z@yxmo#(hUGUcJq6Cy$y+{;`Ot0aW(Mn;2v)`E#oNVoz&Wh2X;yksrTSdO_{ZxIQ20 z-OnPW3%C@a5|xJ|NfK)G?5)M>~w^ z$sk&3dhPHJHk)O)hd4%$|L-IXd5UUu07-pVCdE(<;{l12b7FR?4moj2jn=nhs~zn` zd=HbX*d!Hipwf}JoP}(lyhsaRNGYoir7CHR@wDm2#&dX{I*XI4A2#7aRa50mY+-|+ zr;wEGMyip0B%B$W{K#^;*sY%gwYXY)uD#MPG=3tra{>1(?P1?y_$z$Hct!M9Mv}0p zNKQ>X;Hy$O)uFO=!Dzyf$eA(7F^S?Z0T6Zz29AU&0ZMr#TZ)r!DPCvI(hV)1pc<)J zf5}Ta9zPKIA=Bej4)}Dz1I~KKDHpe$U9Zl?xdZ<`rx^&_yVga$sNZ=4`YD_?UjHlb zvwtB}wlserm_SK>Vn*@ALxp1dw>Ur|OS?LmMMm?782S6^oGiail72^Nh1Q!Na)^I! zc|hUsEEHRgUmeViPvy1&^I;KrmxtJ=)xiZS5@+HgStm?f$Jd(Inw)_?GVHPwp<0Gw zNvWxoAKyio;2-nik}j>QHa3Tn74?=el1(!=i#}~T-i`pkteMfaRI_%RIA6wwyX!O@s!o8E|igkEB<)m+}2{r7a=u7tp0qd#hiH!&~pDR)HK3nDQ5bw`qs~;{wnDD>$M~oreysU5EPnxA|ZbG+wm4kEC1+YdYDi785ZY% zjbQZOlOd@;(#vvXO9YnE(;cHiO|eyU#C0G3Wbb>7*&tY3!% zT!uFUKYaHe{Fqc}Nw6#VEf{O0P!Uqn1Bmo7oYKqH)2NxKsEq#u8zAlVAx!2!Ox@|2;BEsU`9nwTPWG=3Zdu= z?TWrI;KqV7b2NjFAE!~O7a>Ff#4oV8WFfqR-0Ef4zc?=CSSAebVKqVw^eRGD=#B-j zs&7xwlnMDrdNK+woFc&t<1Z-UGMHC6)bm`ng?Vj|V3L+q=hF+nEtf(OCOw^P^UTW zBaq2gwR=Kn)~N{}vsnhP7O@CUvMd2Mdaue@bK-|T!G~cl_oWbk=)X<;39i^2IDl~3 z0Lz#pa#*M&QmL?=stIky;m<9^7nf8Te+DCT(qQloz-T1NqCF}2l-B7=v~uVYxpz&5KfWFmZ(VbQc4|C=E6A?f7}S}gOHILW8=Lr8hpI4lPi*qq@}S?m=q5mVW4le4GAqJb-r}JN zwS_#9bv-l>2kE^Nz|?vVz-|LS4#-2J#VqaJg`2vHzK z&i^hR{H_YQM4-+Kp@)3;bTFZ9QT)CR2{{ZTD9OK31WWII`O-Ytz^VXsJn*{>-R2QaWimu_2$voK3IpaYzdNB(P$*kX@{lbuGYXkV(TL zb5Q{wu~-cO2s342mjsc&*E^~x@dMAlIS zxF&}I0~ixcK+1TENb>bjzx9RmA3&t^KXaRivYkoo(7O4K1aKgC!njxn4{5&dTKqaO zla-o@fwn>%40hdkz zDit{v@?Sj|KQAoLm7&YMI^UE%Pviw^T#id`ZSZI!VEwGdinl5v|YLBt8JnZ-vMo8h`~naM@jykDQS=w#iw#pQxbQe z#|w>EDyMo68z~Ik9pJ|zQa7IL@$d<=93>a{tmL|!kY%PqJe#L@Lt~htM5vi-Ds7fd zLxDQ%i2))Hy-(s9)JJ#p9iKQX*8#|HHWw(9L%AZE=OT*HiNC%cII=~?9ysZIHxCV2 zl{!B)w{R5ojPdhI>Cm4V>;PBVuisHH@cR-IOpKlX|#7FNSTfPvKgaY4iC^`S% zA(df`T{*R$&zUN%q?wkf(GvsP{%a2ZohPTghgf75`J+1kxsBJLbE@|wB{6nB_VOPg z2rb4(|2=sHT=K(G&{8+Sn#Yt%Tl$Y)MnlLF(cv10S@={!z5I)@x|fui=#o+n;^+C> z)vs@xOcBzfst6#p-)D*8&+ur8;OZce0Tx9G zXnU{k`{hC0bF~@v$v6IuYi!|x6_uavuKwLj<2%;NKO?PyxFbV2kOLlt6e(*^#1eGk z0AHWh*BLj|vq5N5|KajCk9@1;!|iBbs<0!=$YRW*Ny8XwI))%U!SFFH#aEQhyL&<) zF4SsoRviKCUM9q%T)Vl&Lv{X_7oMakf)l_!=@d%$F8`xMNaomhepaHX4k*Q23q~kP z8k{F0^#=4$VWRk4xp7?cz2UeObr7UGk~Z?G5Sfh)Ao+nCg!HQg zK5};;D6MoN$gF2+{fND0zSQE;`f4dkX8EUpcp+?17>q-%1d570^2WVc*zW7r!y52g zXZw0Jycpg8;{ko7_g@jjMK-$c-WwauADa=}7F_#A6y?ZpLMGOcSDY|TloxsK@?Pcy zj1vk+lX3$0!}Uzh7}Y z8~teFObpSIU|W)%5ZnA#cex(OPtZXruN<8DB&UJt&Ggvrn_(&-R}ZCL3&6(D70o6X5>D1+&dH(WD`j*eRQEY7^s3bZK^<3?88guP+ z`<)C;IaQ=%{EOe}h=cnr09)L@Q9z#OC%2S{E`KJwOjXp5nTd5+|U|>NFMdOXWb(qLa z0*Y>_zr_o$B4VrDo5j}=xXskt8j#pENGeVCazIJsdazCILs8mx!Wj_f$7dW+V<70n z5{@pBCPO}C5&Totksprspox1qXN$^x3$(LNVk}b5R%VPf-o=6Jz@92_%2_{r7T^5B zg%s1miG+j(kz&Gpp~g=(uL2{Q7dD?=(lkA)DST3@hNJ1j(tI9RgKeo5X%Md(4F&8;FkkR zP4b}@W$o}jFitArKeGScAL10`fRID#M;LnZd14FVR{fEu!@}wHwDv^EGK=e{3u|_a z(z`yn8{XcJp*pz1Rz#jS#^sbkflaG=SSi4ZXIb(^viah~l^^ATMFlW4avRcF&~Y8qv#DLJVl?D(ZNIZ)|~bCDq6MhL)5oCnP29dz0U0;kn_pmZ)vMQQG}?&U zEIaz=xX~{!)ZCFtH-%55_$cy_jSg^%g#1bUMOiD$P8x^qVgslT9Sq<3BPFBdjkuVo zbma>X0rJ|0Mr@g!5EZ40ywV7VW{^G8vWW=MP6?X|>y8d%VAT0e%4*f!GLn*D?{9kF zi^>5E$;SVcihY=cf06p40T;O5`3CT>Iwa!$G%rSC#x7uCR^pD*c1NeV%5oY{OG#Ap zE3W~)qHoWCG`n%gA*5_0m(-BD+$v&jzo!mgKl>huYPHYowlVUVY|e2{mbnXqxoInD zropz9RF)3}js`W!TQhP<(_;Nr6uP%Z?=NsCS>|QSLcA9EsPYbdJ>#24wJyr0I0wne z2BPu!3gO8|B#nZw;OC5@@ia)4<=uB9Zk(FC-^3C$32643xwi@dDV_{Hw2imd5rEX! zs|7zVae%wn!SJ*ikNF52YYe$U|y^evZQunPle z1_Dcl#BL|6$9rCL3dD7WRW0f%?--b;xCNk^D+-G`6)XC&6o<-yJAh>EPBSCN1{(W0 z&lCZQi}?GxR42JqpcAb7*Q3`(l7H7pTxlaIdeL7zri#9kya&O-@%OU`eeNRCwpHNp zW+dF{WZ1pAdI^qx6@oyWKKpAcaDTyqwTddO{6K$l*IcAdPTC19KKw@qn&Yk|K7542 z!`t%uTyA$OOuIobS@^1WFIbR^cw&*0WP3+B>M!U4en96Cs+~@QR~3<}>K4YohtRxd zLlyiP119U?wLCXY!>!4<>PON0{SAZ^s*gAq^d6f=Fsod)S(YFrOFw<^o%F#v7MOZb zp_jPo?`HMgt3Gr+S_wYr_aKX}YW}HS$?;95Ejc_&`nQ0H)n;*b%)dNt4N!0sjqVoN zs!_sdvil`<*Wa%_q$?!RStBw(gOB@I?d`kL4V&kDW)Abi!*^W~)N$-2rt^VVx8*Ok z<)qyY$=LBCAG4-B*FOkP3wqg2K1iB()gQ6`*uh3$d%$M{$ThMu5H$%V<>x8`j(UU* zDlUR&MYCvY{?ZC_MjLH= zt_4?D+06io8j>$DblmU5kXP@pAuwdH9OpCIvh$~jI_F!HX=obOl z0nka}XDzw2b?3iW)WVMy7<^T%!y$yraM9-&y1-@sdUbD{^Ilw~oXI+hV`%R{U z%RuWm=Kg6G@Yxtt7E=K=Sc(_cr4OHqOpR!1bkis!v3V*kN*wKqw;fLyY0KDx?eN7A znDqvalzwA^xYq|G0CKEd;k#EH0DL$oB^-ap>Fz3Nb?`fN+NkxaFzsKZ0j?o4zh(+n zwPSgGfM~0gQvuLSGR6Malaq;n^4(D6gP(o&US!B1^v-glpADon5q)CQecQWcEusQm!yQ!ss5j>^=dLVAM-+mcz0jERf_d;FIRUGf2v#cil!krlSeDc z-CBh!#)P3RJuLg-V~dvz^Jjr!Q*MOBA4?3Ln1VqKivtAtKdpF&w8%$XoaZ|E=*|u5 zKJt@=_==!$=sShbc%*T^{%BE{GDs$3mfz~ZSo6jcYAR_nPoHMjSm3Iv9RaH*wI?cQ z*~EcNZ12maC3h_E5fJJ$z@8Gy1gcN$C6bOMVtiHwJP;y7x>}-Jp0#O6qDL?YO?@TLNSV&H+m!hn1*Niy7~F_vSfJ|f(i|<)%Q%4EVt7_ObTkpfmQ^q!6P0&+zL9?MaB4KWqU7gy`@-_t`eRD&2p@a zZ_ZyW0$Jwb1OUg_C}N+1K^+Yc&q^vTfaIzk8a?@7!v(v=ox7e0#ZOhj0qbYPd zom%9QkKB2Y1s4Z@8?*9^H1fYXz{XnrHO3M{A1{bo-fM{@R&NZgX5I(2*9od}z1@1k zofX6~J5oJ-^~S7%5UV8Oabg!?`b&(DwV_mg+iUR%orktDjxb@{U@I%F{`#HAc{3*< zs&IdU5j^?)yy`u?X4LXc)$upSd9orlh?|hrIqswmJ6n0Kb=SM5!Edig=JI`tpj_Mx zQ^-VPnou1zF$U!pr`zKwo7DDULpxKcy*X~Szeu0DY)Wld^w#^D@|2Zs_EZ)MNOtXT zG@eeim;Jo9B3Zm4t=G!JtIw!_b;5gUvtv{ryodbCd5sZ`=>1Kz&IO+W+P;Z*YEWUy zxYWH0ESfEA3R6lJQ~$-(pZ&d#Dqi&yA)Ez$he~6}P#{Gc7HrvCHIuI80E#S`k(VSM z8r&hPA~~<6?)*G}`UBvuWXs@yirHUQslZ{$l;nQa90tWF|9G{0^zV=W zQFW(iMYR)uRscP?FGlfLqw>!iZT6Z8K!~W2*G>LqouAHiS+!uX!EDck}1z#GFxIS_K*0n4i*r|zJQRFYh6E12bb5i#IV7p`i!dQGI zmw!ME?gA?&t26VF9Z&*%t5P?P{~(Lvjct^5)^Mlk{Ti{}NtEPb*nZSk+uv4>)z)R4H#G;5@2{MN=5=5-E1Dk6JJ5fQg){qGvKvSBQ;fQd7 z(`5we<`BtCUn5qk&V9O$J1Gc>bzUYf3MNB{hG7RIOh*BTxEGsJa_`p46>H_Qj?6Ke zV0MNFtoST5H%LviH9##a{Bw6Q9^W$Zsu1At3vg2l!76!*41E|d+{H;f%CB4RqJXW$ z`Uba1z$<@sfZMeWU?4;W{Q=7N4(hECS<`$ra#^WZS?Vg zP23RJs|JcK$*kna(O>tY0df0pAOOP8A2fvYjXc6;wb==qAb={XBW+~um*>Ltzdi<0 zTsmLX$5&T`r>P3#r5PLJAL)r8_C9SEoIZm!F@AWG(fKy@s|6o@ly}w`+jtre=Tp1? zidgVC`UvvO2KKvh=un81VIld%tu*_o*}OZCDaDkUPYR%uTqq#CE4VXw9}G;ymPORV z;s+dRtXe045r|_e1n}39A1f$OP$@bIabPS8&&3-ouDKoID^G~>GLQ4dW#L_r0h^3N z70A#V?%0=ks{2w9f**IXg4QKJA_2+uA}GB~uLBmURV>I@WadhzOTxwYk$5EzSrxxZ4sbyOQqm@P6CMLcEgX~hPoh)ws7{AJ0Qc>$L&Rl4t8PB7b z0*b~EU1~XsN;|DPRK1}7tO_W^n$JNX-E&$&wI)bk!*?3rIPc~Dtb&3HrWq?wa#}x@ z1g#Cq(y(tJEZa#DMR>qn!P;)|o88W!uR+__$*<^z3unp$w{WdgXNXaQ z8duEywAe}6t2QfUl=N^y`tal+*FuTVcKDwltu)?wLyO3Ks5y5)T~f4v=E zkK(_tf5|>3V|VzwPy{^wMikfIqZtM=q`%R)i~;~}Wr0_ra3KAcRcNm6jmt6TTP>e+2*rYwusNM$ zceK%EfVomsuWL6EYwuh(>}GR2ZUtm0zUeL-lLrSuP7WYKOC-A=nc#8zP}1I6n>|`D z;ww{&4qCGI=KXQ}h_tu|@<#o+bH#UWK}j#5F4GI?uwvcFxe?yM8wWY$&@vB}M9hzi z!Z50AYx>vnWEi-+ryL&OA7RN0f|SWeX15VT=-KYQ#Izfd-?`LrGI0;>w2DL0Ji~%* z{tQ}B)6KCfT+jbm=be<=m@V_iPJL-wA}G<+#hTC`oskXPT9Tp5bRhsWx3}$og`Vy; z6)-Gad!{t1zVbOjP*fOPWXXjh9FYzAgM0Sso1gI2R7RHsY}rU zZV+VZ)3rz5Yz=qvIP53XZgMtn2;Dt9?=k^<>3~~oaB%Lac36}F8#mymSjd*`-Dtj! z_smKe0x+Ca(AX&c@hwA(fK3iqo;UsuFSrrq5#-TK=1d94R?l3Got)^Oe6N^9`CZGH zgB~fS1ma~L!^@euBy9pUZz<)g@iokZR(Mn?Hp(H0S5t{xJvaXI{*|^bP0ob>O2`%2 zGCGtzrIiCz3^mA7l(Q$u9Fb#Garu^2f063?_K9?H}_`UyM%5PCQ4(xpEp>^kGdRy5dxsWD_dpV1_!gGYEzHAb4U z2Y&e&+vJgog68I^!>nU~GsF{}IsJPZO{U1i@&M(A@VVt&JePcG3G~nIunkzF5abJX zLZ}rQFD~6Oo2}=XXcnfnGF@g$Pd_V8b={5H%s!5RWM|NLpwYpc$WC^^WR!O5;N6YI zeSkUM=RbyzWa>SN=Q07VfzHjG(I4_tBF1`yUCN546QTwpe7ZF0h$SF^ZF zn|X=vB?_xv&Yd?df`h+WL&BY*Bp*8IWR(W762fO$J_*oe}I)lNOYJ+{--$ASu_ z>6hIE+juun7+LSwSd-{Sf4H0qc!)5S5}}GpqLsYUWu_*IThx@swm7cM^sT~s19UkuUmas3Ihvk_T5w1C#C$^EJM=4=X z^AN_*+qpovu1+caDcyaq`$24?YbGYi*lo_nQ-yR6y~d}`Lv@uJz6(in+-Y2~)=}F7 zh7tsO%Bs>8>8AYH^vK+|p9{OG8tRB$qZ}}t5u`XKeLb^j=fDVP7QJr$`dBdiV_Gzr z{wIUnT@~zU=hN)Vg{QiQ(gYmJ`-&PN`ky5?G2D?-s4og@X z94Bg4&J-(8@9S1uCBr%><2(ZkCjG!_r?I!tFlJ{`Ez2 zPCZDoR0IGmNOD>QJ~>lFML!`b5{Z!c{u1P3A@I)li71w{qjaX28*bLFU>5k>07D(t zjTKi5QtGzk^!?;6tN3cD$Bgz+MqSL+fLrp_L4)DtHm}Mw&Au$Ug%Tx!kq|-3G20B~ z>puW&o8cX##S6u)y2uym-V^)!e4H9{FH~#)%K4N97>27As0`%U;Q{*yP(DnlE~w+a z(sXq(ciJQdV&(a+ewy3A1ugY58#lhU5aLQe5iQ$;zAu(`mY{$mA(K*4)~dCnq+=j` zbfmZJaKH4*nl?-+D1JF!>X6ldMnI4NqKEBJDsZ}BE@CteV;~ykgcxx!^~L1|0KTma z<6*;GozrPNF%>WO{H>+r&LWZ<@gT6{=tL6-!q2wbqW8&n9iCl;+LtKq7do#C&0|FA zMn4wJxN0-+Xr1QBAix0!)oVlqjMQX=_p^YTToFWe#Njv#tklG&VfvBG=O0dxb@?}k z2O7&!+ufX_%_QI;wx-3HqB?BjtL(Cqo6PjSq5JDhrAsO0lL#iHTCZBfRk|eJRK%N%WF{98;6vSJ$GQxaW_)>g#6Z8olrSrxGt* zLu&^v^-=%0qBPW0=?7TQjIa*tAy1Hx^U5anzP&yVCv{CMp~k9IEdN|Qn|J}x;LbiP zaiM&%Mfsch&L2;tLs0h3521o}P5;khfF%3lmYEf&DQRoFxf|JOA+OQ3BnFZ>zl!=z z1nVQ12Keq~nq5Z6N#g4(;^Zrlu#@@4rU@@Z?;BHk-Kto#rJDO(=rTD187-Fw)sStt z;NtYN0rkEN(MHYGL1J@mlwqVm^KSue5-vCVTz$ojTLgEs1VLm3!qjC&eZ7s`&>fc7cGzfiqsU)gD9DLr7fG1~v|nqC#|M*55| zAOChzBX(arj~9Nhe{`w{+3s$B{|3KIJi`m% zSp6K!asLlPd>Q`?{N7RYm+K^=5z?S3G|&}tZ6s=LSE!5(grw8^A2#^ZJ2{Om=wRhC zHB|Z(%|h<*(q0gRYXwp3UMv0mP!TW!$Bt-A0KBgf|L~u$8o`v-b9Ri>zml- zxjjK|UvYX4p^G0H%Mif>Jw5t90&=3BWzQU7;&EK3-^OMrpQH_qmpJd|3_`U+I-T?% zJD1XGRfoqKX=r`}@rg$6v3Sp!r=ZHy3hE|fDd5k~%J~jHG!{^l9(AwTa~xQ3j&Q49 zO-Yk*%?B6;zK)C_PldwjvFa%DD7*<54g`htb!H=ERfs#zZ$kJ> zf=>Cc-U^nw{?)SNj~+ybgb`m~Wx2ZkGvJdRq{wK5sNQ*6;@l3mF)wO#=?L|)dbnz5 z^#l+RYpF?vb#(oYTN7el{fA9JMSY&ne9Ep9^+!mpQg&$ z{xbP8gD8McJRT^6dbPv8r!`Fz;OWqkwZ=cwGZSjgdWHn_o;rX|1VL~M;efeV7Lr*4omlBd;gz<}| z6uohpZghx>1F;U=a8cB=VNuo7d?5}7HY5j&SQt&*0mR+hp9OuvhR*43V{MiM3YRLF zbzl|tmyJN3E)zVLuE+3iF@iLyGU~FtGN!0&wj@otoT%pGIh;CBz#O(>lA^rNgM-jk|Gg=^%-X9O0 zlSB-ge9YByCyw*4Zrlk1GcU#k}m;oEJ~FP2`wz7P|q%Sak)-Z@^IYB-r~<@0#bG?{KFkX6mLO5*gGx2 zrSU^vin$yp=Ob_NZtupL*0L7SfYtqc_AIx4W{Rol;xw?InLQf2C($WB?p9H@H(G$f z(+atd>vC>bM)2w{zEZ}#2qI(3BhO|1g%h00Vnx4+c5OVE^B?xAZbG1>;De=M!U%K6 zH321_xgL#Ep7{fktQf_Wh|e3RZtCwdvLt;zeg|{#DY6&vrm0C#*LxJWm%x6IKoY>J z&g>IFUJeoK$3ui6$4Bn>(xi_4a^^lb9Q7aP1(y>8$+z4<`0j#G zz5ZnXhNbe4pjK2C5$@Bg)#}2j#%r(cI3Nm*pn-+`50OzzqlTlxR`U9={%cY^zqgX)sCV)5n;*5&#_%!Nb1O59{fr4Rm?sa4bp$PefcvSP0|37v zHr!T5z;PZNJItKZ(bngKa*o)}i)4yV`@mt62OO&)W1HhRzyK$ZW7rD9#*0z8M6Ns> zl~H%hFg%D4mS*)~2BeMf0j!YZ#m{>QT z`r)BTClT(hyz$&AZf7<@Zxi*;7}DKPb)bDkdNR(8-xHGsghBQo3kfy%t?TU$!{@c1 zIk6i6Er&qEPhEmTR)KCGm`1cEEB4B8G%%mY$@)4V35ErO&So%DzNwJoJ(sI7qYUhC zHJmiLG)obCVk(hKRb2HW2rKd2jC`Rao{>2x{Kqg80dDsgj}8?Q%rvIRwFySF1`Z0_6?6woh# zq7)zelBmfZGM&FiTPFbTKmC)lu4)yQ{1|LZZ@ShDiM2$}o8P(N7j<{VZVMk@VOdy1 zld<$O=iE7*XMnsrc5{xq*BLwH?L2?gkLal41D;_rnUvBg_DD~U?`OPvCmUXy6)aqs zK+?K zNZPd8lt#jxEwFq&`Ng6yxbc9AXH}*6WKs%n1e+HDC2_}pBor&lfM-6nDIRT~^?MS1 zIv9vvK-1}Y7H$i?R##;9qd;=`+E}>J_jS1GmK9H0;s(x<+4LPRaKx`uKHBgH74T^` zF88+kPuf=ug0rz-=!=IF^?xx+t7#NJqJSgT%7TA{KG+b)Wm0&{vY>G@_UG!2Fhb=G zZT+$=3?K7CpV&8v5u<7KojevU0v*}I3Uh)A>UTM>EMiSfrK4QGv}FUB4;UX%PMD=d z9eo`Y@!v)m8QKctitZ-?GrV}^#Apsxw@o_Y>N(}9*7Gp)BMCx$f8YObir@Ktc=FB~ zsklw^n`I?90@&UF=;gU)tRs`HE|#g+K{f@Akw3wb4-Oo8J3=@*hVv)4P|xD?XBf#| zblqpa4Lh3laBk;qM7Ff)JJkn&gp+x#YWds0x3|K>ng^1AFyhL(B6mE%$YE0QkGluw zbjyT>Q;#J)mvOq_$wy%vhfH@Y|Bo%N#6y?Mu7n_B#mL zIhy|23LN+n8PRHdn?2k4P+iCBv(|j=eKsI<2Vo&~jRQ0!g9`OkD}}wCySrnPCm-(r z!03i$&4$=LD#qiwVzNU2A4z8&6xG{D@w>|sOLup7OUKe7DIg)zB`qZ(u%v)=Np~tJ zN~zQm(j_V_jdV%(d;PtCI^$es?>x`$H_rJS3Uts<7j&?>_Okz!fyAmvP(!Z%8WS@3 zs=7|fFkXZI@NaWbAC}<+#@}Xb(DbPJogJ_f(mB)w+=Rhy3}{R&-UBVRTM`%#d~6R! zzc}Y#b=@6bLc9s%^q8$!Q~n7V1G*F%Rm{}+w!VQ9CIdt$GcxT9ny&Cq!I=&$z1)mt z9&c;WofOE=mz`7to86FEOi05Aq}$WR*$_fht|2rl=3}~YMN>ierb$VY_wXW{M+i@s zcHG$z?KaiN{`-ESSA{{D99SUC$K{;=_@dpMfzgv`-vmaN=>Aihk!w>la)~0p#kW*q-qX|Z}HMP%J-_n%UXKuWtuUdL?JuL_noZB$Q4 zdnqG*j120hC+2W}OqxjF+8j^|31g+-KO6Y-z{ZQ`p;kkMj6x~vE81YX3H6R&ZeY(a z1+P5AiBz*vUr`+>{-sdvOLvP)w!-XP;;4jg0Gaz77=G_?d!6sC1n@#O*9fd5%2Mf< zcUMIXRs)xRazJKDAlPXY_N#De(J#|k29u*&S$_;Qv@uvOde&1?!Z2`tWBe;3F>c>W z_Lr%jWYI!)l&Co+ZunkO8{p}})I}AsxR3hgqD1%)#Q#9< zzXs|^q>aQvBfu~mxoL7rwd8n1A9o|FKh&5jk7_m$i)wBul1?6zY zz9C2rxd4>6=06(7f&6_JGHmD9Tw}??&J!WdRDb)ut@I#w(4&{@n%O1>TB@jw__s-D zD-E*~t6lrM`5EHA1#0&)_H)75bNG^)tPgqCv-igNwzGOgo(KX4Uq`Qp=IWJkK`{qPekPC-HJIU!KtaBXK2< z#L12$AxUws0#uR4fgVyBkzRE#lxpM?eS++Kqt`3UOi^tbv>}g!gc*nZPnQY<`HV104!Q+m#gc$WdLiVUZ zt2~lm<5wI|r>gOq5(2L9_`nwLeHw@b9V%I?sSs;v{>LmGB>tEK%J}#@q@CAlC-*u2 z&a*)8ktd;e-(vhp=T}q1iLu`ETZt0Ng7c$x9Z>&`d3d`7OkUVs#{!RcRzI_$z_Q9z zLdpCkF;~T@2iImSuxAYO_d{yY3JaJpqkQqhE<2SUZJsey6jd~BtgatKTcDM`-f8nk z`B$PMG2QC_GyD+1##z^Mfie8#*oduQgH0TWg};%jorT2#6~{+|raCLQIT+IckMt8) zvN~xLJc<+T?|m;FPQ||!MPxKFGxF(j&*>Y#!BpeEtUPLFQRKCuK>-7>!!NOlS<*MQ z0d@)?V&lk=ki>uFVF+W6@{8MSaX+2n{Lda`omQ5mm@X|(2KJ{^+Zp(y z5_*F@`R~Uj6xVnuuq3OiO`^zt8)42~u(Pw%j!oe5EiG+GvBYSz zfRG1Mh**)~dqh+j`3Av3jNv1a)74KQIuf=>{K&~5-3b>r_h)J(M>k0I_azP5%e_Cu zDM698=i8`AmTSZkL390hS2gdzB1E|%* zA}CCzNu>Npw;icIe5}+g!DElYicchT(ETJyRgSxO5wsCcS@ML<{D~v7$%g&Gli?_w!#3;#)SfJ|FpXaokoN&JSa7+x!Fa(8n%#Q$( zKasByb*hCJQkO-gCPaX%bF|tY=ydP$Kw-JifHPulp}F)sP1)zWh^d5@%AlImHFM`L zE;gyP>%888!-1Y%3gpRoqQfUYLWNOvXZdiEX|&QLPJE7~ip&GFZiNNRA+8oN7p+=9 z^+#ucEiW31-9L-GycdDhMdH}1+%sSSP7wfS0lb^^BJCKG5PTt=F?JB$bTV4;VixBQ zMBj{|QyM!94cwyym8 zC7b@U!BAX;*0G4_p7c%{L4RVkQu(;XToUnFS=1?TWi-#O(@t1Ne|3*y?8)ip!X#>cuw_qsple<{36i zG25mMyy7C^YCoC69CGZ*sVT!%vT%RO;rhx8rfj|@yQ&I#F>dGuE7_Mq13#T~4wYpX z)3RGD9dL#T`8h;XL;SrEpD{66_y@vb(qmre9a>`@Ht@6|MpT?C;)RzrzC1<*&H-6a zaW+frj`KpFjv{%Xz#CaB;no29y3^l!zDhrLsT1pa-ter8P!-A04=xPhUKE0ZJiIyX z2EC9l?X61G(@r-=)cVx?h*{xa_e*Qe5WM}7a(_h6iZNGJM)%WCnk8|`_!h8+0jXZk zkVbqUQXM6>qan48k%$9sqNF^)hSyF?$aGEzTl3mBFj2X77>&_d=1)&tq<|jS#P|Ak z5#PhGO_e6qXJ3g|(Q$s&F@DF17lP@RbbDd%WM5e)9h=ejk8h*`a%}t^UW$*(dY?v>g{?Zv9i_WW-p{fDD! z|82UYXF)C!q6s0g3L$ho7+Z>D3@v*l*a0grhMM4-h#D^^JP}&xprg|hZl)yE_y&2> zDQA;VKBu-g>4B@aD3A`InTL_YQJa!o*CEW8W*{Y`_BG|R?a%YE$%=er=6!JtFzDN3s}Xgr zW0Jncoo;_|vIpHeKY%5IuG-;UTTi}U_bC7u@vgL9_Tc-S(0~o|HE)J{ezo&VJaWcL zEGFjS3j9h8s1k6{i0B}Jag%3CJsfFrc3qTWU<}V0-aMQXu`@(aqH0V*6yj5DIeMA* znbWj$Dj2Tw(zEzW7mpf3o!BG^qeicgA~ABfhC*}oxHQe2|-^`fztBTsXm<&^c4q8v&m^3;=i=@maC&Tfg_;5HN8#I&gHlobOM>acYQUP0!E2u?Yb994lx8O}MGTcA{;f zVIlWO3J7<23Ll>pfFtXy`e&8-VSXKL1Pwl0h!GG`e>Tg8=2K6S!s1F%A z=B>Y_uTlVfW~m~4DYpqc-V}V^N*~+j{iKdodXdoqs}_Ih%4>Pf1G?IYp$g&7bBa5zvTLW2P0PO zL#QOn*+Zy|6hds5UnOc@rHIq^nibWCW^Oaq;3}gr=ITO~nz`NFP9-i0)Ry1z#i+TI zAd1lU%pL#D#%Z8srqN!8cZ{IaG)RT)A{T2-xNY?zOzMxrcVHKdTa890lxHOkP#_1U zvzKdK5si*eU2mit6fE?OU*DUaT{SZk&Pcg@viUF;=|ZvbQc~WafRwTrnL;J;lUC#Ldb76=EB*t2_S;?Yjw=sqXExg4fU4OCQCxMk1*-wX2 zvnVa45ptOE41%N1PZj2phbKcReo>Q%9CdC6T}Si!LS?ZY_(wYP0hdqon1@^evH=pg z(}h3oO{|ZH@K~?SehrOI#R%lNcu+8|6!TsE%sn}E=~)Ro3R4_OkajSY6;3qY-_H~t zeExymO3z)$>Nf8%;^&xp!D01zB4@=zQ8RbO5Qcs0uWoX5CY^T-ih+}OH=)gU>sLVW zVHdz2@EL-**Fj=HO)iYF2=&OJ4M;=u?bX86yOYr`pQM+39odH~>|d^Nf6&z@CKt>V z{n`7U5(smX0z+_sLRxSW9GD@2K0HXG0CYTB^JB$yuxe0;O9^R9yN?)mJo3>a?h%$f z>b~)Xm?JiCCzi+97aY%+N?1wQlTfz%VrVcV~q$bP>*@xPg9TI%HD21MT zGTEEb@* zq5M6=DIJaW*yj%p+B{7uxwT5Txfp@Q#C%$UKx*6H8zeo5xCaQns}T0Q-+Dr%Md0Og z-L8ma-Ukf@mK?lx&$yX`wd=SF+3OYV>UEo-1aT!TrK?%us(j^e@rNh2Oz4m%GW`xl zMC_n;CV^HO#0`JCZN&AG4^r`!INlD2#<53_8rv{%CNMmDMCgw*)Vzc2IU%B%R6;Av z{@-AecA9t_YkdrsMTo6GB_+6oRi=$xO#^J*GapiBQP>TKSajqO&9Ngo@97}ndlvGS z4SRlAN zj!;8LI}>6HTRw&IB?*eF_Hcp-Pc_n^BcCXU9oT>n9}+IHKqYZW@*cuCjYOcqyidlG z;ks_X`;Y^7F1AnKAa{4j7o+)HR-CkV@;f1(b-l=YlhA@gItadfuAUK;9{Lhgr9Fdz zT0RzB6w| zxgqW5R;%sB@BnvFsa9{fq9FBLHL(g+jHN1SQwkki zmDUng#G@y&n=ST!Q4lB^RVEv>WJfbvezGP^%*#y%wdP?hAy4w;t1aGyOLJu1NmE+Y zbZH{Ge8KX^I`M@|m+oR^OC`lKZ4}?Dd9RjHWNnZdgYpC?1N_4?({UHz)i}EjR%C5* zOrn3JizGg2LT03fE8VRAROo{EPj7h^QT-~SkO%l|UynJPX+4ZlbUf5%4iI)-A$&c| z+QtsT8hPp6y9Yn{32Q@2e{!HrWS8K}voWJn>0w&D##Qqt!~#tcw*g)K+XZDkWzktq z`3|&k>McC|{Ayo~{7z<3e(A+A&&@@2CgVvDX$Z0mxZf};GB#9MlD6m4IS{0U#6r%X znEo*`#rb$7t!6o=V*v=MLjE_-eg=Bp=VqH`RLJU81*FR1>z~*hU`f)ZBk@-%H2JWC z-kdZfi!RfPJ=jmcqUfhBgUtLE(<8FB%8}2LEe;;PCC?)Op$j&s-Ht4@?1I$7?nZY% zPnxW82uTAK?dx6GZf17Rl*Xp507X%EA3=-nJA!|*&%?*9E&&{Z-i-~xOfM`p}I)?6-h-+KpHK2w<$Mr4mAb|p)$c3LRFNQ+@;VmLFInNHgBft=Fh53=awy_T z)1=Xt(vQK5A#ANhYP9QYMscbm6^+O|Sx=xX1}+%4K_}V%j?RRoSO?X{S`y-yGxn!8 zM`y0QC+EJdA}Z$gs4Ge9YNzlw5kY^-7W#K_Q<$c7xR^$UuABns;>JDPAFEck=28BY zBWWjO^lV{6EV;j89IQs>L4DwjF9JD$rw~5jqd>-rl6#Bh=O3`9tlbsr&-XJ^<)ZdK zW#xMGW>7IDT}OfY;EOR3ym}6k_GSJ6x!9v0l^qZlfPG%JPq)c(*y=X@ zwR4FK!t`fH(k*2J_){R>qQ`#R^V@uGsv>^-c`m_zOhm7mUL<7oVOx(Y=kAl~lQprv ze%UVp&cYKw*7VfoO=ksDXBis13hwYi)WwJD&gHRR58fnGsYrM&sl==ktV0VFvwA{1 z?(bZjaLQm$v3&F@b<@04ot6kSuM}P?4bZWPTgjS2rggcD-WF#5K0p_`BjcD3$Z@_Z zk|-Y*7eO5{a!Y)}@1Nx=2JeeB$4kWP(-JJ7m{h;C4$QizsUd^}K@XcAz3Rr%0TA@N z@y^1wHsTihIZlvZW<=rRCwyrGw!niWB=DgAo(e*ofq3smz*y|2!~f+&5a3lv!Y(yT z3TqL^E@@x)SA>JhgqH_Sc4>CSoZj#y3|<3Gp$0-nc0h<1e$S2=kr-8;Zx`J=2)5{; zbNk5@OGrF^YZCr^Hk>ScQIcz&i7pF1N>}yPaSGY$=vL%=fSG%q_?`&YXxE&j0urwx zhP!<-BEj%}Il?Whv7P}~1|@MHNW{#)3p)yPHr@_Cr~NXzqfh+^-&9yJ(woxeWm6#` zqpiN&abmTmTj&?n8j>7jfs#&xoLR53KyoUWFY>zX$$0jWC7jWH6y{khyVDh4dl2m> zs$NUj=T}hDqjS;RSei$o<4(7pMS-m@_u^lpZ~eo|P?9bzzfn$eSee1$6+l+3@PVfx zzJmlvi|Kyv#Gp?1k1h1@IgnyHwMK+e?fXisfy!nyXo?^Ls+-Ucwmc1+cxd&N_RH@H zWEf`)pA*hZQ6-aZu-l62=&a{?R=nt)=(MG;Zom-uCmN{Zkr&R@Qso&y`&)QsBLX9F z$7CTy>&hu>lcZHSbFA!?SdjWY=iS2`7Nh*=swdN-jFJ6;$J6HZ`#Th8rJyENMCuB4 zt>9b3%g?UEk>BCsEQyWYeY{OFXIqOB3`=O-MW&ovVc65H`zO z(og544=1{rWWmFN1K47 z*J;=f=a{-5XdzdjA%wDckXd$!gtU{Bg#p#jV!TM35dk|74X)=O%z?CBGmq0NAiL$` zu`*=qNcdl9$)W@xl=XNvGqRJbWSA(1fmR%*-dBhPd{G}7P3r6lxE+#NN&xNzQn44t|+U$DY9*53KFN)|~kIp;_F%&B#*h^=Rj#%3;p~EOV%F>g}&<~mAYn3NS=6~l`5&-TRV$=iHhhfmr zwmEPItgH0>DM$uB4ko*?ZGuKJDwzr@HdH0F26N8v#F*>pDOP?5fRa4WG%iylk~M_N9iK3l`UqZ`_f&zSD$qK};ufc%Rllp<9J);~&Vh1mxV>>7Ck z`nSIyr3JKlXV|fJ4<1zcURn?;x%r%9sn&FXCUKDK;=x)4lK}mK>b29be;TaM3iW1X z$*2Y2yFoN5{Y@nCBRd>Mx@`7p_ToN!tWtHwk$x;H;v~lVVWJC|_VYhi0d2>$LfAf( zo??!-PlyKW^1GP|{^r$vC&A$SL2Md53hvIv;3WHsoaR<>yWKMSf9sxJh(y!BdA`yx ziY;tV_3}AWUlu0s0wV^}eWeRDB=W{#z9g~wn}j-KU_t6>Lx;8DB#o}J@F zjK_h{VzQe4VrJ(^YP=VhL`DR&AqsQF_@ue2zWmVe#y1Hv)_BVx4$EpMv$muAdqRUf zO#$}3lZ70#hg9ECTzug~k&?kIvAC%&h(qRmMN9qKu zuF&;6#h&{!-uP!SpW+3R?Bc&6qmyrSgX*YUA2t=MPT=)h7yP#S*pZ2e(MpVbj>Vn% ztAFfyu(K2vVX+tl+Jp%`;eb{-Ns*H_184U)9rw4P_fyh#zhSdEq4Qek|9CJTfrNJv zc7^c>%*2?7MU5wPnf7$_&n4jGvbey$SeylB?B*|tCA|u zCwcd&4hvq_LvaR9oT*rm`|!8M*%6~(lFQBywZ0LPWiA^!$oW`t+&shQeV(CQo*)q8 zcQ$E}vGnPN?3vXfT4b{1y@nxncKn`FR^GeP4p$iH^LuYvA}F*nTFoh5r7DDUjhJ1q zCQE|EpP0V4ap>Wj=IzVRBIXRty!IL*Wy^cUgwMP`zRVu*eoIyDD<}7a3N-DgKsVx^ z+I<@PmxGe?Jx=XJ$#E0G@sFJ01(P=5rZV-- zx=xw+0L#(Elevo(5;ovJR@r#|78SsA&mLN}v)InOr-c7YW&TL47AnKenEtR1V!gow z3vgiF#Tt~%D5Df*7CWuG8L$_Q3A98H5oCnpDOD4FT@ZV{Vn#L+=L!QIySK$%oeqZ< zKGGvxKoUG&w8d(o6KjTnihX&2xe(Xz?;Wmh-I061P2l`0^QIVWza|p-Cl-J!$5ql0bq>)i-r|3bC?Mf z{)f6V^}jUQJf2#8`O=y2Kr&9X3_28>+!GlPh$uVeBjhPclSp}rR_QnveX3H2(|Q-! zc7*jyq;MA|1d(s{m?KXs z?0BkBMhh1sZA{!fJG>ZITN}0@wu5p_l7v!Lk(xN5?oDKcaBpn+vkC7NfvPig4&g1| zesS@VqYf5SM3Thk-J)ueiI5Wn^s&`qQCwnv0Kg(hjTDSdmVmEtKZ z^Sm7Uh=w5b`R9lIS3gM0CL2ao3$+CZ(tYIAb4GT&oX}DKE;eP;Jxr&7z%b(L4DcOR zkMWU-vE7b}oqFu$E*n~`=i3LZaHA)8({DZ3m&5V6+kn^f* z$9D-}3_?fR-}=%q7*@~6fV-Io6%O)+l=zQ|%YH#%qhf9FaZ9=)X$@btA`&l4*yk5O znV`X=i7SJ#g%PF3W{gz=nWaRat-SV_LK!^?vZ#HFOJL-Oy3DhQiH%Ks0 z8p2l>=N6zEyeu(n2+5BB&7FW7)$E>4tY1XgkZvM_>SLg%=VuKYA_tijpfB_~>3IbP zylnuX^LK&1r=QmbSpTI2y`r>u`*yOKBdt6l**>^;2FqYK&*)L6JGmzQ5z3OlyS=B}+0ojM&(y1@axpUK*+iUscKCjldiG=M zf3GS?{AWfSgB!F61aw&?7_$wQ{hBX+nFC8R^iKbLtbm-xr%dyVPVW)x2vIO(&hjME zVx(@o;iyq&r}N?6<+DaoW?sBl{q2JK%yp7wl&I0$22Zd1xDAQ5eH^*p)l9jR7`q}G zFAjxyfN!!NYcgGSvDIBFrA18cCUGED!6!wu>2FoZ7bhZ7hf2G(Vooby|ChVmq!7Z#zVW+_BhC3C@u;8jdv7|>jP`)KJ_ zLAZH{)J+&Cc`$-pwU{6{{u}EN&(Ca)aP7)$Y^L&eNf~+{JIgxc%?mL_54FGL5a)`= zbMAA<#gHB>#y`Ak&NH=n@dqL;o_uFUdDEv((rLJn8j@GHAr3<`rRAj?wNj& zo#O1!DMKLvNrb2pth%=IO=5|`BSai7-^0U@7&X8*A2+>+)Xi}i6R#k1WX_?p#{7I{ zs10+d#Zc1mhn;O;405%8Sph2sr)Pm0?auxbV}jxtKPUabA*Nm)94|ZxtC(Sw8@Ut4 zpmCSQSaj}S6xSE2LbFgCT7cO~SVY^#1JNWs|ELXe*YX@b`OYt;05O`32%$96Vnuq$ zb;yn~ms}0|7uRDU>Jg=%e-u_$PfC&`3ZycHFcsZX{-s?o!1ZLUXi2D(tQMFslV#YU zS;sR{?uY6C+T6(76)Qhxt-D5N4)jhO#=1ew)Mhu#3v`HC3k!-i^QT$mKDam{n~e(8 z+}jby^LqBL!l4o`XZ#FQyn5AHKl+c_!|;a68?XqU%SFS=FbF&vUZ#M3)=-#^&IxB; z1pBHr;DVM>*ZhJRvBseAg#5c!DFr5>*ITsognpKc_5eA?vsCP>Q5oPale6+Zp&nYb8Sb4b9$7=jvvGM4pR|r+-tv_XTrbGvRajOjt4?S`TH3Rm3hnN$Yr3e-MpPXCdaEJrN$s*LIGj#La(#_MwfU_Xz^wQ{^@~NzVKL@aO*IPLJd+4hN2G(?!3tD*Y^GY;R zNHwX>X(g&fiv`HF@X4n=jO@CEL*{=eR1E& z57Y!&czSmh*87()BMQMGUm*$q3a!&uP%<3gVA~=^l&m-tS`)&lZUg&K^we_Ujyw$Sn$}xxXq$*kQMHrYy?(sif}D zhg0HUrl^ppUfecB9VXS(X}TQQJ^r6fg?v5*DM97WgaQO1Cbmau0LD2B#Ke(`o9fr^ zt7Z|Kwj+P^%~3=FX5NyEZ}1tDNX&H516aXFIIveSjYWIkhVg})Bnmv=U%2)Ksau|- zZTU0XG20?VY(y`J(-Lshk2I3NZM`K&{7v$3nnZ;Rtb+0Kf}tzn34xkAX5;HiHhEID zIyKe!MmqQ2yl&Fh3++_KaFkY$Oc1t>T|DC#HiQ^@{wD^#a|Dw4FFT4f;Qdgq4C+iN z5cA7*(vNP+Uemdo=AyuPAptPla0Sf;#7BZgc1tY4_XU92iug$Yty8KX@xG^ktik=X zn>#}STkcNXxp0};3bGjRIC+`A#b|i3=a8-u+0%+5#7lE(VxM~Q*jG2(-!efh&Huzp{;WWd-Wws>{W~TotwH@-DfRm>XQSUdXdn%~ z$2MixoW>pp7OIVe?x8~&3nX}jlHAO>81O4I212Ei3?G!9@X(J_gL^8auoN^?PD09m z5?G80aKxJ>MEgPu7?9_C=yNFx>8TZmn(Pu~K~HhbpND;T#~P+nIVpfS&4Fk^TxSBo z3vVmQN#3+d6t{$qedgJ)wD~szj<*-m2z~_Sr`o<^sbImuhN1oc=Ub(6`D`7yh6y^! zGmqOg&Ys#Rg$f*;$L9+Det9Fvx0^^+kVie^g%7iG+>Yaa=F7vR`ql+ECnUdLzh397 z#9ImHb2@^e;^L5>&Bua5ct)uiBYrjr{9?rh(UHGW&~~uMx8R7E`;!8*0z|QhP%>zk8K?8l{A)>Yk!9d*V`Sa9lJ(HSLaKQg8bqnI~efN2786#xyYvrm77VA@1K|b(36?gSIrW|&w-;+SA(!A{k-4|h5 zIb?4P4F<0YwHMx}3rI>l6uG&0LRH|NQpZe*q5lA;{C233XM1=4ZnvITDs>a_!q^u9~|2Udxl5JJ|vd}%DfYnr6q*! znv0<0`>W0Hj{d#?RU2xg4BSRFB^DWf7Z?pztw-J&_KBS&y{=&z&%-;Ie?ON-9-(jI z_5O+sG9$M7T07YFaBuE=Q;I`Y1J0;<1~`HH1g;o zvU8Rx84SBg-F}}CR~SDmd59koM)<}Z@A38L$nMp`sX0k&`s?&fRjw;S_TGk`a`J(2 zmN!?A&2Vku3RDbssgRG3p8OY+>!+_L~P=lV|#m82F+Nl zR`Uc?BY(vv+q_Y{P&X2nQ$HeRh=vgGtkCu1C<`&(2lF!O|ixydlu>cuQ-ONnkT@BN_*yx_Rj$UYI-P^yQ&i7&W z!)L4L41X<0u00g1?asm7yoMf;s~({%R(Dhz6I~FPFnai83jX6@rEp{JoWqF`q0D)9 zR8N)4a%IK74%oem%fHz{ZR9-4DJ^iOa;vDSnQOn+};_K*3uUK{f__mPOidtnF& z=C6!V-6Z^i`9WXkIx8&R46*#8Gf^KVShEH};)<*!Hvz1w{=eoVij?Wq`7gn^UOKce zWqPU*I%vg}khgD*h;=a+f=OJO9}+b+b=6$TcJtQs-01*2dtbF7+T9q8Iq_9LX29@@ zRn;G7{uhkYJ4m_0L#cBwh;H;-@{a@x*i1ie2(=3OLi1?4{6s&;_{CsH*4@qAcvZvE zcpr+*gzG`nEgC>^A^e*)vXBFCMMno{)WVF1ZjX<_DR!-{_`BMfz`B=RYcuY#ufL%^ z+9ieT6H=xRG5-_YBt9rkR6J656%yn;A_At4gk?Mbr~!s*CE60sAE!bm5B;LFO8*23 zFx&n1Rq@sl19Zf`amoRkxxi;@UW}SawJ!dfTGu!Allunge>t=prSR)w%Ba{K==P|> z?4jVDv|Q1gNUryLL{O>&cz)tiJ%RwyMCSmfdBUNg&2;xk^9KC9KToc9o8e1=)N|iq znyB)s@kg4oTSkuW8-vYk-yL(5`0w?T{0`u~blPYyUWoKB4m_cJCwD+s%bKldWpPBx z*8AG*AyI6yzc|)Eg){1%ozK9$jrN&euSQ+`>1WOH@@5c5-o~q}(}Az2uqzsEOkM>~JZ71bu^g z)eOx)7DFh~VWMqWLd>ops6W*rGFFpXpNX(}c%v(KNq=DID~;oYl@&N6Zw~u5*Lp6q zObE~F`g!^iY4HG)!%!*mH4K#e%+6G{vpUol`5YkaF6TbUT-FIULXm}+gMLXuZePd> zG(GHoem!Qsx<31@M|+8lxMu@&Hs5cQkKExQI?x$Qp%O7c&3WND;y4k6d)Xbe?&eIT z_%B{Oz20<9ImhE88~Sw=qpGJb{%Ab(eF2FM#tT|%z09u|EXe0=XjWVJ0-`)uXh2l% zjiAF!Wv;@~-b+N8VX3FE?nFHLypR$z%v(=m=TEm&@nFGVJ(tPJvm)yXC!U$vcKv)@ighAJx)8^)Rz)wOL`wj>TYDLRrVkKVj3jrV>vb}UM&t*T zDs{uiIVzPN3j_g zEQO}sJ#{fi8sn3wdHISpbM2>ZX|Z3@SAuMwf zgrepO4kiRw`v4$4b)oZ$6#x<+Ht(`lu~}FM%3Ctk(}abr0DRlZMp{9Zz)1)uz(P(v zDrj?mg*0vaQ1SQ2B6_ve$%IV-lL(9FJhP{=!w?K~W`_V)ny&np*?XAy$W8C_lB3^f zg8PqgNDnLvr{LlmlEfFXz%3kLJo^LWeSBll)^_$NSsZ@)wL|RUly^Xy(8l02L_y*P z8IDCu*vAcNnS#H=DL$|SP#^mW2#=-vZ%Waeiq|T`7VO4mC=2=^mAgz`J)s=o6`y`f z;Kj!DH8Vyol?r2x1QkafB%Az=c@&%aB}B7N({A>QG3Vqj*sj;QZSC|m3eez` zN)7}xcMMO<)fTivJ1mobV4rNgP5kOXU2|WsqQm!gzIijwq)cqGpEXKQu_r2U61H2y zt@K$4UvQ8A7s_Mj+1uLZG+S=9BU5lS%uA|b$s;AGDJ!@+s(V#!&l+Bg6(pkKM0^P9e!;ckgV>F_5aMoZXp()dj%jjRDXf1L{XD zLqv!{vFyx3TWMRzr&oDVe>k>Gg*0woVBz-7J%HZ1tS)>f7QD9&S%jdfEw{ENh`mNg zfU4c~Z?1mgmwO=!RY=DzW&(Uc+&L^3`y0k7-B^AB4r<8qE=jE5RS$24P`JusrNv$L z=a)Lu7BRakZVN0Z!Z-QFzlTL^etpvqWofzS{+Jei=K^Yg21xdcu9ibfW)Dlwakpo4 zNDtrnJncxT8CrM|LvD!W!!x?VKRlAyd=M=MEDh!oCqdoH<)P!Xj87s6LLcTb06mW; zYUaEI!cbfv@)yx(8XKf1Rz^=DRA`@>q-_I20PZXip-&>)u8DWdkatt?4u~h|00ZYZ z=HQ7epjO4@@TzwYdknAxQP_W+XuFn9^E?L&Uk9dFt~e?9@p@?x#uF;C8!aYmEE4LK zk0DsuZKbO&iHwaiez)jQ`j`BYB|x1;R5DujL8>0dc1q-s=ax<|L!>t%3Et~nr74d% zrN2?p44W!>eEB>bPsdXjhqXvE)XrMDptM1U#-@Ly=g%~ zH3s>7=C-{xD!%%YxBHE8y>|xd*$*=0)eSO-Ni&%Dixfzisk@R{eggav6=61Hu@dpL zA6?8jM?)Ub0IGiqzn*$^6sZCGTu$k0G(aJK9UiLXt{F?BSv22?PBLU8(bSL4)p#g} z|2z&wq%xa;7?lxhlKJN<)CE{`46!j%XL$g5Pvj9C)zA{BlwDf=L|_pw7_Erwjl41= zsb+Hz;RyuDvxg&VxHMN^fzjBXZZzt9sdwm+Prug(nPH-VVP0~xBGB~y7%XG>bc@b~ znRC+Sg%DKor9?dgTg44M(suuGcp99uEr1EQY&P{8Z`tty$7kxYF2sb1^+jIR8H3bM z5}eoq#eVDreOMhr69XZ}rgsBhpK=r_SFh-Zp@j%+9v;bLtb8VUt{f^21B+j&*fmPp zMJa3C^IwN0hrJASu*0**cvP5Xr>LnCN0C>REk*}bGcrQmJ4UG@0M|KrD0f+GF=H|P zK)p+>8ZavkjMP&A@3(5&#oiMf%7OOv2w$j`?sh8O!My*Sm?(Ufcb>@;q4@gWAG?AA zgI|nxTf2pM&9rN2Xx^?006o@VY?ay?I*Tms$JqGL%Dc_n=kTky=Nbtr; zsuA=ts4Kv{jif852CRvs5?39MVO+V?Ma1}n!>^{~cg1$ewRYxJ44Ut=FaGX1DI5Os zjy}i5G@RHw8aT@oMTR*)!|pfkhj)%v=<_nC#b&U@SxvqE1n0I6Kk4 zr}S+AEAN(Bhzh)Fg7bB+(nD4%Nhv4n+=4inohIk6JA!WC@Wj^mKRgy1)aI=O;SuOZ z^JV$R5bw(2%j|dmR*QZ64WSOts(Zam*{nA5>Pmh0aI#oSbJCuq*|91el1?M@Jq*`v z8o3U>ktcl}vyt$mMKAALME_D_X(1e82`rt2preR3$dC)yc)*rNkUw9j0M_Kxwf*b! z4+NeBwow~?Z+Pr@v7LYG6ujMvZ_xyi1J-X&ucrs+Gygk(@T*IeB4vChNO>Df z#AIV%8JS@%ELmfbWxT%pMsP0)%2q*TUHen{^-cBgcoEX?y36(!Q#LO7;KRnc@w3h+ z=K1={tl4qruX@nC!0NTvD zZ!=7P(0&UC=WTG}bj%A4VFo>JW?vUrPwSzhpEQ`<`&#xOexqBaoNSEDWy&0o9BnVV;pr0=Yu7?`Z6q(OD&FE*3?)+q*lB(s(K(T8+`FPR9R(0gFh+Mz zD=#sQ*-4C0fKj7G`oc-8wvTS1faNhAV_2zvCJDBqP7dU=1fmz*Brz}WVX8|jV7oWT z;B#izHoM+`5%2^5>&=f5_@dXCgmFmq09Fxa=HM6ge;!s_Y5n4LNogagEJ{ou5eI|Zo~b52Gxr?a-e7`f*jBV_&heBy^cA}ndRH$6c`BOe9N9Y zSUJ_3@7<`mkL&hhLDKplEEA(1M_?7;g7X$()dT;v6P|Fc$nT?~+u%bmSSngrx@a3U z)XJ>>3|j2jEXs(v(6YPBFVq%Uy z+coww3WM)!h)x#Ivl$}< zW4YU)L#8(1BIdbN^|h_Rvrqc6**{^%9oyMS+2__RYa`OzrxPlBoAm&Elc66#ItK8S zs*472dep>4dh^LhN6k!b>jOR)Juq&uM4z^r2P=D{VfYAyi<&LyzFn3);=jYen7a%u&4(9!U-0% zZ05k<8IUD?I+vchfAj+~L<6Lrt_h{4of^gdW=1uw*MFY8!p`q9iB5{`Iu zCItb%4;>1NM5hjw_lmFb*6>A;RhSE3?n?by(nBH1^XTUibqB2-nxsLO7J8w9&uktl zhYk7~5>e`1dLIcII{Iy@SuZ78KaL%aKaf6q|v0} zr{Z(|Z)#;t>ZldpuBx{`c);TA(`Q@qskP~EsET6MdX+J59_E|QoM$_=8=S`A_wiY#L1VY9M}c8h#MF2#v&c+@LdDOx!kD^ z;6nCSJN2w-)i{vaU|>8HihSG5i5R?u0DBn7PZdwprom4h_>21MoSzIMeOw#boJ;c< zkRLvL;5{IU30*i|L;-KgE9{}1>F5F{^O%DiV78S8>G3EJ>j#12${;~JT zOW_w|Tk?=1Qbqn#G)z6IY)A~|aP(<&al!$*>0Np?oeL4c z>gNA1i@a6>YC0${oSoLYtRe?sS@iiXzW`MK>ScURSPHosYAa=cIHfST*o^UJ^9?{| zD6pL@KKW|yqXE-u5QGb#tZ=3D3+**Zv`EblG{zdeoGR%>PHz^`pYkn*gNbq3$kQOT zMKr5~0Otg!i12tNy_UKpk&lL$ggQxp-X3j0jMS~HZs`ALx(bG<+9-WzhVBmOZUjL( z2Bo_}x&)*f>7hef5Kv;25Rej4kPxIn8l=0sJNNqS?hoJ{7;c>NWV8Hk*;K_mUuYLb zMDsokJt=K0%M(4=V}MT@f~cIB_iS%;k2pKfcQ&OI?OYRXM-|6sU4pd^~WTpP?W)Lnl)AiSplI2b!{#RXKEYwRgyJSQp=Q zufBvBNGzpeWxgA!ac-k1wDf$Y5m!c3egA6)^ssn-7=Dg1T^Hc5^10fv=C3n|tem#O zUNEACT`VYp|9tSKt@4cisF>8p9=S)!)WS{hZl{P)Trekx?+V+3f%)r@$l%rePPSVH zh2FWto?7EpjX{9}lZS{0ClGGSE$?~4eIKErlD-bjHe04qlsQr;djxA>{2n~p%!{UD zPPY+})f5}*n2}^k`ZHaFnIe+C;+>GTPk>Q{j+0h9*w8N-N@>Bz-TN(}34QqfjuF zn6s8pSq_gaH6J%C3InS(9u`;yCOxz5-Kx<0>K5ZSOMRlT+^W7*iUr9h2ZiZmHTvt~ zrxQVZiYM;Ykcm672Y+d{vi8;qTtF-i(h^O|z>H?aZn*b?z7|m2F^iVIyxCg63(Njg zrx!B4aBZe}uB0*eJpr6B9DdS}sh4ym&V%1&4zYS)2xzh$+Rh!MUV&{*U@MX7^XFbaB)SnEZ*z?fB)(BeE z8}DXha$^7e&A~dc;3A7p7i*Enh6(yEv-7xFv}1dc9P}LQwyh!N)NaGanhJX>#YI5f zrmLXjMo4vHZYX-fP^4-ar_(|;UqKjvf_#qY3&5Y~6n-GqRB7V^T66%o(N}9`i`p|1 z8#i+*3g!M z(Wq-4(>mVv_bZ&N^@MHv27=^XOcX1u((4NUu6O8Q=lL}zFfm6C;Eml<0m+LhWiL<{ zuj`Te=8t_fLQv>=4*_`TJ`b=5L;TnQs*nv6*C#NP0PS2W{g79OXW$M^+0?emIV!oL z%+er7e{9HVu`xev03fTtBOiNVw_E~%@eK<6+4P~=*PP;zZ`h3mNIT#c%_0v}>Cb~0 zu-}@4eVRjJQ~PbTq5fA!7!)23v*}8+Q)2C<9ggz$jcx&9aL}EJYN6=iig!pBI@`(w z$Sy;{xZ@s61zX z>zpOzID)WMG5>8e1GbJ`_Tp5S79Ec}@Ut$$S~wv=SM$U8K)fr^3!O%^H@qLle=y#@ zLI;i_ZCIb8*lFF0+69Bj{uVWVjUvb*7umkG_HtXkrC6ukpbAMt(ZjAX?o|0fKmsTG zI#cgjJdPE8#JZb}kN8@%tM4q+6mU&AT%vfr5`o$OO}*vVyOqS^ldlpf>{B>Qv9^p&WsfG%m{3L^Yu=+%d$3G~&Wy!wuA1TXDcsXklJm<`d&X69VFN$$u` z5mH53E6Dg@^mvPwK zRy&L7A&GIPCXY&4Y4kK8vKSWv`1gR(#PVOYxunVk)UWIOA+i`8t(ovZbvc#g?%xR> zwPbKPOacDF~rzHjC3hzcq2&pur^M@CurJMl1EW?T3!#m{PWo=xv1EufMDMad@^@Ux@`2q1BR zgXrj4za1WUYQ>XUnG+nVN5`~e2C-*O@YQ(CAf!k4s8zG&-7ndHVGrnO{y55*XO|+3 z&mNzu08ZE%fb2oM2`md;?`6SKZGfDjiyX?3|1Nb3Iy&Vip89bpi-j(dehsv(N;7nK zr#~xKXO+71t3B$kgI3kAhxq0dY)Gs=BmlmqD=VK9KOa#u-pnDGJiqy=*0`TV^?6&`qKDk?pyuN=9*rrk&lxehI(m{p} zATg2!k0o=!zJaJFnKJ#|@skMCUG~6GX^?;Em2t`_T zR3&FVAlJ@626TaTc{JbAlWcG#cPORTGizi^QK; zCm?<$hAlcqot2M4_aj*>SaE|%J1M6){3D+8t7QQQDS{aZ4B37EY5UpYW~j*U;QOUe5vSTzs3drKks$j3tv;o+N+!JYlS#tvoGXQPA&LhI{uyQ)?n-_jR+-B zg>Ai|`sUEr#%zv*D;Evb#jU*7qQx zcuxo&6i7Z~hV8n}f7S0#xJ^rsepabx&l>E0O$AALS#nftMwYt=Wsn!>B*aL0=r?|^ zvJw|p>E==8o{MlToG4wRT8N8z5NXIP?>~qV%S1g&HW@%?Zn_2;?~=$0#14v+l*Xkh zY00-A5llL!VCp%$yfG$g>C0xCS@nmvufkN{u;9_Wg6+Fy-5=v*-Je?7UI9o+U@;7f z?2|uQIXV!=3{-uTXcDSOJFu-g>c2X;&+%+h>cDh8pn8Oj0gZvtW>$PgK70pTG=-Fy zPzVrX>Z8xDjs=O5eCkQzck5By=|aaFwP4 zKV90Bs#mta=3|`cLX({d>R@${{C4z3;<(VKrW^5%C{!}ti6snh69OYI%{?@Z;}W)g z@NP0}N{#x|+(nd{l3LfoPH_zG3;ZkQhFT0OM$HE>$(OD*3#}T)x`;431pu^2c?K1G zaN=HoB3@GRr+&*&X#KV#f}2z2oQ*$Ju`2bPrP!{W{*Jnf3ragzPE-}BUWes7*6Z&; zp(o)1zTrBBdPaK@Hu{_@G2Kv zdEzr7Rb)LHeI$%QCuF+1_SbT8UyY>0Z=ThOe`vlXu9&f>W}jS;{ljdN9PK7Q|Gs~= zr6~N0nMk8iytl}Y)Ip!B%!AKl`fd6X3WTt~Ad(l+mq9Z2CQ$c?@Hw+nV7#nZVx>y$ z*dNX${{#rY-ToCTuRcwvR^G_Pd`Ck^ZdmBf?b{iN?ztu=B1D>VA(2;MvXK9Yhm5zp z8MDQW*iu`o7n<}4lNS{wI$3CaqBf2AH&beRXSX-s=;X&A+40d_Uex8?`{f+s0tB0q zKo3_TkwAJzwOX0O4HwYOM)a8=<+>i-rjKxqZL5j?%sUdtlR#fvg8o?wAqfgQX8f5x zCg@CkjfTWHf*@8&Ikokgqw009+Z?&O7l33D!4XzC)h*Ykd1dkxDt*@}CgL6oPQ4BR zYK(AFHBK(pG zl@cMUQqK!kD+xd)c4g?74cRQf_|^cRQy9<(wR15C!hjo>E97o0mYPUmM9>4=A> zz??%KV84qB(7!c9v6}RQ0^g;GDuvv`TW0i96Gw@@K6H#N5=QpL7AS~Iej=kzk;@@C zUY{?va4=owXg9RjZ5=Qr4lno9Br;;Nm|t$(g1+%pi7oR=(>S9hP?CR5g#v1Ac7H2| z9(x?Q?-Mk_@dMyKYvPcgk*c_F_bO!i#gDha z4pK~;*y`!BV{K9@|DlxkTM|ZJ+%Nr|B{b?9cFCzk7Ir0{M{V`ByyqY$_+7`_iq4Fs zF{dc=5CHmO-bU$U56k@2;W+V#Y0|9I`}}VLp+%lsl5X~4i9njo;G<)o30VWZ z4fEz&`jp%J)d-WWlL7p{Pr{P^?t87)r1M!Pry?JrPDwLNim~nH>e)F^tpLlFL3ZRf z4e$I9?0>JSzL0Gsk$%yl zLH!?V$Oe>s9r>*XbW#DaeE0yE*4B2K3`uyUHvbZzmR@hJ=~EcSw3DK*x>&!caP%)7 zER5%`W)GT>Fi*|c4;WLm6QI!JJC&^WQC?F+;gI63YsMFe5MjZu3n6V3K44{&U+YCB zV?Bn^NAwtWNI!#%=KiA6G(IhkzN+YoOCG6xTh#UIz*+ts%20e@S{&#(+Gxju&!DtK z4S$^VI-lSu_A5V7Yy!I^VekvT6Juyc03F$`5+gql5fn3CF`^`ETkY``pz*KPL7#x;h3}M6|>O}|U*NuTheBhAZBk9ZYKwTlPfwMrV z#iMkJk#gY}fo)$SvF|e)mdZc)QicAA=zU#NnDD{m;1e}9DPvA?xO>F!?CNLTU7K5M zYTl%l*R=3-Sde+@+3Ot?u(v+A_-oN(m5;)4EIMZHXGI+wa@e6cp5!|}Au>WtEyAmA zEr&)`5a#n4SASsQxw;fazbvNUP4?K=HW`s14rR&pW_+1$!(>cvn^51*=3Xu>T}BLy zdKe{*It2-jVa#whdCo`>C5CkEn4-OP5eQ28=POs~B9e5{E&Z*_Z-U6`|B3fX>Uk_- zY6l-8V53ReXu4}l}>v0ZNNE!G{1!U9`k zjYo1-@9?!u?-$8e5Xn)cO((L>&e9i&4TGMoyv7o?p}-nWmQBh(;EX&SU(p3eQR+I$ zm3pm`58AA$L^prjUR>S(Lxy-I$Jm-E*emDFAhwj1B&w(z^O4{CE~?S z-?#xWa{vDL<-4^n%sYvys^Y>IARm2!K|MSOUdI=S!SOP{G6cZBQ7URiqjxmOSf<`z z@xI;xFHt($T3L66fUXS1IroD8X);zX!oKa_h_MtuMykrM!j)x0At%$9rpfOsVR85K zi(PZ0Yzkj#R5{qI^SXj#v2<`vSi!fSWv3U%xPF=-S*-Fa3j?vwKYlAJN#0LFc{%=Y zsgdbERymD!{GEP(dwV`NWDFR#^1f_lTTrZi2MTM%fjewOryK-`E6ITdnP|vtzMGh0 zoXJV45^g(B?RD3Hy8~G57?%hCv3)8!T5bq>plo-0g(Ba}mxMPMlq-46zoJE{OSIuK zt00zVG^pN`vt;QTkkS%l63JHg|C~s&kYoG|{VYl%Rom&W@&3y_vx~e7statZrg8aV z@_-3Ut`8-&?Aimgd3S&#?Y#i-8P7-(H z-iw|TIe|RWA<4Jcq|j;<&AXYAKYFQr(2OfqKL3>GX<5%+NI>bE~acc@9Mv6{s zBOg)J^w)n^jBhxKIiC3&baV{N^#ybuDXQ=ppgh4cWL4;)JxMmJi+iwI zrbizntIPprO^#^2&%=!@s5D@3P-)noB@MC%27tXUk;@fmkr)T6$QOC2?QeW#+@PBw z(EwYGS96rYy-*D&Evk<^yu=qI19l>`By(J}7Y%^JXtPrqAhU}YpwKuhlsMzN+A}7^ z8Kr?%=>7S`rNzei>ibuA$=|KFd;)9Xya_05I-6&Y3c2Y7L?@c>`$b&zKgHF}o+8jD zsm>`S;awrst{X9%1L(ft{}>0lP$a8U^gZET{pXi%z#lhjmL2+Id{9vVJpWH4|7!C~$H?rqgcGv$|> zODSPsIQ~-(;YrHla1Pk4TkVsRRx5+=+(30hmIn&ml0f^ahOGj2nVuwJ#YWqRy`=is zVUb%n1Bceo+%Wam6xfc4`#M0fq!4n{T}5o0!*VpMHSP z)Njw?MwQR^X>ttTIrqBoHe*|v&=ZWcu*pN zxp6aYI%=pyVQG_HcN&j6nRZ3Jgi>WUC%X7c0!G7sLR>d7?YBWBiOgo7VOWX4EV5*t2LTPl?vF~#lYE4-}|4*b{$uIxW^-Qu=uf?y}a(=q%1gSIO z=_Z$2y?c-7&WS|4j|h3~ZPiElreUWJoSG|R#_1sji;R9lgjYsYW{-G`>Tr9*B5g=J z6{?4y$(ENf9LJAwujXu^Pih}aRa6IcmAwlZpR;lW*$^os49syuyq_Pz(fmSese7~7 zm4rt^=Wgr;uQ&A@k@F9SC`<&pdI^!qe$|0ejd}O}Ifs%!vEcx9u`L8xn^zwhiksOc z@m>Bc0KB~elLE^&{W1RKf>8I{j#j6Ze_^)v9$jEYvSMZ!?cj*gV{j9L{R7~}Gfq6u zOz4Mg*fO_56g=`{>U``774e?f-jYB+)ZyclIfylT0I}ImzXC&2Kql69HB9wIYtR*z zjIpoE)|5O7gWbC`uC?!`JVyhxLU%%59-$`~>KJLKP4X=v75{{22*Q3ME+8HssG||a zJ0u2bu%3PpT4VGb%?lwv#X;cBfo0lz*uS^N>{q4ZLKIQ*J!&U~HhQU0iJ-i*!I{^DICne)zS_ z7kpr|TS^2Dgh4UjH&EmPtOdi1SGM0}PTWYg^{nOxF0>+^s;r1?p)yT6@r6}1YSq6X z(z4)Yi?mz{AeftjAsB-};1>%2ZCiSbJgNI|FNC*=Paqqf`YEi3B}%c0SvFE^eB@if z6@fgolxdai2$8nUasS2l^nBtXP7&qiW?GC1O}P9EJw!hUwdHJR>3Z?EIm^FsufP|E zc%FQ(l+~2wD;qzbIOaz&qh!F$O~*)yAwFS?KKhrY;ehrK4#aVzsJOEx!0)*~X{9|i zYYqJ())M#DE9|AlPe7zuD37Vr9pQgntJC~bdtT0Rdl?&&oSZ26cK<&aqlW1m5y4OG zs(rfp_{M@$ZBEgr17U->xU@b*Y3Q9fuiM;(@7Bx+D|9P{n_@8`x2`X+nK3iEXg#!X(>7LA^C@UGyPKDWjO7R<9-p^Ut*tB;8jR< zTppr->%@B{lV;+%;csJSDt7n-G{3Q-f$w-a*|HY(p?R=O{mfZ!@I$e(Y!-W9L{X_x zwt&flE5JT{5rT)1td=01*GKs1ynSL-8r4em$LZw*6Ho< zs|(_)Cg!z_MjgGHoC%UQiD$xKE`IPlUmT#E)=iV`&}9vE!+7E^s8j$&(es;X3DSvA zvd~Pe_1*F#`5gdBjPOJ;7C}oTJL>a~UJOd?&P`wjfy`TP3X6Ui!VF}Xc$c8`ga@*i1M9@&SxMHg!11-u1Q_!A|##^w?KqC$y4P$Kf72z=94 zRCwHjBw*Jt2(*YE;`cs(rdd7x`lmJ7NME+IGUSg)$`3d$(|O&KK|fA^1aGzkK~*a; znXCHtL1pPUAVjOm}n;l{M&P$Py<*DLYD!+G#UT`3mau2 zZxK%Qd~BT?s|XSLg*(lz-T&G*H@y4(-f*fiYjg(t)90 z5HLdsoe%gt?SFl~!?yK(Tae12_jCF{0vM%u5N36dz<8QDc6hy;9kq6#4B~{wtxCK)v>eyo+sW9ncb%Bj%q0aR^9-vm zs3ssW2q?6-c?BZV&-dfD3M48!X{=6k4mJWh3-wP_ndMF~;RIRava z_&erV(+_BBx}M~Y3O;f3a_`frr3FL@Pna_wJ)}HxemW^!Q`C2#7M2|Bk*&<>A(e=q z)=n)FH_CP-UzNZ!`hw3<|VV?s`(o$jw+CIofm%;E0L9r z6_odp5vfe0^}Mlo+umHuq2in=GRKid{iXZ>mzU?k1+F*5N$#ZoS$?I0zn>>QTn13P zrvNNafP#zj!|6J85#yHcN|m4(Un#9MSB;Y0cR=ssAFf|+(IEZz;JcE2Sk>7DBrqaZ z1J}}4FMWYVe(xHj_5&(HoNpv|K^zwnD(#vJ&QBG_cZp1ik7B#$=nWZ4kXSq!TJVy^ zMYe4AeL(}|@49#N=}!d$Vw=m$k|MMir)u@K&J?+=T$j61wa<8=cc#2<_`a2JTm7Zo%Oz%$|z|S7aH>e zeDX+Zh&3Tk%UEQbkhQzH#83P+|e zbZ4b$=+RD55PJpC!|afA42+Xc<15H-A>s@1C%=ZP7=`?vw;-rvTK+>N{(?L7Hr2Y0CAHFwXFfT6s|TuC4}Y!z@@j2k(?xb(6|gTAT%_Ju zyd9qsj-ope-7!51Cl%w~)7`sD7PH$Nx-34wt8k)?h8!N$Lz>k^SgLN= z00J#*`bBttm>T42MHm=6#RfR

>&HLb_ma!Yue`xBcR_Ig$fD0mhpUPv#+wiYinn z%@U}u0rj*U8K(f{OWBt!4V3zJ9|CXDfG-y?AXcU@xWLCk`cXtB_;zYajt)aJR1{sm z#cE0xctbz`w4%eEv#|C9^nIin7svC^KJUQ0*lC(C{iRaL*RK;ZX9-x^m=Y$~9E>;m z7Ncys{$?D0`VogNq{#vVw1V1=v|tVnmdn1;gEBSx28E{m!~5r$5(p<%bttoPJCzpo`;Z4VQyXW()B zvS;;YVMcS5G+NsVWkvp|NYijdu@fH z%Ub)+Z5^XjaYbwO<7K5yK1G99`7j#moLY;?Uw<2JTOw)jMaQeO;_DR>{i$wYNivE>; z%&2s+Fn$&+Wf5TjQ~q|ssRV;#?@v3l+@GL}rG^;e%X2rp;)(SS$70(bo;Wf+s#l26U5Wd@A(WPL zOVg$~&jCPmYXOJI7Ghf<@i9<#d^s?6$Y10mdgo0&l&Woqa*qovqkRER#ervfD$(@c z=NecYya<`X%`zmB0oD?%fR3=n2sXfSjuvXO{}Sp`LHtYaS_UQASfp(bSoGl$ZTVd| zv>Tc-ii>=F5&wLci*OSiU@raE&A>;9;6Z0krbI9f?l}4}&;F$0Y4kVWofS9w&{q)` zan0QH0@JR%pJejRFO3{jjjCTh3XO{XIB1PvdJF&RMP2esmfm$E9~QsMNP>ObxZx5A5k#n`|T`&B*U%Ei9a$=#9jZx2Ng!FTow;XcPJMPr1yS?<^#$3sY`TclhjQrmGF`@>#Dnd@OT7FDSIGOisD3RsJ_d5}(45 zI%+(N-y93U3=n)iPs5J`>Lq}ibSIpBVJ9awtN$6SMWV7K zJOzvzVDwVMBZJJ<_aC^{HsEJ*V>@%Bq(#T9GL^64%!_SV%IiQXch$no*MJ=jeB8vnkrZ9PQ_c%M924N?NDb0%H9GoMm?+@DyW_h0rv&tl_% z!VVzPIkQxzk!fxlfKH#Kbo1wi9VJsN8hPQ_io-m9YtrUNK(!XCIvO9Aw)@(1yzRXM z2G(}48s0fRs-Szsi+~^2e_%!;+JA}{|4sWVO}m1B-+QQM{-)~ksrZ!tuJl=?40~Vno$*MwpCoh3V z0K58;C*UBh<2c&ixLGgfiA*3_Xyqh zt+6^)h}mPNr3s7(|7ILSQhkK%&wUv94z>CCGvN#KTiy4TBV-4O5lubZf*ZD~&A9z3 zWf)bRtRCR69H@TD3!TC+Jjj5+1#Gjg@ZLy4lksf$Ka{L%Y1E8lh}#Y$8Sc#zUt9!` zRFe$EL^=3m%E)+GOYV435K|k)HZapdUlccHj&-^|k9_&qcn6O+0Nye{fwWUlvupnp z9SWl*R=zta+^w)t;z0Hr&VKi!{c?Fl(xmI`z$4?cm_3%+!yf9w?TXb zv?WjivIqlUAbgf*p4uhD?cp^H{s4uGy$50hN9#lGHx|rI=m-*%r-d;P&XgKnuY-dD z3Xzx=LX<#NVF~b~4oQKrH3J}3DK$HLWY4Mf1`V+S0}K^|M3v?Sj=;)uZJQs6x4u#M z(aCj@MNFmnnMUUWh80uo1uunS>MzVF>uPe(ahE%3zJZ2MM9^1ZB6*I@t?Wk2Yo8kY zkJ@m&fMwr^Z_5AZM(sCDDxIzrW0qT8JQ3m6N?3x7<0(mRzr)fZOf&+k=%bIx8GrQW zMMxacxMt9yv&GSM1M;1!q18+i`dCKf(lh%L>BuU@e?=-6miZ)RZ?L`dl)87t9;vBX zB9gV*Qufr?;~}J~M86vct+=+AuFn0a>3=SR6s|a+C>_tlzU3Y@Ftzgd060|uPSw-% zFq{%1R2Ws>i!YQdJT9g%|KKD0g~_r2Q%~KXibJ{hw1RM`b^w!(u;$da``FZOMLx?zc$>WSj$CLIM;R&aNEnPrh^iNva5|IgB6x^V3rGy|{!4(TPOuAlPmx znOd!6j!gn7;upTt9$3rozdxP(00yDfz59WHWwm;?r>3Z&s>=0!___iK0)aTDOo7^+ zQZMHuw*irAOx_XB{?Jc>6tdhN1KK{3rV-zN7lzb*Pm$_Enb!vGeN9k+s}9=IMoqOv z=8$Biyh=(?Te3H z)$;kh5tA?vGQzJbsArU6u)VlHMa~RDQ418rrr_I{tUN^(t*+IEo`l=Rh`c;_y8UiQ z7lVPdTk&MJ^u~)8+x&;N^-!DR3Kpf)n^J&i9$aIK^45`HX3okpIspSy1Y!A| zU=L}@&MN7)B1P0CpKafs)V>k-?Nf%toYgfO`)br0JSu1%TdRl-W+7lUmJJl=u-_0{ zngLCLPd|qb3j@^noDD| zP%e{v?at*%)L2)J=O6XI`#R<98Y5ICx^{%$8jnNYte`=}K~)le(EuiHSKefpSloLj znPqD>pJW#e;c!R-6qr#Ot=|2nE;GH##HY3bXe0|-tanZ zfSVskfhV#n)FW0`w*0Um8r^9&!4W8=ckS$%8bZd8E`FFdkc!AuFiys{|1l+gBRAoY zM`W^{p)!L7c>u-^&t6L&JWw1J)icTZ7!3J;!XzBw#xih(i2D9tJl z5FlT_Idj$}^5-TOf2+i=!h^L^x~JXTV62QrL8llrCn*{AqPP7yme}@;3gI`X(7zOn zx(FZ6_Y7U#kKX?7XjE$ZnfTI#*gD8e3WCN26B||g#!l!<6LPOFE33Ck)f^?(`_YNM z;Ca82ZUOWOsTrn@1NTz*h!3@=q=0t%YY^<{!Lh4tE>xXkzDe1C#> z7@G0Ja_MSHdHA>aKh1HK-sUeBo6oE})=4PpFPdS=pMvlKt3e*X?ai{nb{|QB6V}2) zyfq@0h}k{@*Dm=SM7d!W{~WfsK*yVXvzftUOTimctk!c|{2v8BuQ-&$(Opm=YF|8F zu!6@Qt^$f{yBa;BMT;4Dnhw{*fG{1EKv) zy&vU2yTbhI?Xn#ikGmpTs<0+74TE#}+9G?mLt}p7w{+kZVd#qfgdq3|u{mk?x$Ez0 z4a~vxgdKX0mQG|?c~(*UknTc#dIBtQI=gI1!u9VD!KwW0_27((DFpi@ zrxQ)iQY@zv(FI)$uU|H>QQ(Xo0_J$f`6I>Ti+9h7e#hN_71iF8Mv67Sw8TN z*Z`J`H;?>#A^N;uRG2yEJ#y}|!w7VB*79{(!#MguUCMTXPBrQuCtTT~Umnp0PRd1t zQ2F-1hbNB@a&t3_1}}b5aiXcF3ZE@0U#*5w>yx(<1%VC(5Y;K1p2{CHd*f&?w(&>4 zZj6deLA=&kOuI2Z2$iAoveUtdDEDIhENDVDgYC^NE`WWCis1G{ZQ(sa1MZ^JDV;j9 zofvi|(2L(HhdN!CO&Tx6Au8)#H-cMypR)woIvViqpuY&n0wsqb2lgr+hGm0*NLDl8 z)(B`i?PDVHeH@Q9TO;0?X%n;tnMvYAt&dTbCPz z80zJGir5^NTp4NG&-s+-JpY)Tx_wXGUzF$^a?URom|hID|Hr7k{iKk>jgA7l^B5PM zoIzpF=iU;ar%^n_RAVBt9ZP-Ozh7YD!vdEKY>)!}a!S`S))@njM3I8xPp@!*umLO} zgYfsQ(XVGklXmjOVgTv@{gWKczIT6tvbzpoUX$BvA9{? zGe+mt_MvS!iR&G|bAA2*|BwQe>iD27osQ;e9Sk0VN^kYUxShFlIS-uiI044N`PHhU z+L8nTt9iq+u6P#-nKrW4pv8p7S;;1PWC$k|vvmiB8x%!Bfp@1EEk{`JcBk4HCEX5b zNQ<&7<4eT>=W~YIGoOS>USJO!u?*RmwRa*%;A2nT_|%ANuFM;U=Uv3Hi^Bt!4%XA$ z5pwt2P-<-O-T{{vT14nzEX{Mojqr z(;XG}5k5YSMcX#7?_pd^aa?2^6le3wY#{=kur&avCK23Y!a~pdI8f z{naU$65C3wHT{$RG1tt0!;5^lfn?IZVoB+-i@QI_@fE$5g=9$N52cdq^5gC(Tboj1 zZtud^%5Phji#xf4e7Pt&`vp%ULs#-@#SN5*bj6bi7W|6>{~WVp>0ntoPkar}RCW-8 z{rUI(4AiHp?P{U_{p==7dLw`_swjJZ|NB@yEmV#<=&z|;Ch0r8i@v$@T~`z@>Mzuy zuk@B{oWx(6KsJd19*Pdmf*{riQXpBK*6ahAo74Flb+B1TzLmc}>b%LouM&f#ucV@N z{|&bRCfNN-onGQ&kWROsXA_{=NecLfxkG1rPL^-5fm$8kft0hYgr==CQLC7zm^>9# zy*1jdgnlGE{USdH`9(yrk?F@2U%EGpUiMZ`TpbWu+>Xyzj&9HHC9pB<~; zL>p;bgwNX9{uT=wlZAqFGlRumb`@!vG<(I^`$l@0Wk{~mDv3^bFy*Zk(`RS-$uQwr zZ^ukAW8CAZH|Pao5QOYK79xLy-o6M!Yj^8=Fd;N#{kJzq5NmO!%0N=SiY6?Sy2_+B)9JIFm&;$=kN zQfmIBYzG7N_f-&wMoO~3|9b;khNoZv<@h}oQ0|4+(p!&ic_6mL-0b)UEGDY}>YAI} zsWnk7MVlBvVa0%VE9LR{gaB|{-G)N#CqB6hia1TX{2L?CxhGtji|CcUH9m^)$=9Q` zD48jySL;y;lT&JU(AApy$&eRH9!=+4vFiBr=uJvhz2OhvyGLK6oY#aX7d|w6R$z|y ziefUiI^_Hp#O5=nk%UGP2bE}JNG^2A*T$|7J)bs?%h|BLQ84R>B=MK zK#e$1VV0_Vv+-?h0rh30W#%Jc_XEzJ?ST1FZ|cQBr-skis`sv>+(cEL>X$>0veX1K zgS-G#5;C8jd!)_N@C=$<5W^7958;S7dlSFp+pAo8fg9urxz{8~jR|q6`|9?dO68kU zPsM%rjeMc!EWs1gt^SUedjv;f5QoRPWINa75=gtxIh7ZmOUMKkDKxvh|Kk9p^|kM8 zqy5zMrZS(kvHWn`bcpPmWa%=3dAWs(4@oC@WoHfbxI}LCHiTGj-x$*1}Ur3aX8x<;4ON>y#eZG64NOY!e z1b%y9VVoArK%l8qbgF3wO_)TIibQmu<#awS4x!=VEBfIp#TgbAQfW- zI*ca!%?Nq0TM@HFr}#Td3G|1x2t0W@)%ABW^1UHlZhS%@szu+I=QkMAv@eQ3KL&wb zqb%#Vh?zgbZ^pt~(=3u>3L^2o`c||O593#sDCGKvX=qo!kilGLBG=vI?VN2F?7cRUa(hbW z^OwbepQ4)_4c`Z#p)~(IY3NP-$jy}fh}6?T<(k8F43sY|M#bY=)6d&WZZI!c1WMNJ7pN7xzPO@^HNDy zbJCl7ec^tP>;5r^X56J11ToIL_-TCT5d>BLL3nJyNMs8^rAK@UuHlz_XmSCY5j7Yo z9sn%j%-U^vE4_$j3pQi$gaP=AIj}U2(!7x%lI+x^mav*L96+KFfTPFYda+$497Oqd zY%w9{CFnHEH1_oItCAY57&*la*j#oK{%aC~EiNZsrUY6|868cD-ATk*+#UVQinjAd z1F{so8FDh4ZdHfQOhMO)RrSOCjQ?fPoi*gV;KG^&Mo6K~u_0`f(6_o-MAxRgOqf#`;M7GAjNQ__$ z3aMbKX}zq%uLW7}BwVNf+=}0FZ8Xb8uME3Wgsh^2SnjRc6n$AO@7@ExJu`GQgEUp1 z&GfDq%O8KFa@fnh5WSBjw3c6-r2prE`4ST6Yo)R*XMu{lz0dy0);q8FoWGh0i-i;A zm41*6Acl7?@@4rn$*x<`oc&JB*4<^hwe`Rr%IPsJ`oSYmrpY@?;m=Tzb1fCDHfH^8 z$^Gq=DAT(0{*>)OcoWy!pZy-Ztqz#(7$}*1{WZ{L=8WVt&ln^kC$hs3GQo*2{${n5 zd5f@=a5-f+q3MmGp;p6Q-@*pplVfS$5oBvGi_#OQ z^U+s!-o26C!(GP>{?1QS)bab33GR3Z)%L)L5@sHM_^0RGE$Mw7nHWy5L7`+WF&?yZ znZ?5<5q1|{R4z{7M5TBeD_7SZkn^?4K}J!@4E5V+_H^HjIE2g)(vsEUT?3M5e*F!%g{4pK1 zS9#i?c&NIYM!UnUXQ;@TXQ2G1!L14{>=E#LJNvbXmXeu@gBYQ#Gkg_7K(D$*z;*~l zgM%~3Y(neyh@Y=Qq5}`3&s0)m=1=+JUcNV#qYD2ySPwrTOS>xgFeNU!Mgn-h8=Zet z0h&nwRgvM+mt(q}_U3m5ZNmab7tgz$zuG}--IX31h7pAMzhg_s(03Zj*< zC9kMf`rEq#KYP}%jz@I};Ots83EVL^7|HbFpj6g619W(a;4Q#Kc^)r$|6l^gC=-a^ zojRB6Sj^beAXBfG+`vb|lBctWMX-`&shi{?9YQ2n9^-+Dw~$@K!`nrlD0LaKpXIt6 zD3Arz1?%Zp5aO2mRF(06*M8|YgDOB?@}n$};ItcVPF9`DbI-Jizyy9zL4HDZ@2UQc zEb1tkP`hubO5y9-Om=KJ!Bv*Mu?MhPgX|yzlpQeFTWq^xgeP!*wfYEt7-01+F%S>= zNx4P^svQW>z{~O(M)@X?5=;oj!zuwe{Wd`2_aiHy{!Dk53S+5RS+^I5f1xg##T8YK~OE;iPv z@*S6KG6X}f;x-a>=P4)+f&O&8t^g*gZi7MEi`${ggg1^kkGh$tHrknqzAS%b9kW}n zj-cUh{QLvhHmHGj)#wtL)Ad+@KMFu}&>Y|x3!AR1-p6sm!xMQ99Ri<^pNZccJor?c zzRe26AO35o1^ONxVX7a-i4jByRA7sLPhlmvF*T}tse{&k?e$9B8b|9}>rXx`sL9qd zZ9lpbGEyQOpZAvbQ}};1{R8Q4MV%)3Fh|@9y53h5nkX8be52H*!W6_4KE4hF7pMa; zUAisik;%}szsS&zWsMWT#jps!saPj59^u-LjNNSBBQb(-dTtEE6pZXDPY7seAyDrs zQ~`AtGN*^pQK{urkDAOsQmXRT9=3QQzj+uc?{94G{Sl*LWe7#vbG;<0Hia)60fIh2 z*xSh3&666H8cj(9TJR3yoSwg^kw#~;AGa;A@3ruStm6$yQ{mguVW<>$Baas*UbCwu z@#ZP(Fq+Zi1{^P(eQ;`_&0s3$2k|NfiIMLTgJILtkNQTK`On?tpFUOYy*Tn07RpT0 zD!!nCIv9O=nz;a$q#{YU%9MT@fP38CJ0&6-+Sd1*_3j{iFhjkcD}y z&K(gfM`<1S@7g`1YjKTjH%~i1H5}=Hf%Uw50tC?#D!|cud<1;flwQjn{(FU;ay5KA zuHqF)!Ro)?En{%&yR*#7UVw!8Ch=eH88#Z7Dh7~sA+KEn@W(mpd!Gi>rGEqC0Efd_ z=96J|9P$Zo?*!gV54u7aRMSCjmX+l?qjP+m93b3OkDZ;%Wq331cN>#)cUBqMaHm2I zhdkw*V}8BOLI9qz&qdaS$pz85i(=(t)}Ll-t83OKJW;pe%qz*On}|>Rjy#X2v~|RD z9|;f}*_L0~?o%LUb0D@|$cTj)VBX-%QR(Gd5v7^31KldW7B?}t;A9Gt^;bXXF>PZs zE+|eLXzk4=Y{YN*qWtm>NrH!pVJTwO>tEiidX|T{kD;q(N`%mqUDgN(mH}p#<~820 zsAm<@BYj9^RIkgoc+N~Me36vGY6yS-!hI~K&Sz)2mSVEV159qqGr$dPq6L`_U2;6E z4sxxSJ;3$ETUqy@pp?BmxFE1thqX&OxIuz}X z2JO%7EXXAbE7AV7!Dd&fc8GKSB%9R~}V*62gF=Cg0M0^k+&(MXKRK}Vrg zPE)ph7!6)yqwKDDB)8wED_ABlVEZ@UiGR&VbIv_4ck}HnC~DiRYTHH5=X-Dcq9>iv zsGH1->6QD#f0IbXjKBD8dP7J$reZbB(=&pi#UlVgl`zwf-Bk#e$3*vu;R#4V47l|+ zBHD;}ADJUvqqH3U5^T%;?io}{NSuEi)mNW;ok2FilQuWXziD`D4KPAEfMlLPm;@Wp z&mM?{U?KuKO}gOl)9A8A0Xh`fShGcpW3+2QD5wA%GdWn9;HRTC1F9p}*g!tuYzQHv z0hT)yb$F)Z(Vcy56*tk4E1N4AoS$DLCmZ)E)FKp`-c`?L%{0Kxtcj?3-F_rl%iGN< zc!4WggIeVhipPOo(xN>1fwxl%k-Im1w=c%r0Jo#RM)4oFY$ExwVn)=I@#FIgZ@!MI z?VG%x9gc(T9b{CyK?Ph5k=ehlgZ|BoF#sysJCtq^{fgb-tH7GrHuAs^P&xKMmUoKF z7H7t@-Bwtz#d`_IoWE>VfqgibjTnK z!(X5aRe8X^zy95GGIZ2a?~IVndwBEGpAqZQC^|Ou6g!atsOb}NdN_WE-4fyqyYpU@@8KBul$Ci(lyf8zvn^ZkH&PQbV4 zInD&$bYexvziCo|3AqYzck3rvN`JF=WPqRF{<;x_9)K7B4zKK!mDfM+$$^~)uR#$9Dile2Yc|l5n4y`>n4))B%6qbAiG0^g^c z2aaIqcL&|%b10AJ9|LFX61Doimh-8^-xdkM12eJ8uTq@*(%^AkG@p3NPCZpCc<9?>6+3 zCUjy+kx8EKoh==QR0{k91K(}}!Ad#KdZ;#O`xdvJfG!2W|8Gje0OK%V{ z>q{)Eyo(TL4o`1rDj_|$!JidIqWF$Gfl^d7;{P2xFyJ{(=iKtKu|~qS^Yo7|n!H8% zqR`o6Dy4xpXn2vbf62OZH?;ZA(VNfkNEemiAFV~dPDhWTK1^>LKQ<@dVaGXvOCW8@116xH`vfb&KC50H#T|K&Wt z;P9Tww%?6$;xZ>M(FMP;L7X6=NocLl2|pqZ`ya0`khyUDXbEV(9kj&&;?6k%k#fEV z*DGI2blLg@SEL&XV5pk}xOGASj-5=vk6gl?jl9^201v39sGYH*Oc2ttI}QcHiopM- zn2NyX_sRJ3fe?Va8I~v2D-0aIa!X0`5>X6lQQ*^Z+b@e=9YCAdui`0+D+> zhE#`wf-(hS@_m&6XiHQG{$UxiYbK`bO`!V#1psp7?#2=LVlt=iF1+?nW~R1}_{y&8 zm-V#~Lld>Ls zH?57g!CyQ_wS43LP6-)aXXDM&Ey?y{e|PnGKXrHSvYF!&j3LS$Cj332X5o#^M9=l_ z-Ou#52&7z*r!Oi9sy&sji_3(+c#z_SX$9$a9Q_ISl>L#%YKAlr3bwOAeVol8PeOX2qOm9?pAa`8SmnVeB`*I%w6Y7|6Aj z@-1<&kih-YJLiP^W+J#ffGfwvax~)knq%4W8}te1n0)-<%|>U5qmj(e@X* z0yfNuqaym=?OtIb{9ghJ_1C0m%)m7+d|8Yp;hC3^`J8rp4q+!{Pv78w19}j7NISm& z1bsF}5=JAl2FkR-QFOB^fJ{uUbg$`GL2IO+xnK5Q#cHaB<(x(&X@5+%=gurbYa5fp zJ_z?QV-^|ly0j7U0f9P_bna=+9+w^#l&0H$n+;A+(48m6%LO2CD_w7};0|2EI`W@# z^yA-+nHx?EfHfKa6}GoN^*FH+F^KZs+doQ zdAlHM#aAfZN+~M96R=H2rZ;g(YgVBeXPbms4B!>Tq&G0omzOPEM=|a-u(S4lUHJ7@ zxS{O`Qj5#Ps~3s4;`gzX72-)=t4)q7Aw4b_xhRh&)?N1RONN6qm{CUwy13SIE(I)#GR62* zuT@=+DP7bj-$e(Z03J6)57AnN0P{~dq`1Wq(0(asenkSma3xXbc>T=xLloEu@>G5$ zN`culGYt3C_{)!Tas{`%50HDK8<0(eS%*^PM1b(5tRw)$b3RL9%ZX_}#-W0HMT|(m z4n(6vhnSPQ{~Om#%veupg=Jsf@e6b@%q%+e~c?>m4tko&qqJlz(x@t+ISFU1y)b7s|7<3~bK+(H?*!4{rtIwsSR^je zm;gZd3~5ClL=2*;?u*p@Wdlhn8}~am-3bqlHxg(g6N5>Zbjjo)`2=x6Cc1#;Xo<%_ z41ytbyVIhsM+g7s98uqmhsK+i1?Tju@o+HN^k##=5wctM2^Em==2jhoM(%~r-03Nm zNeqr?0ln|^E)6%l_n_bfs2XDr;14_l1kgl*lXU=GbzM*aDaALPNS{_$pO4!Mi5rR2 zBvAEF%w|1u(5*kIImSQvVZ>m%3D%zWmg1Y>(-F6Wx5P7`y9h>^3oP^d#n6d%`E(dE zJ>yndD8SG>*Gnr&kiTHf_~Bl*uc&4DTpd06?YM|2RmHvyY5mvOa|nv+%R<2;Lx#u> zl(7}CRR*Wk49&C9VHDM>}+85?3Zc2*5qC`jyk=*W`=2&{~JA>GnG)(GxmU?LT$hBE=3c=K=s^;~kD$U3RY zM7n3f?DGJ&kiAFp;DR$yqy>vC0R&!uyQ?CFn#q4Z$CV14;Dor@UdTx*+t&tz=@T?& zC469`0ZQ1)PV%V5A|dsAhqr2i=UAqn{)-y(vm5qdzkBp2DNv{1!s^F5BX!P1 zNJ8ilzJ?+my9EMYa9ZwYAqG34_^No?am-}H$f4B9-eWx-LGGc&E0AB^l@q<;b8jok zqW2-`@=YMbtpsr2($kbR;>D0gA5uF2*IHuURxyse zeb@LC4IxrEWR4vtf**jbd`B_klk}XVex3oJZ6WFx94J*3VblG*Fqm`?LXT=GVw&H# zpa0(FJI+HY)6V&<`3|X;yM1-WCH}uasmMzHR3cFJ?USalb1HamhCjcz3)T&Ls<44C1V1MKp zy*&=W`&^wC3e7DDFCE-00qf(q4J|--WDr<&2Un9b0v8s(U`?mnB}`xt6*wulR%OU@ z{q@=9HA2fXK-x!vg`rYlV0sbvf__rBDvpe0%K;$#P)AFv^}zOQTF0bQW^7cl2H$!0 z1iT;|avt`!+4mE$M9t7hKLp_((n9QaLgUen&Pq83_;Eyj&4qBFBO_c6*ZM=G1inOm zr+S5Z(wE@(Fx5>5sT?Q?F0Z)^%aMCab(v!^QWGMNCX~b8Fe5(4^Y$$-?xO1TURI&N zST646II6d!#KtnL%O{myD7K}B8O#(?o1>z6UUg>EeQn6%#IK77WBcvhJk62!K<{HoIYcSP@ zuI1>n=RKHqslF{TSPkMhsu+zc6lR`rypQ4#=LSZ=w=O4SgOBCmNY{@$g+@%H(@J(5 z=G*Ol7zdMGRyg@nlNiExL?xPItbvCLC%AbO02ZhkW7Clxqoz!b$eVB^v^K47<$JmS zi8g~mj~nnSV3XBIL%l%S`b(ITl*po_7<<3JD!AqI~Dyp9vtn%Sm^ZVAP*$K z8^65O^g!lJe+b@z573Rj=fGh$8v7G|;@gzGD*~7hCw&YBc> z2{6z7O9SPb*`t#|Cs%L6RP+M#pPtv0Kh&j7s7x1N-L-Wov#yo4S2vKx)=_0<7CBk+ zadE+R+~XWn@czUX&$_BHE0!1JA+EJr=SDr~t8GKEq{c58_mZJVUO?WcJKE?=m$(wB zBgtIK0(Y~e{6IRfTT6NnY~lz4H#saUst98HES@rkgGh$DuA?NU7>iPDk7y?+5 zH5)j;1nse-)Sj98rqfA)&z}EJYngZ~OR8pwiS z6=&~xCjY~IDl9(GBr9Q*(VtN)tq1pRE`^59u zHU?R}M7@~3a{5=OzqgFL(+`;v?X;bS@GZiL87#a~|BqoGY{$#pcl46%usWyedcg>3 z5mtl}2eqnFm(2X*;Bt$Ve5Hk~rvjoNsnog~*WdPu{_ER=oFKO6w$^_UxVZ4MO1m!p z=b~BkXi1IniI}Z8+#M!5`XcD`}_S z`n|hft1s^6?`9JbFU<6}(APED(U6uxXhNc7Nn!C+m@O(|EM$?UK@8)vZ7!l^^TvJC zPg2>6g-$MH+fULBFDHA|K($e{yAb!-6hfqF}8X79ntJs=^2QnDg%P&+h zzjc(WYDzpoM$p^KxMx61Z$$yD6Hc5wA8p7GmqbSaX8kr%fzl}J)b7Gytc`rFn(wa` zwh{hKf>g(I&9L%^h?ihhZHL#NSeR6=_P#TOL+hRzC~4^q@IV{`YobUhL%J|tTG5Ue z*b$J&F-HY|qw_)#^Ys~aAHoJG{~f4Tv$N$;x**;T9;$DGQJbT!n7j{)j>9(A%;w4P z3oPHp!X6qyzBmf--a~6%8g)oumd-4~JsH~{RpL&utRkS}G~6i*7XW6d+@cPuro%r6 z?f{i}xH#ZF6S^N6^B#6=b=fDz6&p)O;t{_*n0Ut;8WgC8Rf^W=-k3}zM<4>FPs z6-~4X4;!wRQiSr)yht;XmPYNtA)lVwAZz`Nf!DZblk-n>J8lsEz4lJRofa!=2#n;O zW^pCUDP`MzPoIi%msep_P*70oB-w3-#yHaxQs-CZEB>hDsWg^$yezZ$?lt~i=hgZP zqMbqN7UkM^FkOji0$%#fJraSUH|7thwk)@z_amj_$`ypB(UHW+e>#eo2OfNVXZumA z@P*?j*`6k>W)~093V;D;5O*)=r}>_VKr*^=OkP0So6?kWflv2UhPUNk*$hwT&G-@n z*2hA}@=JR!u^`T&0qtX|Fu!5w*m8QDRpO*Q`g;%h+5*N_h8IuN+EVDz)qn9Kg{Gnx zll{eIci2(zxi!sF>tMgaj_uTV9nZ|1W2*YU4&#z?xnt#@d=bh1f6_)-Py5$6Fg!88 zvXbWtKg|sKTbk;gak~!z3u8;$VQW4bLY%TcD_-~UW$OUT-jHtk0lNr^RgewB*e*Fw zWuPHCSX&F_gTD$KJ_QetUjmR0#>mXx zdbY>Fz$5bI1>|+G)oCOB!Rz<&fyZ!D@i&H~a}^}MXPPRq3Gz_pVB5EKjvyEWK6M;p z&R><(9tSlK>iEfx36UF-13rfqy#Mc_#I%>31ZJk?M4UKguH#u-G(2Ijzg9W8y$CI z(h2i^^JZ!vk*8sNJo$JfiD&@vG$6F&RsRzu#zB85u&qBP^qUG9nDwmxgVVjtKERqj zRk*}S?aZP+Ome&0f}-(RYe`x&^fpd=)PeL};#!n`2)PA87y-J~+Zw0X(2n~!!{y^ z@_XSl6XX13MY=RJ(D`_m_EPhR37}ld1rX~iQ0L@a8X&zj1?2@!dRstyfN*QkNy_pf z`y~_VE)Ae6rpA`)WYfa)Vw$&om+KIH_4VGPVQp3g^s{Fx_z3U2FyI{^ z-Tehk7#boYme1N~C_!gTH+qA?4;;WOmujtYVH(q&tO|Dr<{Q{Tt0&Ya28E-GLr)HY zq(Na|9Ge)SLUOXX8fO06B=_wK_v=O3hLgrWdkQ{9CM7%A!5;rapD<&i8Nx9Wfb3_e zY@wJS0_ztQ!Y@F@lml-fOWSu#(Zn=+;H1tbt4RIW_jtWT3vSEf+pUt=<(9USE{cqCBE$fr3O&~mOccy_=&FD7SkPX6)DR=)3RrvD9&C^r~ zPlZO;dyl{&@uQ_zl=_`+M=#RfSd5Q5fYT8Ua*@Bon(7I-rSUWb@6Y6-mcsr`;ht^l zRXr~zd1Rksh zOZX{7)6V56QjBGONL@SBCuy~K9n=8{Zi4l0SRkfG3ySWT%N@ejEDyFj#jcuA{{niV zVMz=Lmh)%eyOT{QM!V0JNe0lPCeHCNiDkc3X-w4{q2B%WHLiF~afJuwu5#1`7B_sZ z!uj!Og7xM);gU7c34*tTh6)z7H38`i4U5chA?w1~>rw_kvofI1Rfs@L} zgoJm9coE!Uk2=MF;y_`Og}RCQO-Wy$OW%~yjI`%O4x~F(NIL)t5V1;gq2Dlj7h@(; z1gECj8xM(jP(Z7C`U&OX2*W8>`9hq9Erz@xAzf1DbL8fqP$MbNN}EkV^I$c32C5am zxOC!pfJvpoOGVtZ-tn$)8|R(O;Jy~L5#-vAeUkW~?`Jy}jbHIe4eO;#n6*(^(bp8+ zq+n%goW}#Bbz3(}nl~P@spww@!SWhqAvfByzn?q$bGOdpfdWUJndwW=DjCWO?3$pn zMUstry;f5hvQl&e9q7cs@%xv+i;yI15Y8(=`wnD7R}qfO0UJEX5IB$JuWM=`2k#XN zkq8VSeej@u=6t{lC~c8knjLmvbi%W;Fbz_TdlOARpgbEXXf92b2JK4FtX^g zm#`#m9E)+d;;7jiH9t&ufFmJ6vno-1>Cc6XAEMSQo&)(%(1GnY515{W*N)=2r|Ru` z`z8JSfGL+*Y*In}Bkmb&<^bCtiIopcV^KnG_l;TzxAL_?AGCbm@cYqK2-z~mf-p6L ze)k#CLF+kUJ;+0G9T1oYmE!XEPx?l{F1h5|AMh)MFS0rCsaL>jEZu{eax$! zFnSORJRbLcB(i^_?mdiAJcA=2p{)d$$Nv)2i^r$`h5m^5Db=r2m-oCS$EVg#g~Isp}=eBmr1K{{w^nrqre-* z{nhg|F7-i1?c$!${a%bNGJFpPOpF>-0sRN}uK-(dWYc{^1vhycyrmXV>FUSxpvS+D zcXMr)7Et%poQp9$|NL){QrCSZC3KFha(R?5)BZ-nXzsDGW}V>JlHBi#hN!#g1P6R* z8rs#l#8kP&)Dpy4oVghn<9_W`rHy(OCMdJ(YL}SZsMHaCn;>HLwjdgsu#4`by0d~E zVW;11L4{>ZKPtRc!Jp?2aS)-+6E8N2RUKE8v2KpbfoFrq2pU$yEP0+v2$iG=@#9uV z%XYIQ;zkzJln@vCOX=$!Y+tPUiP!Pk^m$R5Nu(HbLL2~80m!dll|xWJ0s!pjHs!}q zplAQ?m^nX)kA_)Zx4}!FVQuJ>dW)2t^`#QFF_Y;9%A^;-6-9+QBhnG)ppi^2XNrFs zM`wSH*1bN{?S}HulKb%ILI6`?5dDSlU^HtZ>nIxSOl5Hss{|VwrV?^-}%xuwX{c~TUq%xvyCc4I3&0%@9$kjqceTJ@wXXe#`p7X?laTJBvJTg#(8Qhe*W6Wy{qq+^02miF+&u0W%DK!!8}1w$JG3!h>zbt ztn}C}j(3d&JF~QtB=()N`vjd2zf6ZvtHp3ObMiDQEBpM#0Yire_4o9MmlAPBWo+lw zY(=BTv!-jZI#_9UJt2Vt*-O3U->^`4oyZxl!!<9_$fIAbdzd2DY&oV)Ye<(CmQtV* z=Ty)9kr26hXJTKeKD?W!1&VJpFcVpHMKTrygtL?jXXrn#`0Xyj3@)eUggCt7%N7>V zq%bQJ26-SsCL+TAmhXd|qwZCJle*woOyDDBKX^~Nt2`yuab2AmS!&@%!>Bq25HLT~ zZWSs7O&<5~2oYYe$?-#X9dCP%M%BU2S4~Ap=#H91U2XZd^guQ@%ynDbw&`86T zTVZez`T~4^0fL|lsP~U)2)j>QJ3Wu{17E5_f6Vb&P@aS#QqoV?a3Ezi;p|uFh>|h` zz;u!w@Yn@&>5S?+!Y_v~ARUxGME74YmSZ5~yBUuJ$|6Q1ak~^QY|r5V**FOZ>mIsb z(XQF=IQFkz;H8EO)*Xt;1MZWW1}*We4$DqS;hMaK*&Rgn{+`#6rvUFpFc{2<@V65cPG=%1M;8jQ> z6J)IJJuT@ms%7Zd54w*syP|*d8%uLXg<@_5?U>i5Ru1ff5-I0MP;8O|zzsZy?90Q< zt5jD~3!fw);;!9D)-sc|szPKUbXU-U>avHXZO#IIPhLorBu7Zhbzoa z;%5l^REQ=}b65}~k5G(d!-NQvMQ%{X6i{Td{-ft)>9`TR$+c22mgf@uZy)Q&ppQz?Sk338?+S4nzpW1N2BW z(`{^^6M};x5DB=sqeh?|QzN`6K;tLDUk6x4N@q1wz;UgaQ(@bOwc2ycPeYw;+%Q!Y z40^xhec`7G1?|e+w^n^ufbKOX5VJ{z@IsYH_HhBm_+&`X3ZFvV%gN*LXKkeQ|>58{`li zA|Pk(V10W4y48&5-xC^^GNCW3{(16A zvW6ZjR@>ZMllFB1?#GXI3rulF#(%pAUX0vRV0W%6JdyiK(D8btg6F#n z7HHhKiCW*HxT9lGXzG#j(6tNlLnb1&XS^!tqI>+Rei(L``qbCx1{1OpE?Ou!Sr}+w z|HJ1w*=M9lWc?`OVa*>QFw`BW8QMJ`nkWAKhuy%AdkAE)nWivLbt_P)`UP@>?_YTT zGUaxnI7|EBR{_(V)7~M1S#gYqO)Lyyf;R=P%d@u=18OrCyuT6m;^aToai+lI(1MR6cNz)>@xSx;wl;$2UyX`(D})m1^85~%IWmF-}TKp_<*mIdyFDC z^6)Nx2)VD%L=(suO8FBkCh*W4q_{3id{g~pM$0KHEyv8ec-J@}A+naZUL@XYrSH$q zbMr?j;1)cIV*_@MP)N3ZP_cTgA={FRr!6P)o286U=$px2z1SJ8DymDf~aaz=1QPHB1up(Hqbps$_F!0N>zd&cWp$osyvAK!S?aM9K?O15dXw}HI3!yVT7=ZZ~%kt8> zU`4!s;T8U`10+>Tep-P;^$fqFOX| zn(Yg>A++h@!|js>&_d{6CIy39PYF z#FI7#v3<#6RowHiYxP3>6bGv;Af_s;0NhZtJ8f3A) z#gY=GeW6qm!raW@4I$K6BR>fyMBc!&Sg7J3-30XFe!~xLMLmvV(ytJ9vI!ikQF#P7 z3UvC_HOD^Pw-p5}4u7!JQ0RzDywRYqR|HHyDEg0FasR?CH_v0P8t&u@eh(BprPwboFUHs@4P959Nrc!JeJ)GJ>nn*_iNuzBukLuByF}?p=DRj{ z%1@(=kQ53Lj&BbS=YgbqY(M}sZv~hT$u(C*HjPsL_G9((3<8keVRVOM)n9eM;{@!! z&x*o<`IaOq8)yUqrvmqenJ2*voX2{Q?oj}77~Rbf39-D`bVxcV^=UVrQ33HUxo{c1 z2ft0N%JzCc{b*bN(b=?OZsJ`#=S*7R>kF9;gn=T7xtowQk_d&Em51X~$Ak4!9AAR2 zg;k$og4>*xclxd^0hO;p{}kNXJ>`B2M!fF4&D;-)YmfxLgnjO53LoL-^_D0c5HC=B zNHZl4!O`f>#W2=oqg=nrw86ENKWAQYob;GS1H?)>R>lcPij2AyY?H(`Cq;qS(*D|@ zS=gak*7B5nwfvsvSlSl; z>g%^=^Fb(xg+ZlvI4_9GEi*ZHV5OKu!g5%jK4wd+`}*{co^ge1>a+g@UJAd8(61#4 z{<3uY0V!Q~tNiU6ADXkFCME|qEAsDOUXmrv4D2*W8_8p=kWu~w?buwCF^j~8jOOlA z`31$n67v`M?dOC&3a?Q;(PmSm!{2C{;_n9>$cK!xpKdFz9(1 zk;$VlYYR>CKd43DXLSUS94>92OGOeSr4mqT$rrY+iphVY%U^1K#kZOSmm!HB1?e68(FkbRL+c1nD8u z6R#%SUncTp|NSNla6L!rW?#|6!v2MfNGBQzcykuj9ex02P$Xm)pzz3t?@$R1*Ev(Y zgH=q0E-U&7rvoI*V?q8@RUI^mAHoFfoC*oMU%v|Efi^RLn8Y_`kO#)MxN(07K!3E^ zo6aa03@ew?SFJ`}mjMxnAf%gD-wUxcnLD@d^{Q?g(!Jsnr&ZO3iE-;Nx-^;@E=0ZB z4DS*ac1yIMNazb$JCINDKhV5B*cY;d`9?*uE%vk9Y{TPFY})3CPN112)$D ziAkG%yS?5%*@|sKZ@w!t8Rf^e`vNRoHkc_$UDT(`I0{{gAq09!rmAR2xphUj@8p6% zT!kxcgt~Z~xY#e2lrm5SlD>^l zt*{xI5UmZJ8c?5#J?a^-*A^5Pl~mtE8J`y1U*c(5+?XkXJ%4yC zGCOg3Y1xO*o3Sx$CT|?&^)lKwIye12Gwoh8|9~v9`V@Gp{?7EABssiHMo(;_yhdH- z3%FMM)>La!7|jCohPYcUq&^1kzz0PY4Uoi4yO?H9$<@c3JAH_-!cvAL9H$L4_cTit zRT>n2bNx-k(km1zh5DqSlb&+=M2fs2`6If_B!Er#!CYmO8nJAx!A9<~Gb)LeWUEi1 zAKoV~8VQ|QOo183|8v^3SNFZv#!|nBboA1)KWJH#D$yCFI}@5Q1-2BDS$abgt20CM zU`BpEN!^$Lh(b_QY61jE!NjoUTR!?I?k6Vd*R)tanO}Y32 z2nkwHgdHWt6bImroq($P6cuoq=m^lelvCndaNYY*u9b^-6$@y4Y}3+z-eR^StNt{< zRa)wyPltyd$rZt1n9=nI&*9FUaw53@M!(C0b`+^JOq_!so7H2K$GN^?eyk6K+Nh9( z72mwnzxrOpk^O;w`M>8p-S{0uZh|Io6oH3NCs~J_-&WZrBHs;JO@#gM{Td7qW&Z>c zPB7^p0N8T!8wC;ZvjYdp`Dy#Uzq-WZv06!(DXfE?YK4!OUxnbrjOGfhdaV-wgfp5c zjLyzu{1~Iy3y>>)AFib6>c_=`t&Zo?3GvbVj?WWS;{e)C`|7VkPF}xED ziN8n^ACk_|A-k%3jDRv9kVoQhuSh?eOE0lFqvEiD)zhSm5eg+QT-jgtnYyAgT_=CC zJH>`NNQ**Y6YjMAb@xFk*cC$^n?)chy^6^4eLPXjAuF%;ZcE04XU7&HGM0n^k#kK_ zY^Qv1g9eLgaDabB&?_{)1fvP;ud`QlLW=M>kGJw2>ZY|J@a_>$q+l&NU2$Q56Q>`~ z+1!W$4(-~+{3&5zSD)qL*C}XFvc!t zn5{j+%0`{%FEBsx%g;y6N51rnCsmFcloj_x+DRDy4B?>!%-2okV} zQe-GQ+Hy+1ykZd?d0?yXb-Aa@64?}dhrYKRB$YEgB`yObd{;(`Hef$jL5ujuLCh%{pxk`Pj(+=70r&w2WHs+MS~?nO9d_s_Ie_L;hSORgK)J(9H& zWLU}GZ-dWOfIHKt)b7I&F^IDh-FKkg2oS@o_W#yy6f^ui2&_?Z{1zC)sfe`OoboEe zlwOVU+CBx*!4}58@%d!a<4kq0vSw?;J%|!ud_G=ibTbUg`$6CmB|-J3wN~XCPl9TH z?}q<|@&A}Q>xZbiH`>n(Ln91`gn$ekN_Tg6cXvs54P8o?ASp<9gTN4ifYPCKBi$ha z-t&I%{o(!ra|Y&|efEByXRXibQwS*BrSYbv-oyOz_Y>6KsUvf+YZz_qw8%+w>ikH> zl+8f<6N+w0^iK|-A#fE#vv*n^>F`TgtZ>;C zH^H7u5eI(7O&!;_4a)-GNU3YDj3e%n-k~!KPxZD*pVEZZ zX)FoBgA#N>Aku1i{=sY*T8UkOF#;KR=Cs zxux=P+dSeHH^50uBv;2xXp~dQBvBn)8KUzT$U_Tj*j9j3Oo(N6)QfqjIF(IB-5nB{ zX$@1~O#DhYK(_jVMk|J|J4n;bEr^p?07;y{QQ zLr#stC4HgymB*tYDW3&jIMdU56@Hx8PZipAVSRyO8o6F-^>=_0I@Cmr!#KYAQ;Q@9 zm;?w88kZM*E^J5TY;5lb6>q5LFX7CxQlDPXTVmS+WqzJ7{4$ul{eCc=mx;l~2t6+Z zMk!CE`j)bw$ov=NPxQhS z$fRcNTsQLk?~fs3=`q7EMU@*uz0Zzo=8n&9LOZa83t{RoMh`)1gbbv098;?^jt?jY z=n}ekDL(*cjdM)IX2x{q57{cge;#^kCwV*So1aXLb2U$9l)jp3k;!a-z4w-&*#$JI zY_zEnlQgo|`Qx`(ed7yT+E8-sW(^~#TT+gJ%hsvGj=@V|KmL-qX_S_?F^IV?c_X=0 zUwJQ=P_B6G0NK>LoYLS+#qt!=57gIAC%TnlnF7qzbrbU;0V}f?7>$_OXi;krWO-dS zOG^kyJiZzv|M{dZosahn%5Y9n@Nu zD`jC}{gj|W8**D_C1EgVW!P=n*h4?>$w&4GA4Cdxsb5M73xGTnpwB=#|3W-KZTb}A zidYzPsPnz>MTmnjviomeUPPln#(Wn8rZx^MhPgc8@g4u#e+zBD5CyNd->iei&**F| zPzykl#c;Z?Q4aTU>$*LZ>ih&0L=^5^$Gqx?xL+&y)D_g!xcImiQF!RT-NHW(jkKbK z*8|AY51ce#Jkd37DQxA5PK4o1R{F1*vv5a^UIEe!{mw4E^1%IoP;<@%?kZjxQqBi~ z?^fa?*+Nd*s>1bOj^d;uI3I0jozH3g%2s_dKASq|J@*L#DHtk zm2D&Q*KBpfI*P=wjCz#?W}?1AFz&55{f9Db%#BDkB#Dzux8Ou2T%j<4m~Btss{vhSYqrNS_AdiuCNyE^W9ox4H8*-y+@x0;eqS&_Gil1@0 zwb#h)A5=I9&&-624`Tg2WYn7xa1lThDE5%XrL9Saa)n)g5a z_WXE>`Bx3X2Ey7M^a@j|hp0ixpAOOR&GK#B(fEF>pgqCM=x;Rw==8r-AKm|IQ;O_nRM zDZj4Iy5PhzR0zxHEhk9;NiD+g07VjpFSCJw*5P^@N`1B%i2d_hgQs)i4x8e6B`km_ zCV>|I40QY)bg7RN3nc^H+U1-+ws_9K+f6zvbzDrStl|wF5xzD8l*t3UH0R%J}A|&rmC#;w11BfGKP*U+6 zFnt1)IohFhV%`M~-@;X{Q73^ottE<2l}mnMMc*Tu@d!6wG^j9?j9p~~4jB~SGSYS5 zT%=qoL^@_M5Q(1o=^QVpH@g4yd;G;>yf2)OzrWw7&r4Gi+i+v_3uR(tLvHl|Rlo4#PFv9g zP?{{GOqP;|Shty1vX!63=z$Cu(Lpskc*M93WNYuR2ZnkC#!rnY*Yo|!Ci^_v2(2S# z=RL^rkrN$&FJkE;Ey@+_h8BtnA9+UU-;{lT&HS_hh>tMP&oSPkWv?pw1OgAOR8=g0 z#fDv}4snF0_A@c9YdB=$25WO}SUcr}TM_~6gqHfqVR zue<*a#Wh4<&H2T?M5LMum&Jt1jxbdck9-Z_Mq?W1j@y5_o>_|yAE{Go9Rgq8ba@jX z;MI=yIszI(nv8LPCkOB?sKckYDw$05ujK~GHKkmj`2xc!&pZ?4TJ`n)@66wu=?RhK z3<1UxBYZs2cP3d%gMV!x+Kwi-tf?@|8^AdC41}eDb4+!z(3Q6qP4snGC*5EkU~fO8 zGh1Annc2aHOlc&?-i4|e0yr(f4I>bmc0nb%O%=Hr*gQ&XvhwPO$`cw$dWW&Q9@Xzn z(R+Y^F-QYa!-XmILflBv+heT?&(Opx?ZF_4Z#9|TE+vJ|GFFFOP!gZdq9@<}>|fBK z%xW46ug~@a%NWRI2f=mSyb<#EFA!t=>zdQRbQVK$W-p(Bit)8sXs{rq+hwHyYJW=u z-4kqN>cbZ0p8LM?U1@o&|#v$6kL$c{7@p=nEllqha35sz_9FRd>4fOT-i8 z)TBZ&kWJ?2C4Q`uqT?$PZ)=oRJRaxUir;LgoS|$5h>6^;f1R|j!d+g|tjE$2&`|+f zk9%JI{os?zy)vns1M35MdZ0J2ZGlrC8i&q5EW=VGlz-(coPYlAWgSM|g0qF;0Z$-= zZaq3;)L}FPc9+xaDXUCI(%3)&gbpngK*U=&cke-l0l0#*R^7k~1>{RkHYyi?aFE;J zsY`SaK|>E1@p2twbJ^e|Was?cJwO9bI!k|p<}=22s?gU$b8Se}-l5{_{3?qub3i!$ z$?(H8iDat#-Q%x|?oWY&J5T!Ehfw~yiZRe#$w#$G`EKoSQR5MEJk@6(vw=dvM^*od zVoEoT45gD39KmRQz+}O6kG%1NatJS!d(tmh+tjUCYDt{jRu_z;UqzZGjHgI#R;PTq zkFc|!N~EP-F>3vWkr~2lYb%p%zTumZh;IMhB@a|B-?NAC^>H{9utclUji}29h&|+l z{0S%7Nj-|2FTwwMJXQ6ptp12uYfi$WMCe1$a2k1u|5*CJ<6+uy2ue5jMd{74g?;+X z;XX#lw&-R6TI@i8@K~Y|WD;t?3NuX4eu+Q|t`_1a5RCdTEBxlbG@PrO2nAk2m1_kq zBD!74OIFaYD2`MELX^K~spS6)t~-pZ@j!boO>qmo4zhl`LwaqLNl>1hR%{l5@QPx$ ze|f4a)V}uClyV5nVUJ#1lH?0A?*Cw@4FNLK2~_h<$=05+>S8P6F? zbZworg9z$v$bOaS2YO>!y!*>ebKRd%_Uv)0vG-{)AU+kJ*d`W1*89xmoOy>iOdZpl z)@_v(eP?HJpBu2h1KzfQ;?^s?8e_;0HF`ZIG=#(0B)yI!cYAm06&2>hr*IC4tggr} z_0PR)JX2A+ExIz<^OLrqc$8&OA-lDR`05%ID1{KL(aLJSNYLGyI@@@2yKlMYJnIHk z%uR)9GQ$HUkU3KlqEQ{d_H-FpvmLu&BJ^fso$UWzBV8T!P<}HI1^xqNYEnbbitVQ$ zP3HHNXOP1lZ;$De4o&Hrz@W-V1l}c6ti67)UXk3t)UwEdh2F7OAnGv5H@3H{Qy78Y zypBW2*Db{R!(Q}#>XJa7Tt>3h(Huk)^|7WOpjI1a9lUWfL9uPQQiv=c!JtV?&4H8> zg?3pHY5!=%RS1H>SYx8>k1HDDFvmi$FnURVtrqNd%Vn%@W@lS`u$=_ zy^=Y^O`7EeAXWt=(8d&)Z{4In6CN>gIcSgCYq#}hEKUWdUT}eiH9B=1dS^|!@w%dQr4z=TCya`7ClMH2Q-F^h@$6zD;0c*_^_kVIhvN9? zwAx+TrZrS=?SExzlk)%yLBNR_eZOnUBgcx=M;zETJ@Y~A({EJG7r)$bnA=NGCTJ`u z(r9t2ULG{dO3Gq$V1Chf*6)f>MCALc)uhn2^T`gk>4lubi7~k)8|s`%3jFfj?B7ci zb1MG?V<6;oWEwr?jP|lf9C-XzFC$ajKdvVT)<|w&xUUc~&LpTvTevqMSCS1Hw#L6I<<(Hx{Pl`9kiiGP0$8a~HI5D;(Cevjvy5qn_$ z7Gq+Qka6=e{c}KFMAfE3m=Na&LK*@MrLc-@5+Qqwi}ECn2)#z{34U+mGp@N~*yOa( z-_v|dW!Pi%G2M*xe_p~_Fc-G5r0(wtPtJr`Jl|GNj{N&XWi-&f{DWu}_B zw<`O;vvvQ9;`%|vWzN9}q8jl9+?o0ZdKUX}U|DB0|Ldq0WdR9@wEblES51-C4G>w=OB5(*ch+8!z(;Mu1)LO z=z#q_JZ(JH=EX-t{7)rDxE8Y1 zNHz7XEui-&FmK|+WC>SZmVmb5!4TShHqY(JvF(cuw#qmVC5&VkilI`MBQ}mFNy~hM zAlDV4oWJp1}pmBu{?+8yBFLA_Ee?Az9f8qVhNs#zf=Y*=K0JPqD`}@DzD69#j zP65~IGF$>cvcWtVQ8n(M+k<8bGnP$*)cM$|kArwgl){Su#5VuA&x9=gyeO#lM-u+G z4~LitaKl#F2lby{7n-vUQS!+_Q|m;Cw~z4@%~S@WpvmeOJ`ffY2!7=7D7$`}Bu0*& zz70qPR&$)IYZ}^k^YGMfHZ)i*Bv{w8oBxNQb0vpEg(0v<@sL7tjgM}pWC96XtrvHFui7sIpS&D zo04+C@Ot`es!xtRO!&#YhS0nfC09SAmrcQ5Y@ zpG?(3w>fi%JyPPFvo?5n&GQV7ayp`g0ijx#fJgE#kd8-oqMA`Ue^A5x*0{L8*qV=8 zuS^6SY~D(f0QnrDlFCP-lnPs)#WJmXuDg1(!F1q>nN>^CLE{^-akO<&;H+(hKa!^P zjW#*qsv?T?jm8b#d{gh?D^Q~e`lem(8g~ECl4*0t4k;&5mt!GwS>>zQ*0k`ao`Af1 zC7wLS2IbV&C-QYh-w27gM2zQFWW(fL5mrU^+q*&>InSUBC2%}w{xFzeTm5aFYzq(OnI=Pbosod8$SK)`=6;FenGc2 zJQ5A;gV2Aadu2W~4)0BnLG4*2kv+b;M|oYPSJC6Qom~g=?L>FmX1Jgv@(CMA<|%~; zwN|9pemB$y<2h6Lpdecgf9NdzG8WgnH@3z%@wsKh{{B*7E`EWTwDG>dMNexe>g4Na zpk6k47Ld3D1TmNiQ2kt`R2V#A!p>tT+B)iJIVL!Jk-s6L>GCw40SeC2Y>rz2;cq*Jqd>6=0`&7kH)gq}4mHA@@h8N$z zs+}#*`ey|#{L2!&k$IetpG)X-24yn)r zI@fdbM5PC7HJ4!Km(l#yS*OwTWcp$weG0!&;sSs`W6%E29_@{ikMdWZ$-Rnm>w>LW z1ug!SKNpp=;jJc*m{z4~d~qt2qA)is&3;RF*&pxEsFSv%+Or0*pRJ2=HR|CR%LnUVW}NqZe&qerITk$eW@JqvOK)bwP4#BkaDq;w@vC2WzIT|>quC|KD*x$b@p#`P zixitz#i3;SocJ<&6Y$SDt3;=4lc7`oL!sbOqFbF+4xI_}vc4y*B44$6^;$Te#Ah;- zj-8}jcR|`ROfBs5_{Y&iMU{ri_g;Oi#l7U8A4985SPhoWo&Q)^z6G0%=J>uUjnpH@ zrBqGQ_RfC)S&@D>{kjkMQZ*c@BCh;T&_CvtBRrF{9}+rr1PWw9ZJ4@9Rf^ZG`T-d) z34};plcr+Tb7fxYnGdzj3#ZhIiwqb6jipOR<6$A9ok3oF7|hIctl?|-?fs$+>Y>K( z3g4qW!YH?&Z;}YoVw!FmoS{{EI8rqz{%?SYM-IvNZ>*kKnd|B=j=f$AG}p*R1~=gv ztNrNtNb$skI!evoGaXQh!2e@JXbhzArS$z23b?QTM+?60;wf%@5e56~?B?FSpW~k~ zNdswW;9@*%X9H$N#QRL{YGFHEpm;@%Qt`S`?~_Uz1TiRatKG=Oc|R=DHIHH!5Q({S zMK@P=O?tMfXSO7#MdfXVMJXKQ??&o4*{9e~5dJghPG~mNzJInx6AC3rLy^Qn&$Y@~-H*ObPeAq}cFt-hHmHsMF*^zn!R zC-{1ECw@Z>#2@7QYJ8Tr%m+nWuY9hza}*d?v4FIG$XU#Jkon?{^7esNHm+ZzI+wZ+ zY-a5|#c@HlaKkhsfFXW&0(UwQrofHd^`z}HN7dt>g6)zuPjfl|ss|mV9^9pasmwrA zP{3$QMchsA$N1_iUg`;%_xA%+#*}O-_Drv(C|wmy{GrU<*D1w*G^lwG^l9EQZ9Qq> z>Z$>)RUK{05`raKEtIUT6)6MMfOhgRek0Punk?Z-T{3)~hmMGVqN$Tuar!N$hD?uy zWEay)XWzH6PU|3^+khWI5XG9%ThJhvW}C^eC21%Kk?Bngn#Sc5S8WMgzzBHv-Z=~O zXY(j-@t0pF+NL{!OMC1qO;J5eeNiD}Nc^bFD9}j(*t0A1#`W)jOq?K)d8WY8XJ|uY za~=Ka3B2-*y_@7K8>VbduON~V3aZrxwOez1e>^;%knMN)Rq)miF?C%#l3)2s-7$3a zJ1YYEpFqW=B#rXmFxxwjeC8?;{Z15!MGFMI{ktQp&0U*j)=Q(unUttM+GDc^&HtnL z;ZUY}=k8P7woli)Ri!CoJ?T?=C(7{3Rc8?`wV`2=qB&O)Bcq=1AKL!y!X~DFs7Eq+ zR3P%SrnKg#gY`nug(=lE>NuYxmd~R7BDU!Wy@+aGWgV;74F3-IdbKm49oMx_c>;F~ z&gYqw5kggN;mEnt@G080NFr7aDR%xCnwte?F2oLRIZTZ&W_;;ToeS*!@Q-WyB^V+J|r9MZZX z$C#WVm67tqe{ydBe6MHri>R&stOtW1Olj389ivE!`=%8c6~BpT#!g2=~G;g)fbx}Rw@3RLmjpMf$A@g<|&41-aE zsg~y7Y#@EZmH@gzV?~EXT_ggZA^m+bP5=^3baI+C%FqaY@TcebI5RJoC%+~0Ynu)55&z|#(nV<|? zw&M+GTtP)_V7sAq5AL^BB}DfE`ggJC;!!IJ$8u1(^2&2~Xby(`{;o+`h!-#sOM34r zVw}wzzQRreLn|z0cUxw!4?_<5Z@dO4vJbF*$rD|sN0R-MMyj+ChS1McbO&@L)h2oQ z|M3%G-pS6t_@q+rbMvjd@@2<}hTKouz!5w4rW%GXL>U>0|6t(L_b$*cudQ{Kks+Ff zbuSaEgd%aA3Zcn04akeFC`uVzE3Awxn1}vq9B1{a%v|{rtuh_*>&98<@DInmbx;3p zQ+BEDd6G>-mo!9!)P+RsM$eq=oTe9c+%Tb{CkYRUz;?#2uYt#(z-fHuo9Kqn){F)C zEbCv0P-0tRvaDOO6;5Jb+@RGks%{;bY~PE*c?Shj+|v=&l!tnD(i1tCS$~_C#Zi%;nfw|9v)Ects^aAs(g2f>_Eb8quMnmLgA<5a(n+3uU)c$nasr*L>l^$2sVg8noF6 zBK(3^yLkBCt-WL6Jm0#}gjoKw)4_d5%t00nb(}>WEvYf-PjU0><+E;d9L5_q8sx@q z9O(T^?cW-ICQ9W5b1P2OGW@C6XAYl(F?&}md9VsZ3JnsX5QuVnca{bqQwhCru<)V& z_L;!ZcTWEM9BvbVBdObd(DNZ?NCHC)C5E|XXh<;iIt;T&kTK{6;WhMk{H+7z^mFg3 z;#C}C_3ma9+_xSEdV^|Yu5=3Z)5$>i#gBPy@jAap?cDc*YV-Nll6Yb_gR>WZWJ$9; zGKQ?51+sTUATJ(EPBCMH3Pj)}!Z1XsIkxkD9!T!7GZWaQL~V?A-xvtg%SYgwB$Q@J z8J5@!D!!L()El}%AgeH?TYjt6a1?e}^_g#pdNfoFHr2Oy600#+>4h*yZ za~!l2pg6?1+KItYa-1(pO&;)@HfkKIe}El*cGivvD4di>z7|S!2(>N)o?%Iq>CF_r z=>2OYkIL|{LgF1h%1&H*RxCUYTP6We$7iY5k)<@*W=#n_r6xxotuBt-;o`?9o~dc~ zqtvy-pPkz9z~tJ1o~=(ALvK(PRD}YW>d7#wMQ!vC-j~M}Ui$g5KPQfg$(ElzigZix zE0mGy_ZsZx3S$>O?p(|=i$AcTYCI@=B~;NaT;PtX*bv7)%m2d2_Ol}O&FHnl%hAmj z2;BGA_J>YdnFY+SfPU77)jydZGu7I{r6kthyq^W;gmApvdt69WTRK|YzWD{(GAK@= zMGPX=ZjH&aDp(|@5tURW1<91UG(Kz3dwSyO2*m|=2gBj}mC@Ax@rR){=8sQID=exi z`65eSjCUQY8+$L3=RSbRZwNUDQ=UOH3%G*RkRRor2q1}gQ1iax^VHJX8Q^t4cp!~u z7wi4I+#Q2w#vgS@3roH-Y}HWLg!#ULJciqXokJZn?Kf{YEP#~&^j9gOApbRjsQY;f zn4c%}=f~+=f#QvgzHM&qwb&NlYtw_rgco`&ZKWBH| z9>-`I6{;Hqm7i%^JJG3qmOCLZLd1f+*w!7WkDXl}L*nCX*57Q{zrqdrOD{QCwNO)w z0&y&ijtNp1rA*+%zhC2dn@26{k~Du_F!SMFN2wbbg$>_&_wXIld0r6>SLWA#c{j3a zX2^=rte0+zrh>d;f@B>jY7Vza!GPAQkrbNo;CR;w#tmrsd)OWu#FjzP z`U!^cy8+p!NwPW~$Y@73$v`-l;T8KM<8x^vhaN+jPpr6ma=^5_H-3wH47e@4ts|MANVgx}fFGPpV1rI|a4Y z=h$6RADL_SVwg5~1i@`Do2VK4d~Xfq3hxk|AMd;OAvR{kUWCN$EVlgZnVDjgW4-wI zSuI+F-am$y^GdEGpUHhh=~q)$2+ORmSkUSTrxLxJ3|ms0`7{|>V0KcHx+plehq3bY z4Rwn@tymwa=3(g)ZCHQqg4g&?;~^?%5R8;MUHJvB5r? zeORdEaPY=)u@k%6Ox#&TR-<;Wz)qe@OnY{q{_6pUgoe+A z>;9`>HL7j8a^5PU{C>30Q+#3ip(pG--)Yl8TgjWR5`Sk|j12lUJbXk#UN)Y|yT_#1 z>+sz3^XR_5U|xk4YP8vh*}xpx-0AmAVCKz=UX5>xw|pV=IntnD41HTN-X7MM9effe zPp1!>gu&>LRn#wOSXVVtK4T!s3r@%wF-(uH@w=M$jrblGSl3>7>p?-;##($`ltA4F z$2Woh-O1QhSp%qqB4xCZR7FxUZBbCWf73b2s8Xg~$5vLlHsxrUbBX*b76UJ5OYikZ z(mmdp^aFOSvplUqLqkALmKX1L{=FWO8uu(3H3HT!-Z#W#Id9-Q*sW+9v6^~BvTK}0 z5g2XszP}}0YX_az7_8W`A(O=)yJS|~d=bT%*2J5Mh)S9_<1Z-r(+U;;g|D2{j6KOW}21j|OfUPKqX5Dh*68n75HXje#tOor_ zU<+Zj>HOmJs@01CwlHnVkJ=S|F5x_OHsZXa&CK}y!9 z<&JJr*0B5ja2Pgi$o`F9jd&s`39MxPA}rKIR3=CXG4nwV+BBaav>bqNRt+UPJbk_% zLim1bcxj)FctXFQslIZBV#=)eWc)$XfoKj-q@XqRwJ@n*n_&DcE#?NBRfbxHK~v{> z?v(k+c4|(yyRXK+i1k+8K3Z4n`NkIx<8^8z)qXW~eMpjnPjR&Om%O1KQX$4@b9Ujx zAZDt)nuX048>rVs8YAzhV%!Ib&JRz*M6u4t7(~{?YfRf{^kBJ>GJny~bxnH#@t#qP z8^S+I-23+h{iiPj{~7v(F_o1O-&^k~pv>hO9yP#$)Nk#)46D5F8;YIr$oo$*pOjD> zrPE}l%P@q&3=z}cB~`ERzEX-cSCPvs7&3I}XB*nk#0n^zVmft>zun^mWC(P2VimM}-E56VZZcUq`e7|T zNeIw|MQx#?qMh?-y6XKLKK3SkBDTpO_r(97jMGSH3#rDafYDf z-K@8apX7hyGtW#e>>UzMcPU_*sh=!sSJ_CJFpD3ra1ASdC{fqmpdPS+-kfrXbSHi@ zh!Vlwg-m3##U@`7YK~GHMA)Iyk6-Dx%~R4(^JTvCd_2WiPGQ$uCxakj23HdR8}HQi z1ZFJd0|#;WqYvw}qR%*XITsVS8t2kwK8bvK_JMGvAE?v<)hK&x%nANH2lvs9i+@nv zXObKuT6>O=fXG2SlKLpng@UmqUFnm<8c_GuG#_Ym{++6o`ddY^TNQ{~PX@iTV-yV> zX+B|1hjb+()Q>Zr?^~llj?(=Ftr{$*_}TVuk0r&b4z<+vem-})51CffDPuy^Z3Ckf zGIAbDsUs_dg&P8V4eL(t&k64}5=ma^0%1BDE$A6l6Fu72Fkx_bMYgH|dBA+sY3EnjdoJ ze~!*jz^ZKDrLyD;*11aaPGRh%BN?FUGBlb1oHDyVuBb(Epm#eTr11tEN z0mhXsvGk2q^E?W%iTS|;K!(-IDwc%OAw>Aw#fQKL4p<|8|8kZi&>ud6#;)g4M4!Xr z)S%qetn3DDfmw+h&<-{uR`%n;=1(g;!E*Nc>WLG2Xde^!V0Ufr8DM52NQ3|?$P)!^wn`(G5kqU{6ds33W)6+Wn>L{xvCf*+Pn#($L6nM zUF!t4c@;L;r8A&$(3(A&nf}iaRlU2?17ugl0D<|$50QoeNmU;y zbcwLgQ$I>qZ`uoRPP7s6NVW=|slI6-WXt>3H`hU^C9o2#wZf;jpIkgA3*&71NqU}@ z*B~BgmeUEPq73?QYhL0_bdG#`1pf~#K_x29IY8sxzAQCD2cc--V2L88kA6|NRwXq= z`pL_wBo}!$eKfYP-KhheLyJx2(VYD>GrPNq_QNAHgu*E@tvM@O9e&VV-Q0;G)!OO& z{pPfUfPs;3LrVMn zgB@CjT_Qe^%)ai{?2HN=?(nd$?mezlJ7W~pFnjUE zHE%HZ-`>*&2H38TUaa4r|=eI9liY!v{ZP&g||$1C(Q_zs(Xxh>%fHkJYY5005XQEK(}{?YOBWR||2 znEYN)`=2%K-)DH<94)>5Fmm)`dT#-H-~Wzg*Ra(U!)+r3jwH3pMTE$Sn+&;B4g_yK zWH-P4<@+ya{z!+oonUf|`HsXg+Q<$s`|&#xSd_p|75$-sQv2<^%jXb>T)GEEgjc5; z2!rK)i23>mYQ7m3&wRm}H@5aLf0&`pVrjD$`XB9BFVBn|SM}wv-47b~73{wT7B&yt zwE7Ba@nzv=y?KflXRoGNwVF5oaL^@fZf^9+{qh9%I-mG)+G&C#DbV%90?c? zF2L`0BWa^GVsY3%b6>fJc(F5@50Z2d#?@dIuAQO|D@e)h%)nnG+HS{NI@_ zl4GY)e6M5+T8|K|MZn)l-VwGsd_5nZne4RBf>PT8GzM0!?!yqjGcm;;TQWpAiZ%MN z!E0xTn70&L@59;}5Y4`EQluF5(M zL>Ec02jBjb(h1KiJ1y<>nt|O`w&@C|2abP4VN?G$m-0{Ws0yQc&FZCpwP3o=VFgj^ zT%8KZE@ObMA?Nn4DcAZQjZh@8RU2J~BrpYa2mgDYj2EDzorLSWM_1}}GW#TLI{H(& zgg}sJhOUz=A*-B^CD_`@w{E8a0eko9>->Eub~7UV>Qs1E42^1Gm?o7hWkz3==cWxP zO)yLp_-*H+hzPI=nZ^&=#OskM@!8qtLZ@8T9{ZW<(BewgUb`S6HuE?JJ6eY(ZoV;g z9R`n9jLud$`IvVM7%=(SfN31$DU^#|2jE z6Vh4swD^bWGg{l!Odld?*t^9cwNpb?*5_)3R{UJSVqV}P*?^IgdwtMdOr*0*S62Y% zDX!B1X|6n(O*&=UzM`MQqxn9e^b>S&)ErMkQkSSt2}^+@X>(x zHdS(Act7jo)U2y1d_8^&9n$t#Zpt~V2w&5ik z(P5`~5)@VK7@QsJXQ*zt(CrGkD9Rr%@_c#s>bDE6ReR`9Ot=xzP4{*5z6HR}JXN2j z_DK=8DSl!|PxDOSElSw+k`f*kSDy5FK`I%Ee11WIS)>29NM-f&jCL+zVq50d)#8p7 zv~>$kzZJwQPEVm%t^|byuaG?o2wguZgx;3SjuPhUNxHir&;#> zY6XX@0dZ^h+(tc#xppEdd8S7EIWy#6&pFi6Hnm&>;@j|y@yNGg1yeW-6&&x|bm%5*DCP$&V-v?~cS4Rb?L>V4%}rc9c6Q}HcP(nW+z(|^9~;^sp6_J=0opM(6H68)n;EyD2M##Ez{ zjblS;XqsH=q=RJmi~{{~xL`@<>2uSz@Vu&67qG6swf?)J_6!$9ycjN@06uEV%Ow2w zcrILi*9~ODOhE79{y_;7ldyZ{hX#B%4AI#Wz+BNt$#|e2`UQKJzLl0(`HY8QPN-8EMtA zUoQY-8m46&5GL_=j4iWGE6_B_3+;~;ZP}$e{@ql{2j^{nd^$wGO_e{0m~%Ga`y`TM z()Xz8+H@jWIeO}qD_uzIb<~bLu!?mN{QWW_DKaWv#f0lvf6p;|1C`9%n2gWW|M1$> zO#R>Sl^v8BENY0sio>Hy?*mmNd;Vy~CB_9#`GW#BXoO8Je^pm6U%`@eIS8zFIZcqX z5wpeaD%_-q8lU!8XY_#%8(44X0PR?DV@$@2jLqeJe%(Q32g=(0k^RP=XOMN0SkXCN zpgkX=L_KZka7swxg5WVO#l7Nwb!`gcVo#RObaLRZX&2NG#^Mh9Sm$8WW}xQe)+R!X zC>;_mG|3n=SyD;A}XONmH*6(4=5Wc!myX+O%Ns{3ZtEBD)^X;jN-v8V``C^ThW z+}A~6Wd=xjF5S1NkG;BDdjsCFxME7F4g6!~K#Te|B4UnArO8bzP$v1iuqS>QAzrLl zt^ro7QNnBM;1Pro-%u2g*28htd1HyQh7DxKFWKl@ z>)4Of_{FaeSrY#O3-vVsaaW3?#B}@V&kgAm_xM6HX9pQ#faG_2D+gy!1$Kw;h9RKm zfsmI_PdUWsBOZp^@6ChKyY0gJML+Vkw(6obu+yRFr?(gi^3dfV-+;5TwL7G|hLN24 zx#p%EGRqn)O>x%S1Jj3CBu@j0_fnys*b6_?XkVjCtWdO z(c$J<3#+Hm%jM*?fu*@gTjQ#ri(_XFy_uy!jh85(gWt*AnfUr5Y7f$g;>j*_{R)jX zPMl}s`94o^iDsCLf9d?+oeGF1NAy6cX07a!nzUPFDWua`QcQ6S(pU>*zKwv1SU+)c z5>rEUp+B4vucuw9|rap&#nj2Q=~BmnF9JD%nhh7DJr75S~#Em8$Rc^ zOD8vcBZYD(>Z-_B@v&_WFO9HerHNRYXZa!FEw!)S@*IGA6C|3*vC$Nb)#@mP5i~|K z?eXu?Jy@5&|8P8I2Qi%L7X&|#5DwG-GxckldU^DG{#g z5R*q`#IhHztsVBJufhR)YwHVEooi~K099i@fiOuaw^?UkrNcXQltLmr~P1io% z#nb4&8b>XD*!Q)#z6jeXBf!JLz)>b$3xHO{xy@HhwQ`DZO>4ux+1ee>WM8IFh7prY znSYisWn~VbEqqJ{P+YLdv+=~)isoxFoT5Ft=)A)v0fpV8q_<1xhc7Zl_|>&9uD$~| zBGjzAoTNp2ZW-;G0b?>cmWy%KDVP>i7)hj07Zke^(w%w~2GTo6hY%EA=T}aut`yvdT0+F$j1_*IHaytSo=QExg+;C7O&49rn2uV zgleytUm=lT0&?0&MC|7F+DO$*EBX2l7i0(>QgX3}`|j`CUu~(WoId=k1u7F(r5MsM zcIMxil~E2&Zo&j;y8f7Y*{OaAdL*i%r!U?#BiVxO!&{3jK1qk+CA{2U-9LtCEHr$)t3@u!?)wxUJxrcVB{&?t;&(@QBuSWR;?#< z24(j8%_I^kY@K(_h>D8dPv23}!V7pu)^nkSA>jyWy*dmg+sAXRlwgw@)UE>v3z_wq zO^KQMh9W1${l#rm*gNcbe0^b=cOC&2vl`a++6aThtvgomA1_)9{4hC4Bf)PGpL9db zvfd}zZ&tk*;pr^sOd5&`mYVUgu>o^{j{{$-DY{GQ4hJ)^^bNibYhB*(1ozcTj2j9l zj%TI9#M^3#*bii`VY2C|QvWqle{D34+8%3EO8qT2@k~x3f9{s*P98pOPB2xUtu?4r zG+e7VBrq+L9A~@pQEkA2!4Y+1RmamP>16MLCC<`#l5}=XzBnM#nb6p=M%>aatV;@Q z=ek9hTvqP~IDBJ%%Pz9Krpbn~*~97ur8KS8Pr(vC!ssmgyT0o|UE}x*4O-?njuFB( zlFUPk{QyrCQ5X7L7Cm_-5*=-N0uVJG(O%1i{OT4ux#{YeeBG<@FWY?~ip?z69q%X;&P>ti)+oO~WVq2*^Z zsNFDF1Qq!9+-?bV_bZ!hlea>*B}Ft(9x%*0B3ajmp(P5T0e4MQ=v{n4ImdK{n6h|@ z;!+^>dbztW0 zd+*sZ%Z?=0Hh*qd;ke*!4A18lYjh0kXq&9kCVl;J92pIz_r+A+>@Mq9R)Bwz=n<X5Ut6q3P#EUvqW%ghrFT1o{vFz*RLm9hhY z1qM++F0X4B^oSdb{8;Efh~oDWce0y1jl<~E7rFuz;HLi;D#n&5=w(4lEbo=kgcQBm zG9F_7Bc53t&n7ReS=IFF1-HD*{)_R4J7qq8B{!4Cykgh3GJ6bjno)Az^kOTW`<&}_ zLZy>lQ*uo+_tfLj?U=!hLaax5{KhJ;eowy&+ZlUJv$7#Q=Uepo4F;5<;Pv=CQDu zw>K!5kM|Bf94X5>O>@`6^6V9)$IBAYIN$^xS1ntv_)& z#8|aYO?L<{6sd9#X(nlq4JEdwr5p)(pQXaB z5opAh#$nx7_*db3GnKU=ZmxqYIbm-3vZ}GqPb@*YP7|JZ=9y@T8bFowH20prA5arJwDH#ej1O()WQJrJRSD$cU4 zDYlNA=XU`T?Qt-N$la(9#+b`$+sA)b^HM!*ym;My^miEEbCoH#ualmUuuzy$auQ~# zh_)|Gi_mp(Dkok^d^*i8QPTUeSOc+A)Off8hwCL89B6e!4PS%q9_JGf@XM;xy;e11 zhSC1?!+$(7*fj1#}Iq9lRW#@A}tMz1E%tbFLfSA2307K#{5MT5! z(z*6%SU1O%DtT)xoB&AIyZpw^0?@)On1YFb?t{H=lR~9r;I~lNCx3cCcub$HC$_B5XuHJ22#GAh+i_#bh1aWkdd?^R+G-f`T6(f!Aadt0{VRx0{BgkDoF zxdNT>KKFZZq@3e2Q^al|?(p4LBs-S!-OZgbwPlr?&ZPbXwPxDB`~lp&sI6v`BFd><<@k-z4ll19ij=9K4gcsjQK=u* zjmjq;m`X&-G=`p`FYm9$7tNVcRCYBXB9eGC+swDTREdAQXsk&g14E_G-$xVWsnKB0 zZIGf&M?8Qq2zUmZ(7_*b$K?Y)1s4CT*EtMQ*AS}=h+d|Hcf;2`tZ57^UWMk{;!S`A zK~nVVw@~;k5isdV+4?yq$Kw7X9fb+>(fV|PdEGNC7w}3~xmnT1G)TXD{uJ* zkloRn1Y2ETlPmK!B67!>qizlD?hpE-;RG&r4%Znxeh=#)l0P0tElbA&&(%{#;f#gu z=EF9l!M>K%Xi99Ji0Rfro^1Hp++6GaM%G#fBtJ-$3rF(Ll~q1BH6(}lLgS+}{m+!4#3NZzR_+#jmoA;*6`2OD~u?CHowx|K06(T{n)@@yOX(R2;T6 z%P*GQ0C1Vi8iCf>b`qc#7tA7QozxUs;ocP}D^FlXY{y`BkzHb&&cY_lRkUv%9?j%Q z!AL~8??t@O(bSybuevJ<+#~=BWP@LZmS1m)$OXG5YOV`>IEd08^P(%iei+motDa-DmIs3QgEXkw9URT6# zdPVm^CDMz7o> z8?H>K{2$gwpHbaN2eJ5&Vf8oNi|ekmmeFg4+=Y}xU8{7BfzB`267pEjr5Nk&I7*uh zj_Y`$wFeMK1l4!h*$H5)lZ~mPjsO0NMt$rPKr-41NjD-VL^jjeCXb?fJ!WiQ^ah_Veh@n6A_Jm<|Cp7E(e<UD_v|33w#&*7GiALO+p|p{Opp7KpA6fLy57p$)2lcYdlc zy)z}ijw_B~hUd$YI5+OSgz;4SCaP(A)8$F{WP4^(WN9OSi9$@;?&(h-TMtRl-kPBOzvNZMDwlba#N{jNUHefMq-t0)OLb; zd*!R(oCd??bDgf{b)-c0!5+52Xw6BPa-JXUxyKD zw9@8M?M)ig=$Y%uV50gHe-OnPj-#>h@<0q zT|=LbRkLjT{=6}!Hk+Qp?!J0h2TXLrQTL9Y;X9$LE&sc%2TXR6D%0Ri8sYVQna946z$ZqqvQINk(mF zmT-3U-e(qmv$qHjY<&&{W;|YMShei6cCr++1uO1&jj(;myHn9j zdKG${a?x&mANk|*-Y{gts*xvW%^|$-m`QKrz45_i=7EGfXUdQFzM^qQ2-;(UGU-)y zJN@+#SYgKTgJtOS!3Q4KoD3Gj2ofKQl(`L0P-eljRWtjJz+8Q` z!&)?bpDyD1GDig{`Ux{gaT3|#8PY0OdgT4I+B%ZUT2ml*{Wc+Lw5rIQuZMTV25WTC zPCMg2NI-uvf>RsdC>L&1F&b~Q)3RK-*hu4;RrE~#@Edd9Q{M9s$u${-w1TIOwO@h~ z;S0~?Mk_I$lO=2nq?me1gvAn+=K9sw1YychsxY8b%})9LIH(N|)VM0VDEnJUB}#Nd zhgku3K#YiyD0)zvPGi2}BsR0!6v|7###Oq$z!q4%`fu3cP|~^8%cxg%1p&@XP%1#s zQL?xNsm@UFSzAYNMSi202}KL_z)&TK%d?%aE)(dHnyv%xaON@OQfO$T%l{fE7U6)) z;_Rmog3ws7XYLdtxc^qcY&?5Oq+tLtmC90W=vbcZt5S;n2Vb8++?q|q9I>c$?0G?% z*5SkG&kBI1DN3OJrUYz765cz(sSydbNJZe%HM;6{cV?|BqXGio*r0_JALSz-nS4y2;OIq*jy1_tppwSEij zm3HwaMw){YnYHw|2l440+XD}f*NW^YWj{71nQ)wF8+?y=(2rQ}K9Cq@#F{hDP=KSX zbDeZ*&T(QEHw}ZTdhoLB+rFk>Hg#zZtp>Ax{AdCSr4z2O?i{7S+ck(NNyMzh()0cZ z^rGlujf+MVab~uv2~FfyEq#f4LFJlc^*M?6Xo`jZ31x^UzH~j+G890(J4qk?D_^Kn zYF%a|FVcfP9?eoiHqg9J(9C}o!;Bi8SkQU!+Fk6a?*jQ4P0LH+?#5GROBkf}oiY## z*y(158*+eM%iJE8#Kb7Lt8cHoh$jmi34<}uYUG0r$jEX@V#oqB5b8|C1P?@{C$mQm zTU5&OqbYKHRTZ-qL<8mX!DVaxgJ`Q7KmJBH87D(KJ<~vy$ihU5tM?|N45i#~rZSX9 z4v%w?n|FT7-gi`OKmQ`_?`oG-)G^3tLAvM=4C0Mtf(wob2 z#XAF-H7LCovZqgx5Fz>!&L_cQV?!**#aVN>**5rxak9xQ0O3+cU91Q)CQSYLJ|6?f zl^qF#kcn#e-m5{`Rkf9W9(bXr@m}`qU`!e>t2(`CI@kQR^4;z?jT7Bk<-u)XA$kdJ zih=iX6;KA#0OaQcj7^yU76>DLfo}S_Hz<^C;D+@8c}2r93$Oc&?Tf6SppKNC{4KR& zmDds>xGwhXUq>9cT*2-iaI&xtTy3GdM-F|+_tu+}fAZHLi-wLGUy$=HLyfTVKhxl# z(OaU1wlwuYerIQwD64dug=*#Noui&rM6uV1cc4d^8_{M7Q+nLL>03o7vCiwWnvt z17VqcuCH3Z29E-KQe3-9P?-|8#grZoHuB4pyEGU)dVyvw2(!K7|0Do?FXBGyqU!I5 zr}bX^yJFF?+plcT&er#w!q+)pIfx8sXQniN2XA_hDc8Y257l16YXmuJISqQlS2Qq^I(SbEKZ;JI;ZYLmC3nHgV-|7z80W^?*-?osobIwE?@K2@JT zPlrZp%CF48IIhoF`3a@$8mLnE*~oY!t(FT$z6kNjj?_Z;a_93?=w0VTqKxLrW>Clu z$S26sg-M%qLl%6$!F(7TF~}eNZTE&G6$wR`pk{aD)0-LzRcl1=!}4l`_gY@tp|DT4 zkU0aQ{SM$qmDp;ls-1Ro>E%6n z@z%kyUi{n~1X}lv5n2}#5AaEv5)Fl@EpW}fhlBO234lgESqR`g+ZAE~g- zeaW=D>*5tiYY}Vo1z$X*U#Z%p^xLDZI&Sy5l2}E0JN;LQ%E{`UFtovpP&`pe5*iJV zq|cPB({EGKN5~Q+C0Gl_*8$XX@Ne@n9_K`I5X$m9gV8btcP2L*Z%{^=s}G06ggbR3^f26@_qFqs9?m<7_ofzORd zgDaK%NR~G1tPlx2v+pnbXm*I0P=QmQ$gMO6_N-rjZO~)F_tqQFV|jjX?X_T5v(~{X zq9MXIx)9k;`pFBNF!neZ$_}JuR<<+T*cO(-0V^ekFam>;0o*s0xJoTJK<6GQ;oH5A zz9rI3*mVrt5h*j2nie5ey)9INrH!eg9wT01PSYeg=;xG|cj(&=$&3oRVWT+bd+O-L zml{Tk*#x+HPO3D6au-7lsYC61qLteuEtxJe$$*=dv>w~UViq&XHEbCjJSkbTvqPSN zj2rGg)<%_|C9Yf(xP!<`F-az?oZYi={ zy6$t1$mK@uAF0l!=8x>s2bIYSr@BD4Wfk^l-RMmB*IL**OnP|w!N(2hO5lQ|a&9wW zMw7y%p|^*=0W$Dcc;pJX3ALWT4@I0qN6%eu@MLCXLGH6YwaEqaeqE9LVqpSm3TB=! zEIs~{T1Kr-MQ4wv7Qp1Vpbl5}zrS7#8;r6i`tZBjUL5*HHm^}ek9jFa2P%mB$-21T$#foGF*k| zboK?76o(_wxFC_wAYmmn_g-~5luqB;A4FF1L<|1PyF?BBb|V4SN5VKL4P0peG z#Y!E@Rp0FU?4gl}ASdVaPvl{fF^lIQCVpd`2|+-VKs-awzO|eP zARIX9q4V<(z}X-a)#k`08&$C7U~?%dS*AYo%}vVbh9)HC(B zXIavJUBDFO;Yy0UNE5E@E9ZBD@RfV_5Hu=0N+M}KaRnFtm1c}&=6Ya$c@|t2k8V_gN``C z`T#nxZnk`2ew=_g;JpDmN#MiO?`-Ugb3fu>y>>Aal}-}`o3dp7Bk}A?1}59fG-r`t ztqG>62a^!S<|#xz{cQ^wKNBGun)5kJ9Ht;gBNu@u zn=UC-yKsH+!FQ({CT}QmV#Kx1VnDYF{Xo_D{SVAkkPSW&qVZ2QHa<;Ed6vj;k&XS< zS?AWlZvLhIo!{98U34P=xTTejx_@^>qj*` z0bNEQ>md=1*>Ds?MU%a)P;{9Oh%K?1x%QbaNQkICq@zLulH(6p?_@6OA((WA07wsW zN(bih%X4Aq;2@;XEGHIt>*3NK0W*C*lBAi45UzfA)8(O8H$Kl50Pdv;)qaiu*cQzA zU9Eq(Ka7g|d^PDc@jVXzeON%oQr#eGoH3wof@(@-aAWYOHO4R(w23k^`+I&){oM@v zQqlo@eEXvAxVU&ngd5Ha4eRZHMS|;uhyo$U4Z4u`(c0{nF`wCoOt$W2iY2k_XEvh_ z(`BGX3Z0pORl+LT+T0a|kd_Lw`m|RZ9M;PJ2`(~zGdJ0(#vX;fPtK7wR(*KhDSevR z+1*3H!j)tCWeVRZm)(F_xQ9yjDt>bd!xqXY;y>fW5LLjpre?xmx*Vmi8DBm8YC~Bc zYn%KV%qAMFE>=T-a)Pa*eKU>a@s0MPvi%?Ac3WfSErMy`W0$s|w0T+B*q=;*YA-&Z zPun~8ty2Q>=SV{RO0^zj+KA!ywMv6!gZt<0QVmIt5*;vN>>UQ|6Un>JQdStLrgR#N zMQkF8UwaT&%dX(p0xLcbgAVSRZ)ka3U0MI*JRwWP#9+Cy8~*$*$ScncDb@L|a@Yu0 zb;0W3Pt?KPnV}+$l|rSonI*^Rp5(RCavB7B1|hf%Cf1OT!XmznUTNwR_E-I(kyM?+ z(3Jmb`K|G-;;b4iD&Lz4+%&7)x zl!?(ovn)`O$4;Ii(9id7HgzmTmUUhzQQw?ojOEdQ8u`=+$Z9MEQ2f;~|GUc8p zp)x&~Al@~>Ly@m=>O=PTHWK1@X?-|Au0qX`{gEMz*bhD4BD7mFm0trCim5XG-t0b= z712BVG#n9?nXEjK;*et3>Q<_R*TH;Eu?D<4a&s;bXyhMss zl)LQqBOO|7K-PJ-n*}Ay7vh9CYOl@ ze2RoU%RmF@iz;Vjpn8x_EE)1OLUnsy;Qnk9Mgmnzk8Sv!JTd(2i3o&%cr`Dj7}tGi z5g(f2;7k}&*V#T&GDIA&2rNktMZJ>x1fX9>VFw1x?3)j7f~-8K4yfa}@+qHd2kdt`OMjhDZK5%WuV#MH3@Sp7qV&hEuS55q z1|B7;{u!bYKP64Qe|WUs`(jxNS~FyyrgZ4ZZIt&EqzT&h^|3|ImfnNEGPn|5M?>FLr5%nw%jF+-DBtp_4vyCdU`LU${)zL z<&BH@D}VMGdqXf6VyE>9zh_A)C*;HW6w11ci5_TvOV=%c)(bh?<(_t5p zFrOdC`%=d1p`pX-@A67Y|C^DYHLhHYA(j5|A1NmokEOh25+zcz ze`YDOLk6Rue8&5~v&P-hA5@SyiO7G$Q23b)R0ymZQ5*N82Q^Q#rcTU3|Jsd%hZZ{R zY3HKd;jn~=X)5q2)Rb>Bu$I{XKhu|>&Ck5Nrnn2_7d#*zx=lyl|N%$%>;G7jT-#W$EJh-$U^nxT9%b0_N~Inv!LHMxCD^RlpjPP zi4OD)Dui7_;b53z|F;zt)s_2Qfu4n~Od_Mh1(zTw98+WlKTZtg-@V5BHWX0z6ohgQ z(C(d;q+btYG&6RXepmJ5UaHFjKf-+h1J~`ipg6Ce`0BnM5Fy+bn&qHh%@!1VVDh>z zEi!^iAV$S0nCJ;>f_Odd`U_bpE#H?b{D=|hu(MJs(GLqR2*uOEc}pKQeX*vX-jXV6 z`l;&lo3rEw`rxe^tANc-(y#pnmEMz+hrdYH`;p^3>AZ46< zD?-JRX1O!LOne@>-p%O3w;qj&MjukOueWQCT*NKsaH`mc4 z(Ax&jgt25yIfC|IhWqEaiK_n%cNM}Tof6b@;yYau7J0ug7ig)E1bE(47L%E(B)KWu zvV0ajnO1MIC(ntq1e47!uF^M+P17i7;s@nEowWqB*A=WM-5(t-b%cX!faH(e7129+6O|YJ{jre#VdgFGfa}Cdlhcp_m&sg5lTnQW>VfM>&Y){|mhk&$Uqr-140qdhjSI zqh;k;{}|SJ(#GMz%<%VPOH?@v`^Xvp2xR0KV{dchO-OH7zjk+${ zdkTWK7&4@yb}-uA&*2X#SvPIC`VwLkQtp0Htt-?#pRh~O>E{>dc-{dN6oYn#$eLE- z6bt&0(n#?0tn(zFl2W$yooKej%8K^Ip6I7Dd{!Y1OL}Mk8-q6=pZ`|#W=C{)y(WTP znd=GB;bOo}eYa|Ey$3-Dw$T%`!2B=Y8Qc#krlkll*8C8;o^ic+vDDl7@b2(a3CrMF zu-$|sG>FefKN63nT1n;Wdj=oIJ_sqT+~Dg(d6lKqm>i;YO8@v)n7rk!8)jSQfFv1Gz7X)vnG&uDfy zm#Yh@_MR{PdUlQ+Ysvusv$cYx1$a~bX@h6<8VM*Ezs}xhN1!(Jm&jiXGyR&-} z2xC!B#*TY0j0x(0%dUfkVZHeS3{%x`qKotA$mecdX6ozi33SW z4R2Jdu&&>n$P*N!*v?}#qz!`b&CLI3G>=?YR2u!&a*6PI_*y4`fH$?ohw!SbdB^`7 zYK(^c@f@BzUg-Q}tgcthRCqJ$5j{aq$e>QRnD?d+1@zL6=&z1}IxJPuM?4V!w~T6N zX;qapJlg6m^VAABiY5yiIR!*8!qubu)))bNURa6)Q_{B6Ur)x+0LGaBWvYXd4|Y!m zmH_;UoXHli9>B1+c}mS}{cts?B1X6ZcUg#PwrW9a(=tuS>p)}!)(*nre&J0_F=L*FfI}`%vJ_Tf$ zDCVWw#Kg`nt~vULG9J5HG?DsgQF%&pP^DrB3e!mPpujBuAh ze{>`!K*6Ig|AOF*9NO1*me`%7~;*DB{4K4W(mWA(^ zjH)TRA9eRz^EAcl-kj+x|6TPPNociMc$5IQbE<{?q@Npdiw zRRLM;lwdnt$}kVY57#b$409^K4Bb4W`er6fTd6vi=${-PE5!ol zF$gh+oY^5(ThU?OESN0%INLsy|1uw5TA$EMDi<}b2*V5weZ5H1DAUSDVfi>gfMwZt zei+4}2o6!O5N~hgZoV3)!1Gnvx)F#^4$DrktsCL6uKl4?fAp&5S$!($co3Uo?a1iE zD-ao^9YOd0H(csWVeH>F@Gs#_C}x%>4@#hLgN?%qccVQk!MRPK@=E%Dx;v2L*lt<;qyh>&>9sE{Z>erUn@Dd9e|_qAt-iw!5lU8 z5OS^csp$$imf>?KY&EKWSo(bM6a|5#t+|CF_%e3j@H*4A?tg4s6Rf+zZe|d) zLyCdTPm3{iMjxy%dYYyu{Qs1(uNTV(ocf}pFZPLoKFwp-2$X&-#H~5{%u^)k?nqYk znlT=;6oXv-i8O$u(`#n}c&G;#IeffXKP+v?%Gj3!io4!Yk-AOd6Op3$oL# z&m}nCjUB>iDwdbA*~mmEGOY(!)Co7c8{h<%1C|L~Gn}iv7>a1$2XPAYTG?O< zYd4S5f9_<&0$+aV^FU*AMyg?3ojQ9<8C%q7_{baJWGsmT$Y1IC^V>F>a$P2f;GKw| zHz@(mA6X=E40F=Ze1tG8lJ~gGr-aTvA#36hrRU>^F&+Wc6B@t$Av8s~HvG0-h5cRI zx+a9qzLOHP#17=W76Z|RtI-1AJ%fNT=Dn!E1Ad+#xNW#FZs3x>B`Jn6iHHlS$fE~> zGTXr}Od%=z8zw~$7nM4Oh~SzjG&o{=fJQD6aVyPvTSE9XcZz#0bUA*#Gr4l35fQ&n z73eZt-R9g=ia9UG^g$g&T&yGJ5>uFzxKZSKiLpvPUoLhAm}N+S5wOQ9VhxpBkpxCY zhF??xT>til_K5m+XbLAU6&V3T|Kh~?0N!1N%_l%FEw#vqx7gEG&vf0^vjC6hLtt;+ z@m1#1iySN>SQDNzO}~ngulbMK?N%&C+zS0Kr@q?P2R^X!Q+U%Wsrv!G_nhMO`Ky@$k@&>PRo z$3G;(%F3Eate?5Sw|w99hyN~`SF-av1P_kx5O_UqYUA9EA_UU5(Sr>e-^=3*D?&nRytJt+cfE`BP!-DtT=WW&nGtaC+Q5 z1G?f7v)7}S`HC*lquMxx%hz8hosT_7D}1E;qrWhnO%Io6MOc$R(}6;I5w}2$RXk(= zgd{9<{GX&K8n2CrGQIcMY+KdDzDEK|6hfh>1QQyWslNhhHA0@{ST@{GS#6;CC4dkj zIiQQ^T)}sB{jC)#(k$8ot%~dS;^k_+iTC!&cdrKrbSxzv;8Q;toH3&<)2lHSB>H~s z7+55WNR<2t-Rup8?=PCyEYeVnknb0mi(n7U3J}iXFiK7Z#e`g^B6a;}b#1qVs50%0 z4FQBum@0OVgF^vwxD1sDFf1JZGfCAdL`kR`+`UzFRXJ8B7l71}8lYLId4yXA2-8bU z#LP2akD$nd{U4^kjfK7Y@Yijt@FI|LJ@|}QOM-wVVVM&bVbqsdC~UiftY2m~cj-F( zN8>+7nHC)R=R0{XD-t|1(H}@z3z^-&rZ?uY%QrtXWKFn;&12i@@o@|J@KVRkeKo`` zRPYXyasTJ}v-Br|BKl-u`t35;0E{U?se9vYyF1yO^Mgo|YFiprB>cnM26MG>47Gn? zg}~@dEUad_gV16W1E?c3l}t%XT5658Mut6qE7X=r3~ufaBn@sY>I5Vi4HT%nf>0(c zBVKPL>*=vG{Msm z7Lb&-8gtpIJuH5aB*76%UWi0c;L(bKXe2!IceUfmjJ}19>RMOlkZofA7!vd42HbW1 z7GWXa>#aZC{N-2VkN9p&;!>>>Cd(!|myEMg4sfsQflp)f-!~{|=4XSL2-#@XrWmk2 z(30kqwNo-{WuL2G1kVsgL&NAB&nl4I((l^t%K6BsXnl6oHQX|Ql}3MEYq>)}+xF4w zRg=?|gFE3$ZXX$yF_BaxqDOVMsc(`*EGLBLnvyO}{ioFXZgZ!Mn^@&pf@a*6qPXtk z+6$3irJlDAXM5S@50QY`<<~&jB2u)5=tF|Zk^hLq=9mJ|k=Fe0yM=2FTFD_1uysRY zo5zoNbs=VOStM+H1bWxwt&iNI!nw*rYbL63JF`Xl?=CU#T&`tB;$d*KRZx-))=C!x z&%A4qhBvs>outrT|Du7L?Vb^c%kM}9#w5CA z7KQ4yy6dF?Q(^;mrwdbKuMtdsLkM*xfs`1f3(+tHpBY`Ucx`Trz&-+gL_r!1u#PSc z8|<@5*QI+c%_#p}MqJRK0>2r}%s%uX?Sl0{({4YX4Q%d_W7R?TA>zQJIivwvAoFuh z(33wYr+5lkBT=0s1(%^52Mgil^Wp07lmJ*MMiRY|GLLC2WGqYI&em6_aXmHoSK?KsP0*E_`OL~c+4clIo0I=df>^~& zPa5dX*LU=iM~I^LC1J>27jkucy`5N=rn65K6{mWas+ybGiKv%*nmkARGXl4$6P0k- z4Z_U^GR^uH``i|tGl5PO!g)?*M0sin6&_@Wk&_Jt=k;Z}_RVFarkagDcXFgZAEs`g znPi^oCkAm9gkT15;k~5r*?5bW`IqOymuIQJAJuJ!rGzpkjB1>o({3ovk@pGhYjJA+UKw%gpZ{g6?C>(LJ3}H z*N}~M+B_)=97FhKEJ6mW!)2?q8v}sA}J@6dGbrQ(OW?~eU z;&ZZnP%`#|&4J|H05@%n4Mg6S9i>}L&*&PL#V64r$Tc!uj(!fDvNt|ezOM)ipmp1U zLPgt0Viy7i19(bDskR2Ar|8@GC9~iYBMXPu68hBGbTvnJ)jax;^GAN}| zD>~C%ovW+ruH`XT-69&HwC>~>Cxx6#`l~i&`pF?k&GGwTJ`SOCbMj2hRqqE*}Y^>^qqBFNvdZIFY` zZ`vR!O_-Q+J=ql~prmM2%&p5@CB~IwJYOIus zKu*;fs$zTekoY-%bKm*wZccsDlDABncR1_obW*3n;~m=*zYU(C>qRZqpVTJ9tMX-x z!HVfn=Glz!WorN{a90hnkF-JO*&u%v(%-lC}<|`QF*ND#iET?<`;V5Jt z7}SoQ&6V?3h*A@k+5YjLq7dym1yOBls<_-^D>dsHkDr9Dzo;1mwzH-1i_Z}p{EZ!` zFu{oZ=D5zDdC>IAbOG|2D6;)5>XqS^{r7|2V_uLJi9v6r%IKUecnj-(RiCDh31$@C zNBtt{vFrF(C^+Cdf{$3UGn~D-9~lDsbGgO1OVO*fFYtaAY6)66r595|A$W3_Wth&V zsO-&W*7)dlm6l{A$4p0INB8#S6S~PMNYgn7R_$hP>2WGmJsG2$R&DD$&UsRpU~|=w zO-a1YMXK!F(+Nf({j$d@XgDFRp# z-{m6aGqS+3)vb!TKx*sK&kl>DhzfvC+<8f?X`9 zSTgzUq0jBpo%53%%UzZ^ZFK!sG$pZq&s6gUrsvU&M1?PdkLaLc;`53!v-Dt^L}PxT zcemcqN4Gx6As>;GOC~V~(1;hkT z#V?J=DMt<+flBNX|D*bPG7#6t3z4d&90Io6Tq)^rp|RPgRG4KlAnJt?9JN^=SI>5^ zF&jTA@TxIV0UARV=N0zI$V4;K{^6jfu}Bw65F>sv@N-+mS+-&cQ)bobM8ny`MUKYp zwKVM%QJja;s#|D&Q=fsuy^XSh54z}1sw9dv36OMRu2^}DQJLM_Z#21f>cq0AHS}^m zf5C4VcEG#u&AGNl5B+wb1Ql33NOO9=kHT2k-Ua%DbNf95JcJ-EQtkNL-EV?hq(wH1!$yD(f>mWT8?WH+H($82B{rsF^C4g-a z`&|s!=UV9tBlc%l$D6?938n-lPX#X5`9wQM`4V3~+KGSl3XA`+TN3e!{Y$I|>0F@< zG?-vf)8t?+6C*8)on=?d>G_w_gnh4HdcP=Kty7C;U;*k<@800g>K4<~O7H2VJmSGR zjc4n};jw>UXwa_`#zA#fI|e^k(bj`KphZ6i2ikJ>P<8UC-wwxvGpzH)_y)vdnyYoZx!}> zabdVWFJ&q=Fwyjqq?5sr@l;qYPP1bF_`r|lFTO=O-MlmnE};`yFNkzZ%&)LSj>5Bw z05h+&Ew27o(pg4D^?zM_W*A`T?(UEhM35Xx8UaCCKtTA>-7$2hv~;JWG%|E4NFyaF zAl(hm_5Zw?wdTdlT6fLdd%owKy+3OQ{w9 zlfGox_|aqsyVtCrB#eZ_t%!2ld0Jz1KC#j%aY*_os`Vo(OT^4D&JaBt_wV=0;yRMy zwDx{nMPMmj)2X|XB|C408IE=WWo#20cf0zIHN$>o_Rnn26CW9c<@KZz1}%j^*Dy`)Xog& ziv@-412qS!Aw3q^7aYHS6|+&cij+cS3e^NManTId9^4;lM4c~oV2;ej6rwu^mQi(7ldnSH^n26PO}GjYzoF_>7vtaA4&YD#;Inv}#W@`_ z?<+bDF0+U~N&a=nK#KGDs|cdE^~w4b5uTd9dHc=VHPLPRDSCB3?kv z6#WTb?r9WiBK5jvBNXxW@I#FvT0u(8RKVI}6d4{I0w#%NY${;VpF2`d@&az_6a>G2 z1iB|TpjK~JMfcjIbC|!K`GoVCh!$ddlPU{wdEs%bE00&YZ6v!&NBV}~)8IV*R;&u4 zJ{pr_h)81WB)0V>BY3dI+G{p{GeGF!;4#XKpAI&Eg3y61P=OzEKo^SGp*`c9NB%l$ zpxfmOdUJ1)Th!5Y-Bs6LBEGAiLibNKk*$HqvE`C8hx?sckcaqc3gRpq5kBMX(BF8R zxomtwCbpV`c*KI9OQAEX$L6OBM@p3b&UQ-9%(XolocEY@T z{BXJmlXQ=NDsa-1gaKUbIrcNaaX`qI7OGjF&&wUULZs{6T2hA9-YN z&8xSvZM7RL;d=|v;MZfBW=76>D~sxRoZu!F+gIqhJ##9w^EJEe@x0QP9QL~@06S<~ zOUpM$!sDDCxs#rSx?u&zQmKymWDT8qgnd3?!CGXt{9;S;=lPtX=5r~BmsxBg&2&+s zL}&_~ykEzf4Pss&M%Bco=m{q7(kIQ;#jz3RjO?rX!gjewW&F86_E=-K7nt^cou%vJ z3}SysADhkAY7<&~NkvvhhLfDW)}8a(RU-L|18_ug2Vl1>U z%oO`^v6QMKJyaElodwu044WY{mNI|R;uyd>C;7xWtmG<&YlKl^*p7l%(uB1OE(d9J zZsW$3Kib_htr>&tABgfpQv3Kv+&A|5%-&bPS7{uouQf>gjJt?u{FeLyt~9XrH&1R= za@M^2xo6ILAzrTdo5(&; zmg1T#n=_fC_Uf%wGO|heO1}Sba#?O>6XdF}>~*dArt9+)Y-Z!8&hwUKoHES31P9mM z;YDoQK}UAkK_07bH-h3neiIH+;1J<}HC^tmSmpPoA%5zt3D za2vi0>n~l1Y(2?2>-&oR(Q9M1>nx30!OlFE^v}kg=v9&;OGn2yG&oB z^F)yH%Wlippx-Xu5U?F{UKio&jUwp#I&BzmIR=$=uhM&G&jxo;_&(} zd6oWpM}%GBW3mUHLWOCa{|Tb7>NpjV`82+tN$(}#=P#dcfal4Q_vYJB(+m49=w;W|#+vLu*7R2`TXV1O@c!dp&X zBiqr72-P=G1KW3}92EJA3hwniInTQpyU{Nb=lg_dEG|!T2E&~R23g&hD4i7&mF{Xq z0xxF%46qf>Uo*4^%i(B*u(i7v-RPaeIl%PP z#~q^$QRbe?ef0@diV#z}VA3fmBD2}P^oe;Cmy!Jmqw#G9B1x5}f}!Zeph( zf5qwIH#|qM)fL*Oh^XQpJwM?cijoaI%+Gyf4oDSZFzRe1w|yi8S<3}ENeDuIwd_Eq ziq;A3C~+lz@AMYXn8I|iKTb7PkqNj!nx9ElOWGDbTf`B!QaYr%N~iS*G@Dq3d`Xih zz~C3OXc8jT?Hp|McFoeN@JV9``sj4UTquaSG=!72tg|#OzX4`_kWqev+P9+ps~$o^*Q!Nf zA|c!Z;@kuN$l8;h6J}SxGIo zj#QDvO2TdHT#ZZ_fJ4>Ey`^d{(~Xx&xyuUyQx;I%A}8@N4>oE$>mm-E676we+(nl^ z>I`0XdjA=kPXV4Vam|WKEu!OX#fRtWGSh2kK>73 zv^lvF`*aD9v=QB2(J$7)EXVi;nNrYv&fT7tT^yFYi1AafGGWp?N5R00O15AFOz_eH)o)-U zH&tR3^wUWjWD!BIev)5i!f`X*6dLqfFZ3$?pnDS@E~=DV|UgYu$c8r z(O&Cp<3bGH(0|i~pA?sU#-?ACy=Uq1ZIUNP;>9r@&m(Nwby)f>4;%wacc*w2_^y+k zMc9z_b1~7-b0LVtAs;@7-ffE+>hCYln$xHLrP})xn zIQaQNoJ6)x_tH!kgL;GyC2iEMgA+I&75@agTMb`{x7ESmQd$a$p{v1;n*fA}$NkFzqoG|_ zfed5J(8#6#?FcN_C=*^Xbzpi!H=H}a)AwaFpUDLkVa_hB4Yu~Did^I?^8Uh4mEq2- zjlmu&`R?>HhNB}z>d=6#@7qQZf_i)cbyh-wN$p8hf= z*-}{243kkbXI>=-K29fi7YMRHB?9Z>h-^uLvRQEX@8S{+@0i4h&k4i%znxLVsamM# z>Hp2(G$afT?liEL^S3X1XElDmXb55t(hs|i_1Sy*buyO7<)gUhcahIUPMS9vfc<`J zQMoWA)fm@f&2=t5hFCL3`TO%W`cg)R;YEbzEJg+;zwQYw_~eD3d-z4seLM9^q3JWh zQ#=Z(;lPnE^zLY!hU}k;sE>N-{TRw9Bx=T?)#&+$aSNzX2ewN9SgzO%Mbf6cIZdL% zIRVhr9^3;ig^j-l#S|VF4VKM&E+ zHYGAAu78%^>)Au;9O)iI?X&d$AjyZ8%0IQp65L_xXo>4}M}R`E-9H6iQ3-fz+qtli zDW&@f8;BD6fFI)iycU%J#k?LsNr{)taCi{6`Pn)?8ntfr9e%SMSL3BoUXG>XOh|sN$hG0=vf2iaT*4IlQMth zG5hhjcq3b$N3LXr@1(=+^F@yM)}=Jai2hWBJ{JoGe;EMTmPRmnK(UWsF|1;PJs(~} z>S>wKq2@*5_tSje51+@*KfgvmzXxxNU_c&&#qwZf_&-H4$^xx`F#;$irR&$oqTOub z(}Uze#qP~>K1WTh)Nvr;t2AOw^(6xqE+!N_yV5KM4+>y~AH?ylyIf_8|7UXg0j{PJ zRuIj|o34;N{UU%tbS7k7%9eRHkyZa0^!$Q8dE~cf-|wkT2VV_XKT3-Eqg;Nxb%Gdu zB{!Mwx54w^m@%#8-aoQtdH1HIsGqd9Q3U>w)f0tH8>&mKp%8Bf$_uN)f@b?WZ35hS&2yC#to4S7-iTRwq#cX8S zh>3eg1~v3Gx>BQ_cK!*_5ZVSC`zx;rL`Cwpm|U$tOxwHlV61Y!{?@dfX&AWKQ5elRC1$-DFrASA*}eaLcA93XHmY*re@mj#%+qUwm}4#6WehIz0LMxGJvw z9}12AolEf4qJq_)XirM^>LpSBa~KCi1|;zaoELK#)sAbNdbID^36l#1!FuWfv~IU4pSq3)FCjN z%`1H`3HIx;`qmri8b2+h)f}ffvq~Pb%!?IvxXN=fge%dWE+J{t%}b5;P_i@(KN*s7ItE zTk>ZZi9lI^SHFck_IqM0VREETl)<%)w*bSOpYk|o7QxSjEYOQ?x7AJ^h=}O?JkuAo zy!}>qyaCy0lO9cPG^*%U(7d3e=62}xt$@LdP2K3hZmZ~3nee7 z{4W)QuT0-=GDDs!b9bArp(a|QgZZx=WC1A9u?XTZX@vDRRAoSmQ|Iy?RGdpC+>p|| zsE~k2Pt))4XG}J>r!e6Gq^1{;^}#f`PF3WMG(3y~51O}il%R0H-$^FJb+0WGs>zGZW6EmfNJ&0k*h08{h{(#`ON?d6&SF7!9@vrS5_w zvj=G6j?8e??XbcvtEkoduo80m9(C;U7QHpXMy=|@fYm|pQwcVWNPfw4!{8EB2@57Y}s9>5C>`Hi@ zGFr(|B*)E^_v1LVee}zc!T8c%6>SCTEmpj7?1(+Nqx;8lLwS?!%Sjyw`48v;H`p-- z9fDu{4kIrTNpm1ZO^66gJA=dtvXI2yFk(b{y-NAw_9DMTyTS}4Xw-kDTmFPgD>Gw}62r`tYrcz% ziqz4hI8*Bg@nXK+^lnf4IyN0%ZL?!x9mzLfdUZL8^79h;j)&&0U6uCbTg0eTqYf9K z^6~kPZAZ)g0!OQ&ulep$ZzRJ}8L*vmoEc`uNO!`F{T%SEtQ-DC) zsBU9lAY)x2@Q-JXNB5;5COONRYjDG4yV_&hh3t%Iu`o+p6d_ZbQ?)?@6I4XhV zidor@GTT>g0m?Ucu6x4-rU?+1H4u+{`DH;j(E^ZKfh!0Rt;;nru!XS;Nb#@p_f)Mu z;5r4%mHio1F6NQ7%|MT;SV`b2J-|*HH>!^sY#Vx!YYRzApn_O0&aiMCe`dt&2KlC* zp#=W0znW)j^A~eu@~7LC3hD;9Q7V_YHK>Js2`uF{-)3)Em*~=?G!XUh!ozF(KjlkM z#%Ccb6!6g~;r!CU%3r~Aw!bUsene3;!7mN-jtt>3QG3b3r+%-O6)(Q@m(7|myhTB3 zuf;tqeEgF$m7RD^FoVxfvt%o(c53x5%<|HJF-$m0C6uUq%t`<(!S1$llC$#Fy0R4FSjKBI4>$%>1X|%x` z180L@L4WN0Uy1sz!bOeKfe!y=4b3+%z3C6D(g?xuJ_sZ^ROyuMpJJu>mo8J?=KBYg zbbtAsZWKz49pG+ERT(CCWQCrK|C`M&&f&iOYB;*m8$JQ^Nb*J9MvbFZ9Niy5Vvqnb zN|%Ge8b~BMYLgR->P=8o4U$pT zQlNXM*hC&C)~yPr!(s>wzE%73ftRqXAEk54qYdE~+E_|!+XDY}nKQ7TbCK%I8R8fO z#p#qvIYip#5qhx2tI*;SV{$k##wPs>0h8=s1?c`h zXx1I>68~lsYrR)cUE>kOvP0PqN^q%&S35Di-(d=}&!ATQm`~t+fwdbV2AUl$qg`dr zy+RclDW!8f!H>m#9#u?#N9Y>KPzEDHtTEA@0Gwc#DVK?5`uxZ_E}@`k!CFl&wzL|x zSQEL@>u0kwfGThf7hT%*dmuI6>ylYZj6X7gO<{Nrt#hVm*XaBGNVx;sOYJg%@UyVm~ zAA85kcziXANB8;*pC`%qX2Z3XtDm!vTI7pi46o4VdjdunD{izI`{%NzYXm{1Gxh<3uy8x-b-&Q8>&VSUDA)m)e@P zAisiFj8>*{-+5Wb4DPxHCZo(_X7vDCKylHmpKBwu*|d{udZoo3bcTG7QVhKiHpaol~XyBH?*A7*FU z4nUmZbH8R>R3``Z(~{{0hr7O8E)q$N++gAqif`#)ni-AMZC_qN<|Tn8fcXLSu2gD3)!^FuT+ zF1r*&WU(cMv_QLNu`06@@N5#!MA215w|u6?JIKRY_=Z{s2rYU66P&OyMwvz_CMnOc zEP_~+#l)86o%QY<#n>P{Gq(f*AFbpTs|{H_#oM2i=*(RJDl`WM$W8+!p7vW1QGu}Q zst23Nv0rN|3|{-SHIlEWzwsrQ#qHQg9n$}kPn9P$;Z@$5NBWI8)>{_3i@r$@=7p0hClvQUcJ)Pf36!G z0Xv`zJ*Cvo3unQTt51Gqtn#4F+{%ieyh|;ll2Ezf$<1 zh10%0h^$FjG)mH1(fDlJCMtHF#d?>uO%|>m*&~NagPy9b_z5E}U`ql}fwbjow7Xn) zu%WPRu0LanSJf2Wir?^$elyvndBZ>EKO?Qv6Oz1&kT&#Fm&+jAEHJqYJy*W%G)-c$3>|XUu_>%FxbAJnS z_McPAZpRLME`6gSx|~(^Ciqp=Z^LEnE^NKVg3iyC4X#V-QQ=?yc0mg|#Hk;|$*!jk zf33OVxIMAUy`yo##Q34{pZPvzfM$D-BzLa!CxGl0-!A{X%sNEP)#o-+oq0^hhXo{^ zoc<&LQ_u?Vwi7dkFTY7W3v+0JpQUq%zV_MLTVAVpUTI(!G_5a?fB>XKr`g4W)Eby~ z$p|fzXs=kr^pQN|17+`Fuui6=-S#qJ}hBKV>r!gZfG0A>*sJ@w{e677DJx0il~%i(2FY7~CZzGSJye;8HgKO2N_}IC2%-kM4gDC`aBOsFzwd=ZIE) zgMvbPgOGYbeJS9(0F6;#77d`vV2<7X=ZI(z>dJo&lwtc8;d;t{6TOP|fTPY1k~}RW zj%+h#&J+3)h^oWQ%LIR0o+u~iQCwJgHMU-fFcTdW_g+MR6_`xLX-@Rbt^By!_H1 zlaTHP)%OcUbTnU`dmT`s(LcDmSbx8HnZiY(=-_~s56FaBjEn{POC53pir#YQEeQ+J z_?LbkC_k1g%XWLH&CK;qQSiFU^jGB>h_}v4@|1!9$@8QZ7S!V!Yu2p56fH zM_=#jT#eoD)>5lyqJ;MWZ4q^d=yctLa(V28A8}Z=OL_~GDc%@}->=w*TqVm{445aB zl2=}oy1!++NiC}R(Og~ROwR1FE8^#FxeGm>UdWG=OMQpB_h`k)@|u_mCdLP`C^7df z;lu@*C^pOu2+1{InP8G0&T5-w({N|Nc=B|q%ViL3PYqK?Ey&yKVj^TV51L+swZZq1 zR|BN1jIlZRuGPDi|7n(l-*0w)nzelv=kRqSN8+xeOj}P`EUF8NE$Z+bGG4J&WfHPl z%d8qsd=q$3)Im|(1FmL?QE2>4j%7X?csu41qCc2}Vw5XcvyGaU{z;zyD~`0G>}nTJ zE=`;}Z!7B>3(4pAsC3H8Qg86IAjqVBO;v_(!Rjs2Zt=E+w=oUVD&cc zZ=I4n`Ht=8Kr>SSq1bu4PevSp5bL1qJUI=AC(BfrpEtWpSawd6lEZB@1JeFse@%k zAWF`(iwWegOr(y6qw~Knus;<0IVBK1`Wy>o>JFxXjG7@et;5(RDaq6F9M$GL93t1# zeU`L@rL+^A%|`EOk@=IJOWAY7$%m*fX9Hp zJR9o%(sO;3s9OU3Bo&x+43{1{mW>=tSt`;`VQ?wgT}!`pcXspCi`2$b8i#}LLhHK_ z#PITB)PWIh{wi*Uu=vV&19 zyXPRP7kP*WZP{lls3OecH&17K z@H@yNQ~2aui^h9DaE7|1e*PN`iYu2@WJYt*P{xF5C}*<0f4l=OFTa99HSzPH7aI4W zh)Q($@)dMCUuj?9`HBDSeUIYYre>Y?AEO5GN<3?be}nmX{P}WtC*Fb87D17c8ZCn0~=U|5jm$SGA%Jl5}Z{SBu#Ac{`mh?9Z^vb|t_5SGmSIV|#zpYkPgaJfMC zP1H0k7|#WT;J@M5+ruUKW{qYtj^8x&-e|cTg!dYjO5%m*VyI(OT8R9s0ZAVA7(0H^ zAW(*0(%eEl77}B^VfSKivEBI7Gv33!wbtI$@lf#GH*)|x4E#C|aL_BULk!2#T$sYj zFBW7ZCswuvpYtdZ3YOJkEs)hKCgk|>7g5V3yS-vfn2(VU?}f>7z3G6~PH0ck6rKh; z?~P8bzoAkhzv9U~T51=6RY?ygp+F?X#T6v;+Ho-+Zv(Kg?F@-Ex`&+HVa0tO6>KUL z*#hI}`EK3@OIqH{fER@9cVDKX$A3Fmh8ux38a0=XFxb8N-XH0gQX@h%FsvM)jRp|! z(WBcz?0Z9Zz_sVpXsy>^E=Y&#b2h4+71z7=sZG?LB4TxxU|vj=n*U7Nz8yGhzDMr} zha~)DzgT1n0Z5iZNadAaHw)xRhM)6H`V5H_>3A5fMyGOioVAGSG<~R}?98IKI%lj@ ztSYYAml^x~ORrZj3OnAT>gh0hU)3=yObeu{?zZHf=*skbS`ibf<5cTPrZ>70DHh-PP^ir&V={fj0bQ)&61uui1ptFsix!EN~x2qYiI)U;?M( znxFw<75Z$&+3^+4w2Hg?>#Z$TPVp$En*)s&=Vlwv7@2Z5M5DcS{j>l9pUqDqeJvCm zoQ&Nc(54!)+GBZvB>)7Ce7Of9Kn7aWhNiHYGXM8)fa6Y1^Y&(jj zJUP17r9=hKKV54RzHz`CUAJ1A;&gj&@0^;U6;F6nK_eNFXNI#Jq-el-Tm0?yx@Ln{ z(qZ|aW2)Te3#j_fDK|3qzj!|xz4oScl|5R6ml^3g4+^Yz*@OhvIc0%yA<)d3)7I%J z!sEI1#!X`BQXLogA*{ox8%RqZ#i=?%m{=36lWyBd$j@7-l?}2Eb%C5;DIm^oW#I}w zjPzd{Zc#AGudT73w04LcTi7nAFvB0&&Un~C}pzeU5}Ctl?A3O}AO>9mB@ z>YWG|{V2{+fqiN#S1rQ)*Q2N9)9Fpp_m!lXQB^G~%>}e0F<&WZ%?XE*0d$Lm2uGkz z^>?3F`WPur&mT^-bywtF!cba>GTLx0UxA@A@BKuYlk=YIL8xZ9y74`%Y?2i$T)-_a z4!*vFBG~UySLvr{fB0`SwebnqE&yk*UDrZITo^NwaYTs@1^4s+`l&#~v>wF7$PxxO z`q1In5<)k;^JPBYLJ1D}@ZU>Pmz-wo?}tpX4eljxf?B7HEA$)A$w#SJp;6{I!Vyz( zNn-vEp7Bl!2`tSBWVWb+@VuaHjgbWq_b@{qTIN1^Ov4b0`5FebOkNQu13>j$WR6u}*a}BqRmh@1n-i5elG5Ofd#1lDjQP z6a$|z9twisg;K<6tYgy}mC9tNVnkg@-Ps;}4Pe#jD<0^&Bj>JiDeMj)-a{8xv=|W(>zrTfDfdxOtLltfB&a#WKEw(wzfZ#t9VJc&Jr7?hxLI1PT zbwhOrAS&QLu)F>H@uvQTlHYolj651715gSBy%9me=vNeFl6~+5*>k4(M4%a05#*6y zrodMH0=*C2Nbr1ny&me;n5!&X39s7Kbqg@6O*e({`N*zSX$Vj<^%3=`LY<%FAdK*r^-(cRSvzb zOMicKZN4=1kvXflV8#3Jrj@-HOD(`_RyX8mLEpwJuF!SKQ_Cno5RbK}skE*|+Dgg| z-M;*K*x-GjA>+WQ;Ej)2V4cq%tgrk2X=3U6+$$XQPF#sMI%}&T3Tgau4Aq%H5YS^=p)sCbf#6~8O9Tl)U%r}~ z6<%O~<3Z+ET#U%7jUBVl(hxk35XHF0*oXV@*mLQvkdUGIj^g2_|BYWrF z(zj{;K)c62KbE@^Ams5$nP=!)?UjDLI?a2)F5KEXFcBnP0agAwFn@_GxDh2M&z1Gv zcqfzkGP|AWi9v6MB(Ez=qTNxm+rx8aQia@~43Khz%(?LK+f8)4ZT9jjY4eB7h&Shq z=oeG{OU`nu+*@03op8IDRg;P9h(dsCkt~gskuxl&@D&aWh(?&-phIlqG+XCFw5=6u z>|ath-Exfzt6cQ<9V$!)jnx0o%}9rI{B$L zC~i_p6(k3Q8s-XKVnIDmalk*@EXiRl&z>}fVi1QIp`Z{ZgBYLljjOHp;qt}h&uS2N z`+@?y3mzAW-B_3`fgq+K#Zcl3{2vtA1KQ%e(X5|{*Pvb&IU<8SZ!&Y)Lj<8eI_qm2 zrETZulcyh)yv+8NFegwH`G~hw8G5f4C_wA$PbtQ^@Zlv!BnNkoFEBCkUgRqF_jwCM zXBvI|W#N!YU$joEnqn>sQ|oAsLjL{QOG+wz!FCuvG=0K!RHTx2t7iB@nV*Q-V&1w$ z=4x%SnkbIQJiF)H`-aK^^*dc}s)}cmLUj=;Z+Da)Jna5tk#i%xM<}se(+8zhOFyOW zlkDZf`UC30`JUSZR4X$5CU)FgW0U1REImC}MDbTaKLInm_WSdFM3%+|_eHX`WoCiY z^pm;w_wraaFvlS?b0#|P+LV9Li_5R$wBuM}gbC+8Wq!)=BT`Km0(uFn{eTI^5X;Et zuXTH)A(~<>lfE_zFc+Q)%xVzP{h#F$%cimm}!G)J|qjiF5i!W79_- z)H_E5K8!I$XiRi*eh3XrJAw(`qu{E5IEzNj_dB(V3q5`C>3f!5SI3pd4`af_&`1KK zEhPQ!URihv+kQc5ZI}UK&*!#7HLa6Y|L$5GBuA08l-||1#D$TsI%dm8|<$m_ilu_YQ2#3BO$1}{jlAz zbXgvf)GC{_KtpYjF3}qQvlnZPWy>+)K|anKg|nWWXs6n~6oOArBO<%|rj#}9hBWkD zf$Z5IJ2x{PdZi#EH}GoCW3%nueh1K*y}+GR3!)1H)3 zL%*01=AK_h10sf?&(pj&%M!w_;v%uW6R4XuF~6mbrq$ld7)6nK&oLeOB9^B|D64_X zjx9PW9Y^-;2pCK8B)Bzy3k5Cxz-ey0cD7dWU&Z8NCXNG)EZ&F5L=evJsheOy#=c=f zX{VP+E%fw|yog8Xz~Q&JDApA4kS6%meLj2q3=-b3bcj*=krhhL%uGgn`3kJ4!i)ev z(^sW)=gA`84}Eb~d#0*kKG;~*>GiZ@hws=6$6P}DHzu*k_JBIxlFL^8wS;8Z^LVQc z=MW-xTupLAL(V3v=|)v}b1gMbc90@+$5+3UXZ-o~ZDTpWA14B#LGmm@AqIh! z3wAeI3oTWFk~8wR<#+! z;D(X~wG7K0aVUSF^9r;WCV!=fsSHnCPCnbt_K4ReQ`BpkkqM_A;)*!!AFyMEz*;0QgEzTg<< z98!}NUx-^Wnxv}gDQIpo+*ie0X5#jHVcb{kz7E%8GM}C8;;;sp>Z19e1m+F%6gVcI zL1w*io1=M0U_XH&)uM$Un$s` zUs?Rfw&VJ(^o+TX;OgwTUNt@6W*z|fE5fD%hYd@VI7JvsuR1)P20SD`(b4tu8uNqn z=ps4){#NPF5$Iwz1Y`Xq5_|1&d~b>wGUA?4sFF1KvvfJP{ov`Lz3YzmX)8Y9mJ{#k zazUZRC-QC?GI0Prb-QC>@?(Xik|K{HJUj0>Fb+-HK zueZBr&d#3MS<)zYcs8v*;3p^}HV$UjPwnq|Rh+W6BnTU)n)CZe_6DZj(!*(pC8jZi zLrZYBS|q82F(HX%j2u#)I)9jnjX&NcE;`tgP@h>fG@jRs5M^I06e`J*dJN)GN4nm| z?0jD{?R;;4`#moTf83%9``)f@`rZQlp049SZ@>Ls4nViNq<$~kLtRf}U2g}V_r6q8 z-?xk3q@ADxC1B_0ZI<1qvF3sE%uC-Fn!>Kg^D32P(pM$Fw;|H5*K5$@#Ht(UrVr?I z8%GXmV*$Ml`K^J*Hs5Y@K88S#FOTmzAKO#6iyw1tpr<}z&|mJ?&C9OFs$F2`>&-iu z)%74u3VL*+6MjDig4RLrN`BWYelH)JAJ1Xgz1*NUyN@CM3D9mPsoxVg`3cbL`r{^u z2Q>A#`F^)fnge=NdiDG8{ip&pfKqdOpKg`ngh8yJuCAvaA5%j)ert{AZ+3nwpeH}y z+e^?+9Ps0Q)A!}z8S^lQwCfIRQ35^8eLP3%O-B~eGzmsVMp5X_c{4v9XJKL z*KkBstp*KogDzIzr&bzyKx@?Re(%g5O;;a-c4C{2A0KjPs1t4XBHyyd|pjfvD9;PczemfSPVMf zp&0PDBLZI9B)+wQa5gtRXoX4q-q@S884g!B-%oQ$g+H!L3I0B}?K;eO2z7ZB-pDev z7dGfLSsZXXQkwu;;Gk92e`d%6z`zQ4t|{}~mnWGgzd@%NN1;#shFaHmhvo}DjRBr0wd3^bZ4 z(6p;vO3>YA#4)J(f^%Ir6cno53V01$2#Ht1{y4w%%>m_Nx%siu#3?9-UHn>5JF;Di zoL+z2eRw2S*^fC_8Th$3H0e>m#i8*mHN*}1j@8!e8*%dsE}SOSFX4v9v-WSoUh22c zU1zTZiYUSo{VC37UKsm=Q*_@hFFqvpzukSRAbv1Ih#UxKB%#?AKfNh(p(E8!Em^S9 zI9(wXFxsEq#$(1kj$cPR?0LR3^eW^lcF@}7D0QYS{?K@lino*SE|{KD?qyNgn&k>x z17B2*xAgTdur6lf_X++L?{Bd*L=Xx;I&K?STlx21+>luRZ zKi6Xj!pQl5HBEtQit6j`DE#i54y)8OmiHLN!9(jg8qCPa^E(gFABVT5qZz*M?60)x z5?3oAsAlo?(M*XHK>z(LbtYPHgi!4)XuZX#>Lw=I?t2pZC0m!wzDj}g4%K6n8Z)OW zm7=A}zDghEwJ|boSi}ow(lCo^%luIb>+w59vV2T7;;jm&|3E&Ve0ayu;;aN1=k(%; z(_<+`%$a6aoy46oHanoZXO;nqSWnr()>+y-dV+0$YIv_*RYABT%iQx#Ux9Ir|7Mx% z3V~W1$C*Xs8y?Pdj9aRgJLo<8YHEp_##V)FD^CYKU?hQa-AKix=YFDBcIaXeN4(nh z@lkkM7wX%Z4t4C^U*JFOokDMm_TU(lYlW1)o@n&vCMMj@-b%%9nTZa^)AyTWmyCn) zkHf`68tlj2ywft@^6kAV@&8N)2jc^2v3Jv^!nEUr@7pPN*YO5yZYJoQmGT3E9L$4X zrS22%XFLf>4@qhx+ZxWN*L+Ft!;QpO4Cb!gXk?|{CM?Xd8r#1mwch)F5mVhM++H(ox% zqjZb`>Kk{N+Gg^oKX&Yud@mOENY4DP^;-ss98C2b5C}T!`c4KS@{Vdhb-F(0F!cyC$vrT)v;Fr*`jvy%8 z#C(1}B)%B7-W}%`2_D+i<<*KSO4GLNx>K205<0@YGa-^hMhz46Y?!<@CZX&Qnxs<) zOgVd@Fp21ehmr;}z}Vu7%+wnL^y@+(R`*W~ulC3xLIFi4>E%8IVIXh}Pwjn-#Odkz zg>R&$&q*ceD4K2S%DZyggms#j!(xvRiNJ-)czVucR-T*pOeQv)CP^C_C1{5gGHf9x zOPCAfzSrU&z#p%ic<31F-8AOrWobyC!!<1$`V!~2t8Z8`u-9z$li!XHf0a~(h)uxv zwyCS&_b!#0;t)X?w!=44;2H6i3&sYOA(|@_Mkz%K85POkeO%y$GrQ*LHT z(Ym^IARMmwisQ;>0l1Od`@*efjPxB39Y&S@Z&Q*#_IT-ktwv_CiHDliU8daGCMnylo38-TUD6-r|l$*0QN&_{h-86vMxMUSf%UKd9c+jN*XndfwCW zxv8fxYxnMR60w{)cPqz&Sz{e}nD4Hy6?xZN+W`~a_stT?nfutE8ZmQ+ z1K}Y@Vc~CS_`8aNhKZw&P14=0Z%FVw&I3ch`%2pT3Q;DpCO>m$Bk6+Rd+}6dp&EEY;#&APTk&wc2fN|+!q-e!*3LTq!_IvCVo-as4nD8bX zBVi7mqfhrW>|{LzXcIcpmWWUog%IdnUJi8nnwyUMpKSX5&5H|+JY8n37ocVpPzxKc z{2O5vxCfoL6T4>n%-0|pm2%8UvUOZ}kCOe0GvcG*1W{;8K@^Wj zDz;aq>GO!0qKOx$ODX+%9B`!zauG7ByD^5Uz)kwr>p>K@s?#tLa4z3;@LZ5ib>=^}gWlx1KyV95D- z=H=>b7#JC|P4W85O?r~aa*Uo-oK^|$^DIGH{iLg#TYFXYRcB;HKiQ^0p=_q_U9yW+ ze=FSw;Z0YyEpoZeCLZXQERZ=7zvq}^g+W4cc7Fh{{2W2>zLXhK-1U^`tPI&EwXNR?}B`l0Q?Xw%SPBc}1y2Mb6v zkF(m={b0N2_&3wZ-L8zKFK{};V!x*TuPeE-`2>e2qfO0k@;k<0rgYaG)zwjB!#uNz z`Wpa`ib*0x6Y~HIAA?y;u#x)3Ua664$LM+jH$n@2h-50K&M0jO!#dfv=>*{ml}n8k zc{`)8rsMJXO0rUK?^wn$xbq$qRDa6BjW1*tK!>M~qNSdTrLB!z#llfrP+(9BUVKqd zsj9%@BR7*ou0^QX(=XUj!8X2bsBW$?Vz7y1k`|--QNAp;nLjI|6p(g3B%a6=e#fKy zyH~8ueb4xKZ?JAML=u?#xljMgi!7nm-AV0&SbL|yEy(WI}-zZ1*c4m~y`SNI7WUDGd5C3mLl z6by#2ZVUAHRq6m_nsHSc!p- zCCiQr0+afMF4bhO+Gs3|3?U(=*k)hyF-aJ=IdA{EsYmQ9pGHJ^$j8`gWXJRvRfX2o zmc$|p^0!WiRYkJh-LW^3;JHkUdYaUeOb(KvYy4UN@Gnzzbxa}Io2b*Fzj1~g^_Y6x4Mm|4y=xJ_^L8)Z0_qm}YjNh*oXwj(tO8^&8#sH` zy>PAw`SFX<{fH#t4oM>7OoWM8RI(nStdxfvPf-QzFuA{^2a9mp9mP^X`vw&uF+B_= z1f!K(57ahYS0u_dCs}uR1gP_e;x2vjteS{S{283ITbZfZE8P-%xx2f(OU9quH=du) zqT(=uIX|`*tzm~NMxe^WaMx-9LmmLJxEm`}GhBo+Q&z9zh?Ol#ukK7@qndazqMQHZ z?6H`pQug7j!6wWXT(iG6-26vI-5Ci1QJQ(qa)$GZ$1mnMmG89jX3-8?t|L?zGOoIl z2(Bvy1&~x1&67Ezsc35sObZ^t_jdEV(#=i+#kwQJhm!fxk7VhE!_;Q!lu@(dEtAa% zBywsb2z$CJ+az){4yq3`TrSw*v22Ehs`ZJZa}gY532a6L>LQT+Nm*91G&9PM-oVdQ zPO>B&sg)6OPLdZH1_m7Ti_ostJJ`udgT#weXQ`@dRy{RTj2NZv;)_(ylZ1O5kYas& zL{uX!&cr??zEXuI$qQ4AJPwq)7=Xq0L`nZ0cNz9CLBXWDgOYDwrH#7JzqOZSD%qbQ zAOaYJXc1*>aJCWRtM_mi#}QsqplbF{GU?q+lgB3*18bs-82#&c)wX_81EG{Ui?Q#R z;EWC~jeft`U)Ox@7_T;CICI{7BU0SxcCHP98vgws4&`6bEA|h^ z9>?w5;(`mx)cDe%79p!sP_c>-lnL5C#+`J*Dv$Vd6l#?)A zYldehV99L)&c0Yv3%cH-#hs+O8l#teRYPb*9ZOF)^z()0%uTLvJHkd#cUZ?b5wf}x1|enbq6c_ z{m>P`!qjkw0@1M|f4$<#m8mzH`MPj#xz38g^h~(PL56`rzQ;(n%-oHklQ)WZT+8sF z-2K4XK|L-etqzl#KyxOpS3iZWNW26*1$KJo?9YC>0Y+v<6H;@-j6wzm(O!%)m<>VPZI` z*`4nX&BPR3jk3VMqi4>elPHylc8m+S=W;1|ckS#3RQU}kMNaiD=iMXvo;oK2rR=`=-tURnb_8Doh2t9)^VocqI}p zi`V5T8&$-`H|%`BG&CYZic@B;>#J4pE3oRuN9t4<7U{eEyDS;0t(WR+H5p|ZqLY4y zbc`BQVchay#8C|tjpg{cg7egP@Rux3ak#0suVYUu?Wd3(abP+fosjD{U%uM@JkYPy zxgyFaQ4|<<7YvO|semGJ_u1?2w~G)L?=-urS=m(B^I3CT7so zs7$jto)YSWaOQ#|Aeo!8R+^=dWa)>8Wv0J_45lbhttQx=Iu~=S9HD&r>J`Vq2k9{j zaf@AMR0-kKj&2_<+%_QNWWSw|C#tzFslC^!1`SnYzs*z3!xz%bhGu+6QGRaFOWEUF zNx4FVNdg5R3HfYi(4DP+pEM}1^J!-NNY|vV3@|`X)OMst#A@B6+%&mdd-9GCSTfSe zTh|z_z2dXZ%dd{w7=Y-*DF-?^dEyRXH}h3j5AY$hSrXvjk(l9!=;f9{4Kf3|4sf!f zHW18+0a&}PSgtVA2;7ha?wSCRN+?(kyn|-m_|xV`A_A|3Przz(3HTV_H&%xICx+*GQptwkVT)mG%n({a+JXH_J|Y5X#X3 zXq04A?t*}=awrLQ35dqG$yi>U?Q*CH3r4FEL#rx##BHf?s7pA+VV78KbeS~x{bFr|c2 zV<~(x3q^d{VY>)_i2*$QRqCnZi|VWUB7x*%TQ++2dMJ2E%Q8awC_~*W#Hct(ErjhA z40!Hjh6$!ujm!pH5WN)1oCdap(nEvTNCMT>!vt`8np>CM`Q&AukFB5l;KD=IU_UDR zM#dRCm~fD_q&*o(_0=H}{mKK|U8YYp{fDKAl^r4qWIP%i43uMC7@I1$)&nGYHEPyc z{oTUC2cs^4N&%WtCfjl9jE?}T!@TV7e9|&_+jEY3OtP12@D4paV{s+y@30l^BKXMx@x7GsGM=IkdTp$in(3ne*CiRGs zymWh7g0hjlg}>{x0by|=Lp7E^oHEFUirnm^-#dFL7CTp_%Bx+lwJQ)mxh{1dIXg0x zqkQ78{InF%gPO{w`J}+W=xXr2U;VCuxq1oWDlC~(v{Dgsuep7W^(`+JXw+ZEMPh@3 z3d4*Uq1#9LYg1)65sQ zb7n9;G|>V^NNPYI!=S6QynA z6A*xX)(UyX$5(wCWB7ToqP%SGuLot#vnlTBXd9m$S`^4#rW!^syC4X16R_s9Uf%la zT;{JOS-FV@RNs7d;z3MEHSThpy#@yZpSANg#;ShyMjTD6YC+5zeKB?d}7elhd|y` z)3`9EAgi~X#_d`IG?hDn9BMB@cBdJsIoV1R*Yl7scX$9NFgB`Z@c5!$T*%x#t^+-% zo(4R+`}CRNZf%gjlI8lu1;%P)$;U55vkDP#i_??E_Q{P&U<*TIt0l%|MStgS*<7Tx zF}KeEv;Oc`H)=?{8iDu`q_E04EI-PQKZ^$Et&kVCdm8P~R*UURH4v`WKlm4Vn8NmD z#a7h1K7oxZ$Y3<~@o*qz-C(R%A_ov{o&4@A~uvFcCpEl6y-=gTr!oT+CPZO$BcK;TdB2x0M#B<-y{CE)Zm=`+A;dult zo#Q7K%IyflAsXVPk&><7Aqi{&LFG3FyH^Vpg7kKZ0XDI(V5VnoyJ783oVibma_K;n3CLJatgz105KA!&bp6dP)hT_XKFYn^4$#(A6Q`VAf*vkEE~@+<_fDF+yxzdS;0UU?pH+d*KVEdl zRCE16t9Ay(Ghs8JSCBnkAiLdK7|UBG=+1A_?}JqM{h1VW?kCjwb~Q&yB3iro{_gjj zX+$o+BK_HziE{bNAO~a83J*N=;=c<@pA9> zoK7Iv=?(bIk)L8Km8%IeX2!%*Ie~$9%QX)9P3{g;1Fv4@)pEcf9<7V3_<}F=F zV6l}io?>Z^GQp#g)AvSu?qdpyq~;(L(j;k7#N0p0vo7GDLEulc1A~E97Tt zc6j$4h1RJ20uF-9PFd;_Y0KNj?l-HMn@+2#0faoa5%KYLf2&_CppH+zG1-b_nwwOv z{5q*WBMp-`1u+DwRXayoysoPeaF6aIg?MDy15s+ZnKN@&#k9~}&&k+?-JGb7KXom8 zy0*kOB`Rc{Z6Iwm3k0SXdhf?ob=)@JOm6d(J|L7tg>~L&x9Ojr-1gPz$4J+2jteQ5 ze`9K>JfqLj_?xA%sJ5V_RmxXpsl|jHTUr`hs>D}vB&x1~gFRVdIYk#U`ZS86J~YpH z7M(!{DB~*<8q1jF!EJbPikJzGQ?v)Y@*VmicPTxPctA@N2ZRP`?Vr0)Py4`h9lG5( zIY8hnIyfXm*1Tb`g~~@?2KRYtp{M-4s|8@)mN7C^rY0L`4Q}i`TzT)_eC3ul*i784 zo%(AE(3=sH&!Oh+@VHSg9AsHK1P{uTJG$ZOo6Mc27HOAB&Z$+k z5aE{?2zjNhmzO8WS6yJ(eY%Ln($1kRg72bGDz|~hLnP}ZTAts*%ahM5d$&FKgTtJD zr~#C;fUBR4W-q~Uig|`{*LkJM+uIw}2U!__ZIm;`q~e4BF^+v8r}?zA=1=Gn0{_Q7 zhl#~6dB1~)hfF{@lb1ITdB*oISQQ}~S0#t1-vAMejX8U;prD1P|NWsDKLGo%;~{M4 z12znQ)(8)Yd=$3xV3|Z6hSq=&`(H%{`WH2qkq4Llt9t6=&Xa#Vu%eG~Du5!`W(sa0 zr=@>5<*4wzlDZc{WrCQJk0-XOrC)OqnMbs=#m>E@6RhD%!&5z3a!QA%?!0Emdpksn z{HoG$igr)`FZj9e6%5MOOOI#*ccONoReZ5~Gj`sm^md{!Vc7i|GB5|m-rw7)eTjY* zvLV5GT#i~Lm4z_kK>DP*#hU@-KK39 zZ??SY4!p^Eda7Cl9<4v)2;w9Y*ya#^Ao@PBEa6$Io!|;Fyy3oG0jtpl4aACbu>OMp z#*L0VwHVo6)Km6fZQt&J*8lnx= z2_!v7=ht_5f~Bsa59wAHF~`l_5dmBZcW3-2Y!mWdO}F(s184a2~1udProYp zuL3EsGQRwO%GSy_{`LPLfuXCBTE99XeL9wu0MHMR5U+Lz)lc)?`u~)GC%X~G%db#g zhUiO((A!<<;=8KZJ(Am4UZQa|#Z=@-0TawG3cU#6a3a zc1rZNd}3zobqff-4CR#-;`GMF*>0N%y+ru4^|+a)Q`Fx6G44Vvzw(y0{=?W+@_6+@ zi>+-UZrP^rY^k4DnC?f@Gh18CJieW$Rt{F(>VMrlj!b=2#%*tnT{B~r_bXHPO@=z< zY-V3ko25^G7`wF`@B0im^5BH7ylt)j2y$yV+4oVovb_y*%S7JT&rkg;WTkb{)3Tha zH~BAW{pwrpx>TSm@3BkE?|n^pXWOXobtwwhWdLaFR|=3kx0z|jg)m~#)CX>zP_ln5w#1k>oSss znnO^v`hSoRr+(A_@)W%-+ii9FPq{FvgWj|%QxIdW7^eicWfQfuF7;v~ z;S}i2_sLGD|B`Fgi84cVPcwYeChBQjD#K2~Ddi2}y3Gc?YgGm})?D!?klYuXk{hAL z5c)*R&B*^iRW0ULmfx^a$yB`ok-d*&lkS9eeqi8 zR`1)+(vag2C-2M1atzQ9<3DI=RSK@45-v=2mZ|C^zR+E#U9h4Nu1$3|sp>;M2K2EC zPIexgtle@Se*%UP4%ekr2?@ElT+C!TdskVZ3TIP7b~;LYc{c1Q?DN#~nmjI(ypM)) zKwT^>@D#+~(@+FflqX**KdOD>YhElU!9RaS7Z7}%+m0+g1eJX+px?sW=dwCXn9WjBjTT zhaP7sI#U(At1R|(UO0RIHyMs%#&?35j{5KmYRq*jf~I8Om@WOAKrhhgkWa|a2?~qa z5A0aKvK;k|oAs^_kIL<_f5&z>CY+Sw)qO$hN}KN^jp6de0pChje?QoUH5B7o!8DTu zqiy6ocQ{RZCx`gHK?<8yVnaR<-lXlk1I2${hkSv6LAj2N3-SH22>xE>Uh};>NZfWV z@p)cp3N^>zSXT-AKK{I;+9GNwGgoQld zBEppO>b!A(HH~Ie6qm9k1x% z3*N#Cg#T4^dt6pgrGZF`u_wxutpq%0FoVwgD~dHc`@9*U;ju0Bl>BDdV9zCaY5kH5 z_Vqay=z+jKhq(8E=K~xvOhJ3Ch%REtAI@pMjtcgmxS_o^I1BkSRK@yQyW^h8N*Xx; zuVdecc&>9NMhED(s{;-0osxk?oC!^G$*k+%Rq1Fo%1d zv(M@pZztsg+ACXG`bvCVqmjVuCV)O+7Ur4kp{CY#*;iZ!+aRY%!Q(f2249y8BXs6M ze#UH|=|I(_e)$;kWcowlZCUx#U%F_?)8)(>3rO3~Cu`bZIaBlHSZVBLz<906ow?X=_#3F`62M@;#N2Q)V?92l)dF-Q&->}%BSDY zwQH0-sc2tR-C@U=zbN;=mF?0|Ifq%JvvdgtV^v7~@2@uims?Fe65(`~AY?d%pR+pbIUULb!f90lz1N8o*E_ou zT_^NFB6hs}iC-+sYhNxk_0FOw;ey(~2V9ZQDxK=Umw7uZ8Q61sT^PS|2DasO@OZK| zW_Hy5ey2B6@^W}E@aOhQ-9CVI?>aF8W=Y^}PAGam${wiVymnIakQ``JXUU9Y$q4n| zl#SjD)I>PwefeHvBIH$*Oq%aU$zt-_p`dxe( z_jYlcu-oF_d9TP#p&vcouboF&czLcEp7PSPy>1kwAym`?^k^d@RK94(xCym3p>jtB zB%be7NlV(j#m$!7%_l!qep>%cwcd|W8KF&nmO)cH$WdAj^M(C|Ld;gPMw1#F&-5Vpy zT(~WEbuWgCQDG0XD^$4WqCvCmBwv#{_CG+`I&QWU3K?6>v*Y~!?M=FhJMw$%(j3bS4uyccqEucO)0^nO!uLbS~bgv`@n z)tac-Zm>UgRru3#9cq7UD+h)y24_W^?AUQeMa9E-m@A>%Ww z$+@YfWav0>FCg?5@qe+JShs~wB{tWxv>jk7)c>u+sxv`+KvmmY1SgA=kvW9N9W3vr z3Og~dzQl#btS7CU;YFsQ(Q9)i!l2?rXqyKMr(L8|jW;oonGlI?ThLfZg=Xu=v?k@I znvk(-&&|a%4aun_X<^&!Kxpy5oKSGZ|0vfDTISCDIP+_SsTu!2;eA-$>LNy7*%(e6 zW@3P8ep|%K8BwFGh5Kzyk+V4eAOn#Aoq7G6x~1*V`qH)t;{1bVMGLpBc{Z{6KO570 zK!ReEK|_X)z87Nl*N_aa-Oz<&+fo%L{)<5rIPF~iKNb@m4&tY0B359VZC-5E9Me+y zfd(ZugRW;h2RI+@dPO%?C~(m{TOx?w#M(}FYtyj&(;4$?0XpX00Sm`C)*4Q$R@*3+ zrv#Q4F@!5yqD&<%P;R^aP)sVf^9=_Z&3VYKxh`N^)3ivyG}BVW!NcMPBx;)Tf1-=J zsitP|+jFDvOjEoMzt8Hf^P12BU5j15>n}-K1bwxc)?2E$(dL#L2lckR@~$ zcgbu2eBpVk#4`sz>>$o?c`C2HvXsshs4LSOV&a~C(QEj#som8Dv&#cTZDTRDJ`P5Q zPYXP&X~Beb3GvKzu&uu4tBqPqd?;ei;xRLkl#_gOUdtULes0S`H`?~>I){jp12-(# zKW@cN&IJgZRWUHrUMf6+T@xpVq;*COoj9@e_9&&k=m|sq+0@nfyQsVGDRX|qpP#+E zCBSmfeKYc!GvFX$PZ13b94CJ%>DEchVQX z7%bTMaKcLj7=Cnh$Y5&!olcZj`Rlx?A4OAG_=`N68e3uHCQads#0CWF6(hd! z-)J~dW|su+%&A-p5qpJw^b|6TA5+wlSA@J?Ym-ZR=~Ejb4_O1$BP80NyGI0iN`rfg zmh6f|mTnDjds|BE5y>6RZ}E-EB%C^eP=p6e5t!81%++l;!UC_MSZNrS-X19AkM5|Y zAx~b<8vr(?zm~r~)DdN2X3PVcSWtoXK~9lS>c zGqQ~qH62|Mvs=Wrla@7ovAR-_*)1Ax*Urq1l<$O*>-5Wq94X`rqypRleE#jv4k=0O zzNsfq^yOW=d9p4NBj3c{w<~yiq=Qq#>7R9n(=Yfy76>n*cg%u%et3{9GBE_nc+5=J zPqT819uiI(<$g{5+SCL=Glv^*vG2-Y*(XcDixJz_3#uDZKIJ;tsnd)Xj1>xlhuMiz zQ7sZ!`~Ijhr55JWKvlYz-kQPC_8k=G5T-;hIM;oS`{O7jg>w;0B6 zqLJj4EzB`gp9UTH7pE1ei%O`SjF#H#jV_edFP>d9S@+=z zc^&yVS*wlDf~4&KfmfF~F?r&AS%Q_jT+!&(-%SPLv6^5p1hderlhLfzJ!-$Ozg@YH zq!mC(4Rl;SSR=WkJ0lpIsG&G$TI33Dd*lEV0L)>jO&dLu>d}#}XL+=PJpkqIsKdB? zWl;{z{i7^EG|bdBrx!}as@e1M$qm`ju>P-o_%k`E7=)=fNkWm4DpY>`4P2(RG-zW* zgN>%e{Lgg9qLkkm$-kH1AQ{y|{M6O=d)r>oTltf30_uyUW zYUScA?@ASIxT;Jtx5J6rs!<`~+pMRy(-rK<5M^Nz9HeHMqKHP_Hzql{iVN}VK1c+s z9mK)zDJ)}3a}5TXRm*1y+A5XKIOGUk^{`9-k;Hc#fp-|otfkdW&8XQ5q3Mkm>) z`*VQ#^(b}X(r=^j=O^Jl{^LJ!-gPot>dQ)43L`N{}*~ zP%hF082{=D{XvzBzkL8i^tjl6O(VH$yD0B;sWj;%kkX$m7VxQJ^K|l!-Q2MxYhgF+(9%{?bo(& zgnvw>H$Dx0=bijwqrnuwy*zsYh@vclP{22*G>j}$iQixq;W)@i3)ugXhZQ}v<;Pk- zzm_dqn=rLEk&D1&w{|Ws>e)|6VV9=6AK!#kE`4@`lfd06EC#WGlo5AzP_Do?Ku2i@ zvCU2pZ~tuRgfXGuk)m#|3+V=4=iAR$;PIA}tpo}^|>M{1)P9eueno7*Xw zyxoD4;?v|UJ_m&?K05P(d54je#I%dOf(3|B5(FBUfi`+8_z!}D);idaCo{FyH+ zyphQeeMHvkWM%-5&Ib6bXpv6VOt^`u1krkpZOs^=6l+#CL-!=V9qggB`>vG40lJeE zWnwb=Ht?57w%*1S*O@u@9Txp_DD6(#r*w&N{1_PxsbD+QD7Qw!iasGHEV2N&L_2q~ zN#=y(zN{H{6AluhPifXK)9?Dk9Slk`Tj6_zvEc=>>~3l3PU+YOiMv!u6T~Z*Aqj|a zXgp+%5)(IjGCDL!PNJ*I)2>$!rXw^+@0TGc;d37hK~RZyJY*ZAg-|Nfu2}WN8!8w4 zWW>a1RWW!xWY3{cD$VEdpZj$GgQ37=vevhzeaCG%M_caA{!JJgHdprT$LD`>f1Ypc zG-!!|ys+Q&*Ico#glCaKL4C?e3g|zA6Mn!@-WNJ;f{u>->;)|7xBLnTKf>l<6@PsG zN+D4v7Vb%Z*9(fDlj!U?(iX#Zp5cO|5O7#kE@IYj`q3X2S-_XGesGstHm%<_ZOExx zB}sD${!0v<{&!D&dIFd)*LpxvRM`^=7o*)(F{(RP9C-X;6tmbT1&Wx*9vmy3+$G0W z0$UlnS^^)*h&r0K7ZVBfA0egA56Q!hKII|Fb-UU;(Co5L4pQ(Y8fY>_gV(v=x5N{= z>3qM$`YKR#-S=QHkZCerBh!-)6;djB=XTgP^1AL>K!49&+M!Y3YYi>J)8r zMn=C#!(N+NPNOJmsFPsbiKOl1m>0Gu+=6OHI+8uz~Fv~OM{^~MyQqi(qDI6~5}PkgkG$BF!9Kp%RY zjJ;3w-HO*1Pd^eqb3gfy5b-?}Z5;f{?4r~Ss4%i035zcV#|@9&HDUM|I^lwh2wuZ_ zr9|Ccf2!KUdTYXXzgz~m7J}PwOLnUjUYzMif7{JT_=z$uti|q7$1L_>=Gb1(% z$2fb8I+{5EYdYR5f;aH-yS@kN!0{Gyq9!S0#~lg65Z;gU4*GC5Mg-$wy`yMBk5Pup zJRYC9f*TU^K5=kwKeM~3qv-*#TI2aU|A!v}h3KTV0cG>`54eBD@+s2-UnI!8uuT$b zSF}9$1+h?Q#wnEVm2ve+%B{uN28tM+)M2)RC3`-%aOX%?TAH0CgTC`5veNDgw>y&} zz+wDI&!dN@Vx<2xXvfDJ@cLc91vSJN-bv-6PyRB`9^NqJxg-#+H=iu{%`>qE6P4k| zcOr1zwJMiH9nK^O(#Sv3lf_u?QuDtDy`7{;YFHi~CEtGMF<_0#n&+2h@d@j_4%9j* zMA(6e>P5VH)tKDkXWgTB?7t4=W!T&$KI}%~-2M%Du8kbnU}br?jN*@=?MFwfTwX ziK5Gyh$oySDr-&}xi{Gwz&gMksKB2*Jn3T+U1kUINZ#d4$`j8L6+9<>e8@#o?kVnY z@CWq^89_v_RPSe^B}eunt~w_K#BH=rT~Uaj(Fp;-B`Hw<9yh`P&hDf&dhII z+Y;v(m+`~cVqwPF&(+Wn0a!TG5fMuS?6(aVjKOyB3cN7MU%;D<#c)ZA zqST%O+TL?x^_T}nU05)!P85v!3RbwV{I^$SPT2it>fcL##VLw&ir6*uvbkv$SE1ov z6@3}c#>A_k){f8;+lneUXD_Y}9KE;i>hIO88h?Xn0!q@QgAWQ!xA~kL$zT#AiJ%~km<;Gk(OHVXQ z&neKik%NI9gz>Z^(%y1`?LdSW5m>fF9)fhSuJL{6Vo*6uZp2qjfrQU&HOq` zp|$;L@&hqSpcAWqiIXw#t0VI}Z$<)T#lZrEsXR)A-D-&W)w_HS@Z9tf(xFvA(vxmYpF*&AQQGVW^(eL&!gA$8YyF(5{ zl2oliEBwFVBr*gVn_om~%koS9jH+D;#*Q#AxGUA{$1D3vR{O#jjwaMQGZJJ(h8FkY z(?uRv4ny8`|NOd)HK!-KADWd(8K#USW}ieT=luk*-*%Bb-eB$W6aZsKPIGXc!G>7%r5>FO7NR?;1C*`*(>f z;?FQtGbaCTX_{$zF(@lFt{^{~Op&jf2xqyw^CS>fWyBshPM&T9>5 z4Rg}-i*mbDSD;}lnZGt?s&Mb?#4{%Ck0jLIF`?lCl4>!Xr8Q$po-qY_0KY0HJU9+pd)23`=2cij7oM@ z4F?RTZ|3+s%oT|rp&7(iWJFLw>9yaelfe_}%P zVWt8-$HF?Mj^#V>hZ)JdTREwB?DK2>V_Sc$)tJSBj=R>z={RLPP^~{q;hRU3Ec>Ki2%y(i38zN3~Bg&&jQ;WI|uQGYt-kzxA5x z{KZ6(8^9I!(-C7dgHpP6R&dz-b7U>F_hZ6Ga5N>WEfE@k4ZYE@ci6Fiky6#5A(!)u zIdYb1d?gsIwj)9Tu)#DMmJZwZlc%cFbkWE9f0+NE<#GJ7hQOU0!>~qgLTn3g>aPe? z@KVou(RDa+x`lq7<%h2h~mQl1|NT(SeOyogq@$8 zUMYAF3xhy(*1r)*!msOP(pyFmUQLgdSI|STU9|lCy(7zEqh$`Ibmz^*pUy*o7cxbk zo&vs142@5xZDF5w^eN&A_RsX*ELRrg8BbJ#Exi$3SuYBom-61k6D`4UfK*lioE6kx z8}4$bFcgj9OmgRH`lDRqKPEHy&l1zS>lQ<*4vJoFhFCo2)nctkqCx5bu)M)-jW;`( z4akIkd=pldV0I|lc7zVBOftE7HT`t1F})7(_9x%nn=?VjJnYK6X7%KCBom^Qzy9wZ!MP8YYE5278agIBw|gGl#u( z)__PohC`cnP1LD3;MkK^1Ps+g65n#%EKMGWI5CQoLtu{;@*Hh;BUpOfLctAIQFPTr zqTh1LU@~X&p-8jZ-|_%Ns2W^$If4 zacKiX#2zytB6@m}L7@qe4|)W?&_!g*;V)hqXFe6lnldbuDI<0ZMXceqn@`G@9c)Aq z$$KdBla3?2je!m!OzoM3+wYs{eDDFOrW-y76 zHMY}$v`H`hTXrF9J}r8`BJZNL>N;l>6d+Te$%h}L;k{iGf5{|%MK=v=adplKTzwS6 zWE#&$Xg^u}o)mdVwiNBi^nRNV#Z~^hZOsvw&()u*SFmjLuG)#rPgf+wC3M{E95|!j z2@Qn<=O7Bc>m4%NpB6HbIjmXQE)|#KG*2(c9EKA~4Enc1H+tT|3JFY}_*orQJAvnQ zpNAYDy>YH<9^`=X3^L*IDTsO!m?zZ5ZdS3F0|iDr&3;y`DJ~0=Q4}mjSe;ELur_Rz ze8O%ltw)wt>6#&Ac8+5fq^CdUiuJhli)KH?pp8hTjsvEKg0x;Qzkr6-bil7Wl^mZ4 z#1OtDJAvQ7Xol+*%~^TZ;69|rB*A;X(R|TYdA;hGh!S~xSCmE!r~3URPfk`hd#WRE z!7zcX(Dh7p>Ak#x$kx2j-_Mqwuj15QE_AuZtY&>`ZVnP*DHvOQ{UxK9r%~+ivM2rI zWej%D!oFIa9>=UzLyf{i8Zr&M_Z}_8RPCLhhhkf@y!%AnFEBA{zjBq@3oXtv8^=}f z;C&@*Z#Un~%tjKz+`C0MSK6N9Xt{2q54u&hGx=VWGw;)^yuEyP{D>}Lr*t^Z_OTW6 z*O=YllKk!$lfKSCZ0#9D-C5u-&0DE&l*AIMI}PLzuHvjm;5#skrga2m!2Jl9jeSa zmQp`;vPEeIZGKPQSp*K;NeNgW) ziv8-oi_n`NB3ZxYfBkTi_=BtHGqzL7MJOQbz6!}@+WFQ=^6JGMcl*snf)CURZDzzr zc(|~KXFRNh)0>&%ZN=0U?wdWs59BoS{OE4W=r=cqhVNAp&jk3L)th1&jdiWZ-XF&- zQv^XCJ=na?Z0#*(meGvQ37AM{G@nM|!g$Vjrr-6xE{~Kun@QrIwz5|W$)WxsQs6kc z7V8g@0mVuDU_N~M(0p17?+q=?m2`}{?S0NmrkhJp8=-r*@6v;zr^)!{)sk)7qx0rx z+H_*^&xhp}{`DOWeeV@w%TW z2;={7KZuYVBd60XLtkNpeu^A-Ca$BCI49ryG(3C{Tb`>M((yDlUoX%})VB>bG@p9T z)IpZlIoNjR96Os}l*?2ynddcSZ{9gjoD&g%NYv1z^n|H@{ATbPc)fy< ztei(i`%48>&P)CirW+T$0onzSjSGS8kkw)7=Tp{E0-AD`$k)}9hGRo)tGrw* zbL1c$V)x(Mn}?ixn|RuPl;*?J2rw< zdz^Xq^RFR}nNpFCB3qLu+ckG?Qz{%)4Yj#&rkjO>ArHiNA3Jh zYhWXDiatLKy->RjF7e%Hk=Hzx@aw4m>bog6WS4iNH#);YIf>NS(!|m{zz8=Y@9;y zN(C@+Fz*_oLk9Iz0yg73&qj`{{W!Vq?#A-F?$K@7D55 zwe$n4L$Q{B0!T%E2!kaAmXli=8aDI{7j|Dyc`YqQ-7KEshGj~6@bPG3KF`Th`mH4D zu-WgCNzt9$TqKV&MNd=d+;^$TGsWy5CkFvHqK9y=x+tDzV+Kyuha2_n7O)4>>PEWKMcwrCM1mFX;KtETtxWoay(Vs6}RaAb5wr(d+7PkfuGVIJMsjZ z^4&l55%Bj=Oc>nAz#31?NMa=iqcG>g)#lix6%Zn1Fj$&PpsG_MvL(`^bBKH_i6n8`HZKKJC+vj*&Srk+{BOq$(ZTBI zg4^F|XZrR^E0BQVyk zszH)Mb7;l<8k@DlLRG zkmP=FF_+0?8t*9%Yp3G6vcP{O1U??kw#37`X49BYdFvS6sAlD66XkPDj^D$EPax&j zvG!W*nir<#`bWiD?Og*Ksw^Ehk7?yp?FpCN`>=}`;m-C^toJ3{CX?yBrzO0CMq^lr1Q7eF#ayezVvfV6%* z4hNqn-qJRj`3_t`Hexw!W z1EDmU(@hro6xTr}w`X+j-_Qc;%o?{J2NC>^!m0_^$Rx9g92yxXb-rfl4XzoU2-TWZ z{_H=NWvN)?sTA_>i2jjvU_{&B5tw1Xh<$%6Ua>dAuo%}sDAz0?!-}^n*|ndJ5M-}` zV!E|BEPX(pqudEmZhM5Fw6RslQLBL{iNP!j&4?ZF@Eh18$zBOuPJ&O@yWOE{zxDOv z3Q64hXx-V@tl})xi7gqJ4x>9g|7;SI5G19M zzzJ;TM;6YFH5DasMq*wYYLB?ciW@((YV!x%2R99vmLtCM))J7HR5OoUf)R_hA0eJu zIa=2eMF5>T5epS5TNWPmv45C$m@M2T`DCBf)D^?N)b{RXGq!uklogh)Cihal4jyX} zrkieryTRJ;l!a<-irbRmD1!5>7nZ8@>8?3j`ZHW?7uOcqfqHj5ysMS3b6teoRfanR z{p9-YVmIG>#oRiqA&6s<-@ST|xkU*3U$uBG4$LC z){IwTKC5q$XjX(!hKKD$rCRW7KcJxW=I9xU<VcaHlPNB3vuT|-?kzH%CruHs5B?gDp?H=fzf+pEgsfK{F@j}3Jxuhwa zMx=WR9m~iz1Hl&RmCDmKm&!bR66$7&UhigIo4_} zvT2mw4*qVLMBrm`3o|fB*Qk(lnNPKqzBvq;qMhb^Z`$cKL0;OYX{g-`6OC5RT-A#L zLlHJtt;~X3@RgB>?trN7)Br+(=QcxDk(!;o{G08#0q@ZI|e!~M+)pOg25!KC+F759pj z$fgYvJNO(Y?5+#1Q?p3fB3M^SW-T(L?=GyRL3UR`TRK7vGNODnS&XZGY_#Jj@}1zQ#!rVDW_Y)%~b zO!!MLm0xr)IdSaq<4@O=(kDS?oRsTv!fgnNKCk;yf=3`BSP5akG*-}7!8@)){|(h$ z)`YE|cRqHB@IG%!t`!MZvY`942&YeRoYX$wRGWXiY>;)51un>h??WDkLnK=7+3wtZZa-8Sn+uk7w2?zaj`al@4|#{(#y*Hh~taxicaA{Kr--Rfm~~ z$KdYYXPQ`T3kHaUPIyYJ`U>fTL>VXYc^$uz?9a0#0xgc+C7xtVR1?D(eVYwj$6u}% zzm+U)-Zmh9)FiiV=;%+gm!`RpI}J7#_rMEN*%m3F6$^!Lq#tHeFr{M;7;H^$ z_j`5o)hX=?&pr2e7X971qH{IVo&Da`$il7Wfsqk>?~>rqah8Y3>ix|7-VDoQN5xen zW%>-~{ReGQo*Fdy^1$uGkD4!GS}Bf-Rcvnl}~CH-qs=^(t~;_=T)d9E;YhU=~q9f&2iQK7<7Jq zMKg_IGxzyD=F_#!1iFW$4G(+#+-S9jxDYN1_brSB11H;%$3En%3fv^RSM)o4D~z`E zh;BisAu1Xq!pR*a_9fsqNpPcYS;UDN=#RzBj4m`{G+y#DCCA#*^8vc*h`r;GaZq)t2+!(_gpjpwh*j{Ww*k z*rqQdy}4yZLfq&RUfb`AclYHFUM1zGW##0fzcb zmV9HW(R-luvDnh1VdB?^q|_`Wj*g`QT1F%vVLey&hNHa@!3QeL2MqL?){Cxr&5lA6 z{-dE{c_Vy-WsV6PK5$Cbuk(qTMMj|Xq6-Oz+!`EVEFHG@mU<$CdU$X~9OKS*32~9Z zZONp(K|qGUd0}m_={#gmG3hDOR>GJY^5>Qz!Fl`tq;$C=^DP_ZsH`sDNm7Z(N z*VFU;<7Jz9;j!Y>%h&z&KzBFR>r6E7K`>03>>aB#xIKqw6&Pd4s|fU}9JxC`ESS;SPnNK*YgEPv*gpFE-(Ih$67SsJoT?TM$wm68IAI~b0}ubnk@mubkoZHCM~#Ky5dox|)eD*mx- zFO^w`G*Z`m9Wl#Y_l7do)(z6>-AJKIG+IwXMIUPEVWo_+oDdW%LRtkPIjJUAeG9eV z6(s`I=}#x>MMY=~9XCLIMV2m%EU)!9BEsV78eYF(JXd9Iz8j;U#HH+vY6)iH@xNby z5j(PEaVPR%HJFaZq)*Y%j*1?lMQ3pA#9;_b=Zd&+O|joj3K0@TZ8J@&k$>j_$1W{- zrkJUJJZyx%1*qilrb&m3!EtPR5gFAFkv$V^s&w>hU!=5R){>6Cv-8jA-@8}P7vd%IsrEmU ziiAX$q&zdp$Kvtvli}t2a`!SP5*aqSBpv(3`WQro=~GHnnqMi;{bYiFi{Y(wcG; z@%^UI93)ScS(x1z=_qI4;QiVC;DZ@fu5==#j~x>R^q&td%43Olg%|02pL0@`|B+7= zsK=lWc@nc8RT%mLhgaW;nY<-B>aA68r~z9$B!fnhf?R&M$JLn(0e z;90!O^hW$kFS4&EAMiiwK5Zjk?O`X=g7ff^lT*61gKkL^u$PRWQ)SC0{=f_Na>j@g zfa z*<*cS2k)jhg8X5XbCe6-)zw)zd>I(aD7sXb>Gmumca!(fX~KOc4F3gQakcb|$_l5Q z>dHBPoPK(LXdYEvTxtA}@e!o{UfnQ}#+w2q#iP8an3_hxCGe93%e=>LCzr?weG(*t zc9m6xkChc@z&5Q(NZ$Tj;{;3n#~EiJp9DnkdQC~ea%BCl$Euz!1bV1gx z-?V2-%piP|wUurs7aMaA`~)1nuLiD(q2M?yiKpw=#o?h<28F#%O)EL<)OhTvey7P( zjcE2YG>eKub0J%B8x*_8Exw>Bl;Wc)g+KF!&X<>H=5{4CLrs$sb|4xT7rL>po%fnDfnV6# zDu-7NdVY;Bk3SuJ>XjY#sedz@AT}X6IKo?{_gYtpWkW%^qyF#`yNF@~<63<9(H`Wn zfxG)Gt8U`WG1t`OM3e97y~(?u1tKD*WDdPjy!4xAvIOz#z7(>U=>~O817@u+I9>3v z^Zf?X?$UIR7bmEu@o*f*s8uMu&{Z;75C5vHCD~6c&I6LFO(iZS{K@&TjfQ3%!OvxD zwr8uD)3Z1iwBjKvtj(Opjwbi!b~?=ti0PjsXHJ-Fs@2Wxl^Vg z8W~>rG>$G2Qz1bm_+(Q1W9a_*oY@T<_!l|;E78Xwx2{3R<}&GS|1YlZ^N~UfD0gL- zNBwV%6M!Q;oxRCfgNfipl8Q=oBInl;LJSF>eGW<`MJ($Whl+I-q%{gzf;M0APh==R z@{w3eL{XzosNiIV;E6;-XeHeQr?B@X zV;szZ+&cT_7m4BFK>0eBj|Hq zH+s46o7VH+U`W_4%r;MR|K{Otz5m&hg74NuCg`^M6W7+P%uxHV z`uHLOnD#S|Hpr@R;r~FBm$79yi%dPQ@ z<<&83{PTzI!CMtBbSG-Cxw>TQT&XI-T7qtrCeh2ehvd8~HPkgj99Vba6f$lksy=Gb z&^_{#Y{A=1Y}m_Au0PfDrhOD&l=}0Rm+m8YSAK*t(@WOxT!QL*Hs$A2K{&d2T`PYS z1>tp7I-a`uwFKZvnNP&oa>#M|V_uShtm3pJ$r0pTM1++7=V}3{ilNR3*Y3J<_XAZlJXW!z!otSdPKYJSxKTJ zM8;{U3oZ#XWPZhW!#BBQ!!M4Wig;O3lAGQ;UeTMd)yr2uBvZmKNaE#acA&V%oarc8 zC?PBQO+RyqMGXF~%jKin;9c!B<3AixL*3;`?P$!;C0O%1K~-kV$e`FsNJR0orl;M* zn%4meoZK7-l8(Jb))Z6ZB82DKZN-J&4clR=@UAFgb<7d_Agv6imb;(susmx{jsG*f z;K%OQb0S-4gtC8c@rS3jaVw}h0#x;$OsmbP6bAJWXIlu8-NQW33<)gjcNAO~Xf zaw>2@t~PT|h($ZaP{Z6=_pzHOq)8II#kI=?wc#^Ve7=vo&Zzp?t#rGe`tIr%V!|@3 z%ZRp+Z!J~^v2hKVepIh}fS%b9*Q`38r5Lz^lA;&Oz&Yf4M5scQRBBFN#LYeIkD455 zD)QW7=45+h_=D=tM8TbktV%v7tdT^&X?Kq=2s6{9d#f67!L^D>28IyCUe26y_C*JdF0ex7xD=rRD`J=?#_5>8*a^N+8bS0(9dYPrp{0H z?z+zQf5h{pY;kwEWhK|^QdJJhLM+{-JeEEK;!xu>s=crgCtWODml3LmUiBWAblziD z9<|NRqBM_2Iq1g^%e=Ec$kRXSrwV;nBciPLH8!s;HEPyL#Q4*D)1R1DRZ!fy*`^rDvd}CE>;-QNSUnbnlOG;zLGnwklW0xf(fp6y{G}4ZkQ;XQq#mSHQWQ>VxBGFdO%}lw1=X4^Xrg zfo~OKK3CS|f557H4SsDr(|dhW)~{e*0iK1UWXjYTQiEf zgh4N0^~}&oOWB~=s6)1N!J1{buMMn!_|_myR1H-4MqavkCP-igR0sn({FkJq}rDmpOd0GqrD3*vPE!v@-jg{6)eM;wG5NS|iVA3b3jc& z%t67f50S+o?@>>va4*CUt?_XU>k3m&-1i3797l%(SUk=Vy=#}|i zY{~$Zl?DI~AlYf!z3IHlzOe7i^)0utB4Q#$s2Z7|k(#0;0bG0(d?S~GtR`1f=rxM- zLPgzSC;n6EgKsa$u@Cj@vO=&2b7?*T(TAeT9PL{ZPMy;@JcxmOef3oCFd(fSYX!S-i0Z zLs2`Spd-Z(>wWJsoV*Snv`X|#MZ>d+~&{r%z zMq;`g5w3az_2pJ;LvN_Dkk|XbK#T?zLj6uo2kmM4iFvnPq;E&zy21+CxeBoaF)n?G zTU|%wnys`vuHjBh3P~jK-vD40xZ_gu;IA2bct9o0(ptksq~fLPzqd%s0F1nj$9cY{ zoU3w>7gD7YGa&OZEQ;v2c<_(HcX8yLZGBAX$fGFKWi!k58RL~f&1(8G8MbE*50SV& zbcqbRRx}RNem(|khLT5+4`jv33U~zhn{c1EnID=$zA9T#;p>tCLA&rC!Ik_Eln{Pe zXY`VNSGoE)0Fg8IM7d(Mmg3ETOcAc~J*sdysKzpxBs2d;O0|$D9KS& zZ}cJlHw`1oin5B>m{ylY-4nVWqw7E1jCQZ$FRV{N>YN-rL?wDz%8a?uQAgN2trOisjnI*8{|2U5iuiZ(HEaeL&FIK9@TONX!ho%4XBKS7kS=j zu1^N<;=tjFz)P&>;dO7cwOh^0`C}>kKyP^T8A~Q-zE7s6%^WHpVH>w69lv7 z{O%^@kH~t-;j+8x5pt+7EKE0XIndi57L)ao<;CvmXRPN8;GY%5od|!1*JBcs!6L?u z_@fedpCq6?+BB|J#Go)Pi)|elyXlMYb&T*3l*EdPgnuhWu5V|m&jo~U2)-x6>D6De z-;)u7g0CpU4e)a)oXhC%P(9S=XAQC&JXBnmz|6-52)L3+Iy0`WJ3$)oXV=*dKFirifn(&n&aRdByu;=Wl;$*$JZ_Kz(N5?ZwyAlqj z(q&Qigqhx*ux!|%?#z|XG@(br!BJULhxkw<25b;Ht|Ywra`FydlAzDl_m>TLe)$qk zqMMK^EaI7hqg0d;Auy&#;5j`58Nf+5A^mPvR#Tey*C8ey4{=_3^CD(`c<@5afppJJ$-UeLLZvvp7Ykz=GGSqs2!V{4 zWqTy{WVHC2FU!}*evMfwYL)Ec{-e~dsyfv);*xBuiSFnLrfAdGX-`Lgr1Sf@C;e=F zOrrQ*nLd69xv2ig7vQ50*vy+WRam$BGZ!BTw?Ae-*lE%gPs%6Y>O&TA2y>CavTF3g zM1{iAMXRHAYzQ`Vx#)Xj!lo?{*Xdc)W**(IHAz;6hz;;RlDj;|OUE{&pHn8TNUMDi zIumTC01$8|E0L!06d{QXNl99S(?7p4dOnkX39*OyCWwi>u@&<7xtN%6N*y=ZXF=*p zR0c^QLBhgSiAMp@)Zvc8M35`niu&dZN$Dnr0x&Nicc==4gvv74LLX})NbGYMFecc~ zzOgFQq=5uDV)R!~%lkZ;Ay{@Ad2-kIF%w`PFH!=BQ^BAHS9AWhPV{btecjJKsgD zb~J)Pk;iJP8IGx=pR6*Yc|5K!tj?#j3A<#!@pj*NBEx+nAncpJ_(wd6Aj>2xSpzh` zf5l_e?h-ODdNXRpVqm@)yCfFum@`f&8OS1=VC&!H7`}2;+1CzC^D4vg5>_)A@}A)(_f_?xpn@qTGOuH9{m*Pn5{Hxc*WeR^)P>jcT}+Vgf1gZ0>oUu zmOOW|ckDPMFhfN>II%I;>VR<0RDLKyPU6xHHE6d?G1|HnElMP5d6+jU^y34*Zq?jU zy6}Qz7>{I_nER`y^8Fvbr;I*EY5Jq+v1yGAg#VO}{CISep8)4b(YSva1MhAN6^&y4 zdO=p#hWYj!ql0YG$eBU#VxO{ZUHXAya^d_hfznFT`kg1j#3o4Xn}Ys9)Z$M^H}ba0dGJHuB>h`VL9^BgkQEW1DMR z-C(Zes-R)f;`B+YY9mRZZDmLrc?Jz%$SZ zk(K&ba~!{cD@k@S4ROi}I+b7WL3j-MxHhD|BaiQ}JG#zL83#3RUC5*9lo;#LO}k~= z2`1@QgI?Cg<(2Il25d5-LuIQJB#{-AgYg>7p^Y9_3x;+eU*>s(q)cQ8dN5^4?Ih)9 zVWmU*##k9fH_>5xd7H<(8di84_gAswtkg5jq#-*ZsR=A&Fg{}*)-|+gEo&Q95B2uH zr%7?y=21n4b0sfY9B-vLJfi0)!i1 z6X81@F+NR%YaM{w^bFWItJb3l0>J*(6H73IaDBh;bOr;%dmI(#_Q+(l z*b$^y2QGzNlTpk%3NwmT=fKNVN$^e#9RE$SeES#RW+$kQ5!mLO{RClKPCS;&!2y49 zit^jBFeLX3cO>eVENXz}edskT90j<;#c0gK;rLl_n^9?xp|TTpUTD=i7Y4AjPi7uF zkJB9kz^`a#0WEO-q)8UkT$@+7VQqs#;R8UJ=#QOaWpQtiC^7@Ey*zL@-u<(O=9)Pv>mG;p&vFs(R>? zKsDD;+m}U7eI!vIT3aMO(^OI&!@&$JJUkw~F(n7Fh!VOZNGM~2=Q$UyoV^iXm{xr> zJshwd6I*ip)O9P^2SmUXZMB599D2aH!3l!14_N+iG_hJInV}o)PgdnY;hFJrYioo= zw3<1aURd)saQ-Nu`?fM!pF~MlSc=sFc-P`7p!hlnpQYYR5DsroXLsCfs z8XsA~u1u!UXJbN}Nv25xI+D=l!=>1>nKOExIr-q}cj= zj7gbHXcnZ4QyIU9f+T1)E`9qVM5Sf=f@*{%F*^_F0KQ*~tC41>Tx{5$HD4$WLgt{pFuEt91e+KaEmfv0AC{2sI#aJZDW zZQStnOV=CBoQ{iZ0vLjG^sNij?%{kjuSo&i(ia2gyX@>;`;vyRvqj|OD6U|Pj%+W| z9JL{at+J>;FEaUP7I4V@(sUR>K5T+&#<00y7>!++0c;J}VtFRT(E<$E0{C18Hp~w`klSbtgtUfH zI`D3Sats1g_gaD%C0Ke0r^Z`-x zFU~*HT+wvfiINPi6-qD*0hPjlznb{Z!V$%%EIDKP2^VVoc)9n4E#*xa$B9r{OQXi%=&Jws`a@L ztZpI_uq|M%kA`k%-k8HcSfkCAvaV?aP9(U7o;KG`N(Od5eu(iIty5_T%jJ#IB9}RHJ z;=co%7-RtavS2|L_lY>pCMx=^HQfHwFq(P2;cnGMLA9!M)q1-J*dfgP2D%)5vuz&$ z=5UEzf(6eKJK?<0Hef42oJ!mfbraRfX`?Zit<-D~@h%l>Xk4QX-x>jAfa4;jcZ5f1 zgntLvbNo*&ezQ*9yK4BG)+J^8)a}ExC@XioY#Mc3e@Hxr6hj8}>(spBq;X`eOe%Xi z^MapMdJc{Mz8CJs{@lk3aEA1#P;d*IwL8Q*bh2FIX_NRfmR1VQ&_~>p;dFdWaOxpq zze-*z^E;p)^ZAEoHrz39_%GB)yGxBrCR8Qs)y)}-R^DP;ICZam+YU-)w8uSG{!pLJ z9DI>M+a=!`#{*Ac@Yz3PPC0K2O_gRU7iTPI_emfjB`nE>+jA$w@nPwM%l?4B$Y@&I zF3y78k=X7~FZ|;)1uVOrgay?B0O}Rz`~Y_wyz&pmb2Viu_t)J!BqQvh@A|@Z)Ru5B zS-}_$5>G0LE`s-oU^AGobcvTx=HMm|rDHFkYC4WN#M;>eMg)9K*H|&`kk#~()@0M_ zidAMjT$*@6@4mxW{?CvkKpTXJ*e#_y(#9H*BOHx0xtSnb`d|rW%=m8{lXWPw9cpn^ zrKBU=mMliNX;w1d46~`fdjaes+fvP67h&tELG*s| zSFBoxWGS#Fc>_oU#L*Df%3tywipr87X|&_BcYri+Xf6*};3%SYUEPNJ)VT}L^OFrX zSpZl#zvdp03qQS=KSAsPK|_NwSO+YKj^+X#Q~nVoC4f=`O3|xT-HBVo@l~)&o<&D2 zi+8f>LcXp+DUji#I75NFsZ0X^K+5qfIxa$!dJT0p4*V6W)<5v6;+dB!n{T~juqRoY zaD^oxh5_dRG5}(DwW`9-yQ>J{ChPFma(g645Lo(OtJ^$fPJfuMi~Y@Cu4-MM>fZcQ zS9e1oiw2#lwws`1OL|DR$55yL08Rg3poVE)x&+{yfEm}n%!7Xin`+GOlhnlMotqI| zLobAC+Rr(S{zZio~8Q>%Iy*==`(CWjT+_@04XYM`-hyREk`m=ex{8^p&7`@sgC7 zpr2J-{KH*_?5Pry+ZeXRARfC(Ns)4u)@w;M1AmR|LxEh8#iz!%Nu?ae_}O-a-R~W< zU)}M3*b80UEFHVl*2+FCtb{j~F~PtimC0a_`pZS?^z574TH3PPAxgmE@vDYB^^Psf%S= zFm75Bs{Y|!2nKTrv4xE>-~CF9a^pQmR8*?{@Ju<0r+D6YV?fv4OMgy;tH%N*`w%66 z-CR5pQkmvkMSwv^!jbmGsW%NfSVb8qDL7mE_~I+VM(8V{cgl2&$beViz0Wr^5!jNp zp!B(=(kryE4^r#=Lvm7jz)Fead7~PgfIqe5_Na9sgbN?5xNW2L4Io7>xKUB|yZ0F# zf=azqK2n40D5vCAGp-&8M-fQz^h9Nfx;K1l-5$E8{|>M_%6VyXrwl?s?7p)Khf=DO zRJ@-5gBr?hG_mT{UmwEwUur;&0X0~y5#)fA5}H`|>Z^uED1&|Saz1G+2lsFu<%G-h zPAiWffgzCSQDC8XB+1aD9C}Bzgt&FoypXnl@bq|3GJv?0(r6WnUjZG52I8+BbkKPh zP|?7E4TzvQEF*#R$pR)_bk->I@KYsi_egRC|Cdh61%To~!!~Nd?O!V;=mOG*MvzwM zln-64x&VMJv7l3OfB(5eG93}C*21x1;v^|yop z>96_?Pn&wE)M2F5Xwc()L#to}mfx|_l5enpmZGT#sl0F883l%NZAc3&>?y4g0w1%x zmUVj#cY(U?c(dtWt3L++otqwFFtFGO{)cgZ_`fQn`u>?3gD#HH_WT9ph-DG^3f3cQR8r!_|D^NSVaBbXu0zy*_N`q^Q7DnQc)iIWL0K}LUoL=%va}<9q zXORTwXT=hJCfA%!2f%owGQN2!x*B|l0H+SjqE}R`pA<)jtXZl63elg_KpO|_G>~+} zxsJN~(f_ZZXre7b!mty-ctus9{i&K%al49t^Cr})iar25W8e%_&?`0S7hy~79YD2c zixlU%I7p~nXPk$oU6A}sXCu=skA8iDt`VQ9{ru}@|C4UkiJ|(FoPQTxat&l${53Xk zcvpj57$E^;UG?2dlGo@g(Q*TtC`QI3*TH+U1tl&OE$+o!RefwL1tt8aDN;ap&^z|e zT>7se8=Hg(sCl^WC`0UXMWmc`-3GgIpF8B{q-C$8U=&t0dP}5T z!ha01b~!Po#hn5cN;Z#F-sm*+x-o=&QvN$)DgX*SA_sZ^6*DnWG>09#;F{5GF42Kp zj!VoX>j6`lBM6yH&D6(o4d@ZXW$>?pKt)1oRWlWUgaReAnC}H%vnE}>NF`8-#MF2J z-=d=Xiy`CkANqz%g@{v#c!k%(b(0Ffk|TR)2hF>+j<5aB2ok3Wnioj;cXIR+jQYo4 z-GPoIXfnInD+?&b$N~0-@&7;eQ9R@5-Wsze#^*fPxIA7fTOaN2t~upP=-iy#tV!1T z>38ctiT$te;*FNsVU>1tGmrvM!CHz=?>9#%k3GdSkTiI5Ov>Md!`+8b*&Gdypw9RUgm9vrl*Drw5!8vq80_`}}s`%z?TvZ|TYa$$1 zQ$T0~Zm|y3lK-2W0vvfo1=aTb-F5nVgauwBz->gFGZ^T(0MYG2W%HHyk@GcF^XzRj z&batr)*}F!`)>t3ZGxBuFeazTolYbi@4m98w@AKq)vb^ z2<-;m%dT~c%sz(RY-EeH^Y3CV+t}AHm7dj+Rq-n1{dsJgTzCssqteW?uj1Z$B$8Nd zGW?}jA~uHiDt4#r+ z+3l0xNu~E#C9Ut$TF%!%jv6Mp7Vb*^8Pm@j{aSa?!{X3F?)RIeRzBlJZ%Vkvlq1*K z?chO@)13wdcNd3Omcq?VXOTRTekBN#9Ju-O^$XFWPcaJIV?SIrJwyZX*~J!U7w5)+ zzna`56$bCi{ZD}`v@5sqyi?Zof>pCorMACO8P<9e4U&K2U*4M&*~1uh-?%7zQox+h zw_z(-{&}Xsy1XC*9_V-C2#DK55|h6DUX0Zp>G` z5iVlg5@n6=ey$2Ub4PY<5RG`wz8CP(3pri?%Va&9|q9&b>4s=QALHsH6h6qpX5B0=0o5-_80B#|zhN1frp z<nJ_w$>8^=qUaE+489seYXxM(f0cd=R<~Ir{YWi>?#40zzA@homvHe1 zW}L)Fg8{YCXT8-ya@0Ft(P4xYn01%lV9C$eVqe!!yowQ~bwJ;y76Y$?&Vb2)!2VW; zK4TKe-Rc39N{|WiH0WW9J3o$Df^{gAHLk!FI?)H3|Dhv-|D`7^!LnO{Jpca~On4PI zTA}W{HnZuA29O~V#Nv~u_aAFJ(kR=67XXdu(}3E+HJ}My`@0*6`duFU?%*XD!8_1y zHE9}x5Pj5T@pn3~8>&?P{cCjSY#}Yqjbn`n`4_Iop8_?>#=nZ?>5>4F(*fpR%Ilw& zoHW;xLnyy6?pDKhazhK~l2Al4MgUwB86IQqR*#_70>wDY{j-g3RYHup;QxWuh5cx( z{<}}WnShKaV{W*I+SU6Bq}b~Z|4iowjt6OaWnIJoCmJN_3p$|IoL>F|^z|R^L<}W# zb@@2I7z2=r;u0GFK*0E%@Q#_2$4M>W7DFJStM$$tTRbOPAw1SQ}Y@PuR_w_N{kz5v)KNIf-3 zeO-($y_nB39nhXw4`nWGe^gqnY5*j^&`3@!$B%(Go!ew7|}iAJsh~O#qaF!Ur_+?m9VEW{5iMIX1#Y-k5kHFy?FCEuqrGsmX`)M zfhP7U^AGSv@QX_N2*-5%&x+FGg;`~{XFzMXYl+)rolO(XG;E@K#w_#m z;t`Lbmeu?}5fMFu<8eecAJ&sD13g>6hFFVzg--SFIX$}nP#C$y8!Ro2)w_*ze@U2e z)EXb}Q(z-$(rW1Eh=b*Oq4W`g=Io1~l?LOXi3E%DfxqdBcU<1%UEPgPBpO?MB_-lI z^!AnD0u5a%bpDl;mE*&9n}eR9A1-luwMj|jvFc-lv|QJ|9Zc|F1Gba_zq2zRG6nWS zHZ?F@u{>?#cRlA%<0*m~^-$Ll9xM$WWsqCd0WY{u1ZZJ8%`l!ce{)#|{!PL&K!E7sIK( z*hi1Q0Z&N(AF|#uDz0D&7e#^xf(`Bgf(CbYcXxMp5AN>nK3H&v;O_437Tf~dNzOTM zy>;)uSyNrz)z#g#tG;S6H*KZ>&zS;Q#A+89HX-5)2$G5f%*y!sesZ^S+u~NIYEhE* zhQS(82 zN$a)I1+9gu2c1QC1V2O^#HvQU-l{+){@UW^5{UKMJvAq>#_i6e*T3YWaD0+0cntsu zjm`<#%PIE>Lue#C%({0+j2Es-~0WyC=qZa)azH)|gD&`)e%>XO{2D0y5Y`%ej# z&DRd?b&=r82oQucd5CyC2LhH!#8f+*KevVIm4iZgb*RgKn6%vPc16-(?qT4gs?I-d zv$5fF{oC?_7NLsXxrM8$EmF4{pXA|ql`Gnt%N@|jfZSN6ow9{sDuF->HmMgpE~p`6C)cKp2*l9+{)D%Idi?Jxq5&%Wab63zY(K z1qn$7>d<_Vj4V{cT{0^!^3Qel-REp*n%y@x->^$=Qt=LfXnSUhn%i?;!rR3{qOc(d%eA zXxwqT;A1Vj*-BeO^#m^6v7S2!{W-K5=}fbjDFpTEBh| zqFi>6kR3&A-9rRdTHfx}NLDHTi*hsCi`5uMa8>Ua)Q5ZLI4Z5UWYkqS79kkoOw89pDLLy{erX;? z$09wj3}n+9v`8k+25re~4xGV3DbXQD({&sxAN;1U*Uns;tT7$p;HIzx`R5P(?Gw0e zB}DDT!Ll$uR+o6~HfFFKC$0ndZqN`(QvLZIJ^q_{PVKODl$yuR)6Iu5UV%N6$d0oY z5nPvu&}qczp*PSlo`I7l-;7&=X9TwXT6We8x1lAMI80CzA}YctAaX~$Jv_Fp!QTo% zIcV3AxI|mEzdmqAH5p4fjSWcS@LNyfk4t3v&!_fVivo%Jj8ZbU0=R)x7l$qA2Fl}l zp#1H9@CYR+Z?X)r;!Nv|BR=;xfDjJ<%MCiZ^#5`HO=fm@i#zWj}CW%G`~RsnX&TsK1+v(wUx?juF!)m&ib8CPmE*$dJ#6qJ z6K%y%$kM-0GH&RKx%WFFmGN!bk%)WD?vq5Mx%qvr8@;@wHn={6)frAW9Zg^qm7c0- zkwzZ6*f;o?i6Tt35>IkL%4ew}<}aF&_FWHoTH%aSvsE^X<5jNcTM82TacCwKw{H7X zugtp>Ksvt0^hfk0yvu=gXSrLTpRI*Bc6yPjJck0V%|M#OyHr@q9a$rT*0yY47WwPy zrYf@sVRO*_6GqhZ8Ws3&n~(Odk?ZE7T0U{h)*PTylEY0sfQfBfjAsV4{2rB2NdfRF zM!VY>4P=h;k9W3@-)^x_+fsr^+2cA5GS%i7TlUAaNRuI`=%Hoj-ap(UNTBW~4a~o| zzB1ErdJVa@6_k5V6eNyBPoGeg`-_%utL_W+D>XF2hO_X4N@&eAwHG{l_Z8Fw@;6iz z0}B$y$u4Oezfr6P1ogk{;$ZMN9%@P@zJ!QePKh3SBuCV-LRBHsUCYg=l96I)veIyR z=F;Fq=!k!gjENB-tWr*DEFWEE&oGffLp?jJ(QuxIX3*#~Ls9N4FtXL>{Bqj&-pt4r z)3o*y)PIQ@A~LjYT=7UVRjT29laeJ=(!9 zT+iVBgG~sdGcHJ6^RL8cX zjikKA{j^Ne!e<&WkZd#}kXNFO+JD( zoq>DRHXEIML_=;o;}0gfHXCh^3!=`)#Q`*&{V-kF9OimZD2OvqU06 zGYRT77je=T`yURrtF6@$go@lL;M40jOl+8MPX9bk!!^H5QN}G{25F`TLu;*1qw=_r zGwhc+SI5kLzQGIw+w=}?xvsQDUh6dkf736qd%Y2nfe(CPeb5c|<~P&!M`f7K?ovQZ zrA^Rb!K6`)r{7OtB!Tci#-uaZxfrn%3xW7P7TS90}UBPa$)%2S~Oi zJ0?MFN_zAY_M)Lq(8)yD$>Uch`bZDIx-_n366A?wQ)x<~pfb%(AGv^BRV9%QHn9!? zGPQ^!r#Oa+?zNUcmO?#)PmZ84627`xK$oUQPn)Ci=p_nqXyA_gKA;;g566)*R*0_CKk zqSbDW3P~$Xj-c{>i&|#hgsLh9H!J|pw;tO5GX43j}C~8 zY4xwx(jeYeK)}K1T<~{FOlp-FU1v9JZdA@1$VXpTS^Y2L zBx}ICmPX^$hy-X|0jvc8CJbjR9EXF4by?^IBuAjR*PC3=$fl8wJH)#Tq?#r)C_5!fWl9CK6zbxLd~4_{5yX_WB+>*ll~ zp{iz=#t@!?>!4`lU+so}XYMX_MUEmzVXK2>R|0L_e+q2({;OZ1_BbsQep5#Eko+$l zEU~I#mxi^GT#k~)(7zNJzyVU4P+jG!h>11k!2%h%mhFH>Kf0mobnw6WN$QS&aVdco z?tRqgGSg^~!Ak@U=h@#y+|n;n3OLNRhZnzrnJ zmGjr$DQpzhMPP9>&X1_-Ibf&^kB$V8{d|zK9cY5nCJLxe^7*4oNA+nh%;W z|7Jvt3#eixn7ZStlVG=7vQ%Ko-btW>2Z(vtVa2QrZw&ZO)$F(KfO0T_3do4oz|IUykZ%xq zv5R`)gPRy#u!-7vDhAO>LekDOtNgC8W@B=1w`pYf?dB43QR6EwKz_lj%pgM{nH zt+%@kLf_n8Lj6qEu%@M3WCh~{^7^WgpWv&MkFlKGXl_5jY0cCO>ZI}^nerej zAYI1lv=KH&L5J(=xoCPc^1-}cbdU1D>Z_)KaREM3R0%sbUoRnKvRNhN@LP04O#NEV zbXEi^2@rRn4J_gTR;7l)@RgOwE*fNU`0Bb%8WrP6lQ{MgNCn@=DgmT`F#BhPocvQN zYIGfFbR8GuGL46aKCb|jOSmkhUZ`vp{$_4;#G`d`o;Qf=tY~|l>YziCv=!JT@Me>7 zF-m(aXnU=D*8-Zd-UtTJ6M4Z17q4}_xd;Bd?MHrbI8>_OmO*DN-gP1HFC!>BoL-cL zhr1y(VB8f*+}vZzXu2N__G2DzO1;S$TIj5m6w|>@-J_)K{ie|rI~d=p<4j!PqjNLbivg3r#$ zhH(Kw4wK#2{7%x{G~T^hoq+Fr)9{wnt9%ARIFsbYjk5dIMZssr5p|U=f>Q(VODj^- z?lol`ZhjSB6|!VfDU`&OSIoVLfA|9<$fIFsG;t=ONi>L{@##UWFsDNWY__Vl$c}Od z0hAO1kKsQTRLB~rq-@;Ns~ZK6ePJHHM`xk0)-Pya#|pD{;z^HArSH9ONyu1R>~(oi z6q-=MMI|3lDIKR7gCL_>W9f|swFB)bBIB%-f4uA0$yhF7=p)l$j}NAq!XnX07krlY z&geWzZJDIyuVA~-PCqs;qq8|7xetS*OaNeUtP|E#Rl@`Dt_Gp&=-7l4WjUo~(F)>vm9#+Kq% zznizmXsjQB69`S2NU1t>Jce4()RCFoAIo^`qc-1Cmw=9F_8Je&7vD`4Z6Qz-G#k3H zRmGdu3@HI!f`vm37t0tMGQIL&mNG;t%O}mA9jgKG(gSc#uf9o6zY-cIN+S)qIDbK{v&j|?&lReVf4%3DjvQH8;iNJwVqNtm=)wZr!j-S;K zlnDhmz~rc*R52mTvlrf!lWUbcK0O7YUHBMpY9#Lp>qRy{gs5-YKfIG=PS0O>5A&+v zlkfoxqlgI^N-gW_nCS`@9<}l2AEGO zM6^oEk&O_vi@-!X8Uf487hct4VpXDgC1p(Ji3mtnsvgVlnHc&(V_#}XGD&0Zea3sp zlfg177{#DCb5*{*Y6i@&-}_Wf3sv${_ZMU&CI^J31qx7sS6)PeMF(n8*4bNE+8H9L zmkEQE0H7uh`&)3%pz(s z2Wkm_zmTBb%o~sqo3&VP*~mMBbt59Gq zSSZ)f%KCrOP~bU&zsssXx@jP-%JjeFo5D1oKiP8ID^ko2(<5!T{;I zbR7^TS|To))YCTAo|A@)CG7II<=D>Xn8@YMr6=k|kP@WSiFnxLvO@02W z&J%e?y4E8YX2?Bqx@I#0C#(w=)nG{Fo|th3h@N>c(WcW3i~|o;`JWBX&}zVKd-^kb z-2lf-YMrm9GdW^;rMaflb1;$lg`Zsq^1i(xeWv;vSX$S}!X#DK(K1vt$X zWnihBCRcRD%kJe-!6D9M_=uN=nw*EX2GOV?0=}JRGqXQc3oXM%@Ad~1=1tWa^mvS; zxZVqW#f~f=lKZ6L-Om^Dl?sJ4_l<9Qi!X3eNC=*+$&k z8`CzriCX$5=EyTjjBn^L&T8tJ0-stig*Q3Mqh=BNpO6w`(rX7avPMFd$Y5gB+QNO; zH8LMrt~iK~9K7Og_f7G_pcr4JDuF*wqnMT@DGFbYm#Exzc;QYUxA+IBzf*8JgWfTY z3CfzFm{V#cskV8iz2`PwrLByrFJ9E@r*^%y4i^{d6iL=IUnVWc4)v>yEsG1bj3e%# zAV7Z-Et_tsE;p+4heXcyvIlsSMS7WGS56lS%E&^Bk7%v4qL(&@(O}k6@^V%O4kk~V@`^xsVRb5mkUx}GOt#oQxIs}({TeCkz9J0mZX4K4(mnc?4{uR~ByT(BV|o)vseykO z*If>*x%^dPm9(fxM#ZHH>k7lBlivljd-;kUms=QD>$x>Ul_*x=QF%PEVi7xwqd?fc zD^z7Dj|wUNc^`7%=SKjY8lQIA^A|*MxsB zN%RE@?h;HI$=HeqL4K|*wh-fl(1k=)_L^FL+(>m0d!`{{f?x( zHr4j;_M9xoS`#kHL@Po(!CM#()|TG=!pWBaph`T>N@Hp}JmHgk&)nBHQ~Wfb_PlW!{+q4je0U8!Iw$H~x-LKsY>o6XhHH-FN9$-p&XJIn z8~H5c$|Ofyb6KwjX%+uW_{DVL9fa9lK@j#RR2;pXMs}kt0Ybhgp!rwk|WWlsIs5INNG(~?@-JFcd^BU)ML-><9x0?0UZ$?i@6 z{HHpV@K0A9 zRLuDtNU;+0vC^Gd`Uc53in`s#Xnsyn&j?f zY+pF~7=>x(;7~@LM^%jboM{d(Iz6U)WR{1MziKzCi>@6>JQ|J?N@t>k_**ksbhj7$`pwrWx@r(WqE5=J2AUd=`-gv7bnVg-$)1#~&m zi&B@o`B)C5$Z7m}a5FP}RStU-Sd#ht3d18x>GNmbv{`fA9($M6mIp6I-KP02%#!T2 zzPh`)bdO*Nthkg0UIPwb*{f>?tQXkaZi~OMY?ie%&>MO-i6ulbW-xI09%K>vx|h~< z7ZJy|Y(D<+B%bMdz=6GGMNt?v#L#g9Nbfhs)5lR!?A%id_^miz{fK6jOQj~8jJ8B# z5xDBOL~!f&NO)GRafXsXH_M3M9g;6xuP`6@z4s<6lf80Ge3$VA3EHyAKrGMVVy_W1$&3a;uG|Ge7B(ef|~5f zd$9@g(&0wf=e~u#1^-$U4a18_&2<0Ivma z+bfXAH1N*ecI%pPr<6BdboIqn8iPlitEJp|2HGR!(^F`J8(LQVB&#)|44_zEx!RF@vZ9S!zE}?3o;rim-AK3#Bhg!YM6Vd*c zTYjdlb9hgg)>I;%!f3GI5?NC5uaM)9%XS+xK|<^RXN_%VtGOB<;k{oDoPDKehwq!1 zMReFn(|JIueC;_`g9qPt+S|+gZ(A8dpnZ8j4fvIgukNF)6Fy_H%k=ySyMe-9z3STC zjw7!yOYcHh`(fCTQZMW5ubxo`#m~W`*<8;K4I2Q)0fTlMkA$%aCoJH%T--0H1LGgRrE?Pr zHZ$3;T?i&4-xsohd$`4@!}qd#gIvOiC!{q{T9^vMM#5(oZ{vlh#&RubX#59RaH}vj zbA>YgnEYZ-g_Wy~QC}wu7$bdYsF)d}xO%tKhdHM+5*0@{^l3#+IYf*s^~ASiVqH7k zy|DdJ%IjnCa1}@5<{NaZWOm6_d_ZfXBGsix#Unij{Nb!*c}sjSa+5`Skg-z3zzq}mlIl) z&R)_EPDSloocGUfCR@)Qj+Mx{9mWSJ>2 zw8l7TczVU_jMCI?VWu$lw>j>UAjQq@XW0v&zYdSEKAGczXs)=yv zyLw=XHFL<{3Wt)Se8JOlW|CxBJ*7vUt`{{Q7mN#sq`@PtxNp4z?%Sl37{lnUN+b=} zAeV;Jb*>|m@f-#kJ3ZP^{>d9?u0#1fx#L??{R%`rcGz(x`@dtC9OnpUF=Uw};{OPw+JjeU3ioPn`>L5AltwTVwW)Ug z)aAZ1yN5OV8WG_Y*U;saIbcoFlwW}xm66)a+IS#o&SOQv44xwBLlAZGMoMK0!(zB~ zO7fLC7&Q?Lhjdpb1oTc7L&Txv)HjFUqtCI(tkPYHE3fV9<00fDZR$Ep*3uQP;se&^ zqQ$M6&9REMvC88yCC1*}mC|esy6S1pIVwTZX4bgmOr&2ZPjKrpJhiez)Krs zTrJ<%>Lpfp;4ZBnlB=(-3&a|k{&Wv4vM_X-sphy=1 z9_Tcf(t+l_#o}wbany|xRrSqKtd})U;|JuFc;C+r7wv~<`B>+J8P`0#=W(BSTuP;% zY9R+Jcyis{!(7F`W7yYqX?vEQc%If}Fl@eBKq?NqYx+~ReRsVQ&5HaJf!X z-+Rm`te+h&n2C};=f|oV|CwZ^i;e97S5Du4R0-ZfIsVe*U~8-sZj`igSU`*uQBQd) z$c`}AH=X4Zh2=~kGq;Hy;gEqPaNK^?{c&9g9(Ft8${3vhmm%#VP{PD$W6r4a?f);-ADS};Gg#EVZp{itF>`eHIz{kZw+39!aK^32}Gz)1W_nK ztTwx&Y5~m4Lr&E>LsgRqxz}c8uRPlJGtQqM-xT+U8ZY@ZNK5y0WgR z*zYeY*f}>8Ky?dSWI?i*Rg}5?Z{mFE!X-C?V$IJ6krGe)f|aSc0~r|v1Y`jK@(}O* z125Co&pz~~2|1GpPd5ZVDRy(T^DyTn^uhfyXUoVHG=@8?N!h@Wy=Y@Sv*Yw`IJD(Z zht1uP*`^KM=V7zr94n4b&5=w{#mkO^ajtiV93LepVyVvU-~!zbEl~Dkjka{5g;ZH9 zj+T^FFK?2-Z+@!Mf8kpowDMMlq@>#Crs&zC^{9x&nMt{vgm`9-Y~SZic~KBbSy$&$ z_oi8I2z*vR(9A2{D{maHq5J$zRZo>{Y!NWz6j`yvy=&=zB^adOZB}?5vHAI1khn`> zwU>iYXT-`TmHz;`rYcR$^H|8FQO?sILc__sXF?4VssG1|avpJEWWTyFbTuzh&7LNS zt$JP7vAgxbp>(ORFeXf`n*)Gg;>!$yW0$PF1f6#SvEDba7a$eQRS)(v@3r(IukLbD zVrrOgBN%meH(g_>e)^OAFI4?S1GT8aPIT7tz!idR^s7G(*ceUx+SK(y z{aIfa74SlS%Zu#P9_5*HUli}foKF}3q~tdU6Z67wX7fSIR9v^}L8M|uV?=QNf!7)O zIQ>V8aV55^l=VuV*xD`aX2w7%;T3lW13;*JdkF#KB6c_2;_9W#I5#<0PRsZH`-t#I z-KX`Uaj)X8>pqG6AEqHKuzsXGT-X6cMeqDZvm4&s_aL4_A^e#nPWFw}b zIv$EJ_f%}M+x9%2gZJ>1YcHR$Fjo-ri7{wVLNw<7$yIiGAdA98)YTe zNo^Jhl{*mK20Hw(;qE)CyGcFTk`znz7hMO%u43wlByK${0}asVs#K*HV1`S@m(+d@z53?u~rKo|6;b!myt)%A@ zgN}+5(e5RE3!n3|N?qU5wA{Vn1iXISYN*jK)qa~Twum#Dsv;A2kfW1q7jhbEV7PGx z%G9ibA(rx7IXU$FfZ@|=dRj63l8V;58}cEWCk0VtBlSDlfpao7PawqP*vY`x9mQfb zm4pfO0t1DHyTeRsEl~1ju7H2)PfTICD|}el*FMS?o{C2dJGGWmDY$IEYY3)%v(2~i zd^K6nhP(DAFtM0TINIh;Y!R%sr#KyBNSPa-4tsVr1!b+NuT)v}KdYnJ2wj-8tFC;E zn=TeDF&Ci z^>MUAdakHVDX-3qc zs2;6BSapqSpcKm!_9mLz)FOBJZH=w$8P8Z{QA&iTn1GCOD+=rH0WOD1CVfW74$B>@tYLAc=fQoPA#3q)`AD3lO=TR^{dISNmQ~Bmp~+% zJc8m-iGFJ=QPMV|g|&FV?1Hym{!h4eMb|-y5kf)i& zQjT5{E=2NttGuDqIry$C=@1%rCeduesJP!u7Bw#-p+NmgigN-nC&5hZYh$G(K&Bp- zsGhxdJDZD;)4eI59jxMGcNag_B4WmnM@;BoV3Nm#GjMD1xTx;N!sPN++2di^mDBJX z44gre>|aXc5zs5nK~85jg`8YF`y&jv3tFJAl=j@r4%Y-t38by%QUdJe9N`y5tz!xA zEl)sqH51QBBRH)zjw!hs$s50tn@(4gSClC|=C@rcaonBGjMJBihz@ti=>xjqV_@x&i9na^4B;9MHCi**LCP*VF1U9Ta<$5((~B+`1O{X@bu z3sWIRX7i^(-&=Hpdmp@$@7GtmIQFS`QH>6VV`Aq{c138y+eX~+J#P^fV=guSG21!Hz9(uT~ znUS?C@P?e+?LhHYmdwO2o3s;E-a2IgZc>ZwqzkmJ;I>)+Pqw|AGb!=Cnc4jZLC}r2 zOdHQ)f~R|8Fj2rln=E$2OjX$IB;G24^I&b0cOOjhiYhTB)v zwv?@`cvHf7GMwUrv7*cp;H@Nff@7X+jlZ$5tBz^j)L>zwOc-o8OR?}mqs#jz$PfCI zYF_bd_@>Ww`NV-jt=#_VTD+|j&7ze;EI+_Csoqk`0rK$S3H2M|dd86`j1snh1T}@l z7!;Hs1PB%9*lph_ty~ujFXu8_g#3aa1iP`Q)R|Z=O%ts{f%_x5ZMgChw%ky3!Z;v# zy3b7IGv7RZyW4a;8EHn87h5*TR*#b>ZRLUD@4~C4coMPaQzCxz)sy@miD}1~^$%*h zfo;04p{UDTE@Z9H=|H=+AMedRsBV9zSQk!b#$mxXqZ69Fxjboj*uJ|yPZsB6TWWtW zX*YpS!f!YJ*O$>hK8SSYQZ$g=x#n7m+wBif)}V}O_7hnucEYTH zHOtH10ROUNs>GaCrBuW{?Zd-Y}X`XVM%HF(Lh z)#QlrS2q@Jx4!b+Euj&^dQQ>;))@L+1I099%sug*LEG1@Ki}6flF9sXhF^0#mOqZ; zG5eJg!ftTCkl6oG4s#OtbrA>mU`!dv8LM`=I(Tx7yb>F|G*){nyLJ4!WrqXX&3AZg z4u!80A5oisd!qas|Kt*69~^@`uCm2e93hQ3_XRftBKE#uBxAN-Hpk7_1GO`&#Evm% zFZ%cqY5Vd=gG4@8%#YP_^YzK`jr%^`eu)@;H@8=A(^)NRp4*w+?$KlWu>hF!rYn@N zYwP471ig=Y1s8!;sl-e8S5<+d(9Wa1l?Cs?@m&&tvYHhsDN*yT$o(7H5$f zMg%#=_d~7>Z@BMh^3!ED zX4*A3!GLt}zOeWBlPV!u#MP{Xy~)BWO^L_%f0W3{@Aa&X!judqS!VNsOMx{FWr1e4~f!e!i${8LB#mDgm zG0wRWQz3jh#C_sJyqZ#v3)O;C6h@vsg+tMTgqN(ADlF$CaZxRt+nLbn!TWYOw&L?Z zy6U{kE7F-ZhdeUhdq&WVgp#hMj^+{BH-%m76d^_5>0MyafB)X%>wN(;FEBp&n^~vx za4si|vA>(XNu8-5BNsmq3kCawlPe%AU998K4d>T)k`eo)QD5hnc>xyyi(hNRG3;O) z8v+M_BOZJD893fPzG){oqEdt-8H@af0*urf&Ba2J2IDtC{3lx9OyljoSp0K{s)}P9 zNk{?b^dLle2#`LdaZF#b5=3idi` z`G+Z7^wMaA!TBm>RFS@Cz7-B~nRZl8n%QU}R&1=?uRMb{MQKSkzv_&tyDI&}*L<=o z4jrcNSzg~`sw1rXQay$XV&?s=I3QQt6O`o_P|y*>+aen4@;qx-pi`{nU#Ge?F6*7Q zLd_^XL9||AW~|XNuF0eNg@Q2>sqQUbSO#=pIrDv>JQgy!RVfFmcZkIpyhV%)%=@<| zgscxRSMQ-*Lqqnau43BJ6M45M7yz4WR;OGUU`8kf<6Ry=%<4y+l4h}w2$a+O zCHy-~5@(*=#UN4nvN1Rq^XC^>ON}L(8_1{uualfRD!yx5@xo=u)? ze4btoJ6%k=p*bz^S`jmh=(R+}AJsR%*FL?Wz-E*rh1}h+7xt~)>rI;q}NiyNh^;qJrgAyroZ|ura!eXzFvSifPvZ z=(1?&gbMOb#&7(w{vd6W6S{5Z`{;!m#g>hg{92bfwsdER&GJNg2+m9io9cfDIJi}c zQKFIgRynE^#V)_Vmb>PxA$$}@>fm*B7OBKs!P022WdCAOG5hul{pODRd(eQLAV*yB)K3}cJGqm( ziQZetl`yvi%9eV`>vS;+?Af?@)-NBh27F(g-%fiV)d!0h5LYIekCX{ToFOC!BAE3^ z2KZGW!-dIEj)UI?QpKQFm8%LmJvC)?iiaXw%R3RoDLG%#M`TA9WKxe>K5QAD9VN+H z87E$Q)K>9BRIBZxu14MQyR8B5%mW@0-bwJ!j;unfxl~#Y3e~A^53tS^cpu2IJBFb^ zqtVJ=wjQ&^b?aIk01HoqctazUj12mtngrM7a=y<5Hb4o{Jy8gItK+bFo8*w(SdU_m zXZxoX{(X5{WKQLfSF;N19tX}KFL^5z$jk>kcc>}reVtC0QxUHI@>)U#Jk$ab6wIir z1ZPAn&JRetR&HL|jDJ)YlRLM$S z1glq(-CMAigh;0@;?5Y2WUbV+D742>wDTgnZo-;3bZ7o)%Jou!=15$C#3}MsWnSZ) z*s&3tK6w)dFc}JbQ0splYro8c-i_il&tSqqw zZP6xvlR0b|ldLBYqi~2tGVMHuCfb)@@sFjZujs)*J@TmSG=zEOx&)7Nb&>fRVNCqX zGI9Trf(F`k48FT}Rb03%6cokln;BT zGFh;#DeU1)yFC3NUKXm(8}MH0Y%?QBB1Q!cHUtGaIPiL#KzE_VW(GU{MyV_--SUMMxV-Bm;?VaRGc4S9mqmCEY7=`6S8s zcbyArW|Z=JsHz8VDIZn#Y1wdAjnhqK2gyKVxmHcT-pl%AYymY>bh6~&fPLDjvm{HD z=Pau7FH9NAH5aL^h?^m{)4wF{Pfx;1a5}c+Z1BzFrfVFhUz)-$TF|7?RUOl z0rTwvX({AI23FMB6^rFdnK;r}+!N685uY#9Gct4%1uB6|Yt62KXAGAyiby$4z=D~y zqsu!!A~H+0yd`zEizWTNd;WM*6=vMIANK{n+F*Wopr_{@m>|g5so5aWIrcs@X$Kg} z<3s$R!BNcjlIKD>9bN^<2yx)@u~|!tN@A)Yz(l!DM)IRXJ4mg=zJ#XMF3s@Mw5`Bi z-u`+#1`CWN^Td|AlN_HfZ_voouYQJHs=M)ON+2jMRwLQ5)nA*|o6gm!-b=SN6)r%p zjPUtrj2E-VPl0QL5t~~PbQHkUa(g@ zK#^w{1mr78OR*yHq9jbkgvJ3BNa`&4y5WY3I95=OeBItm@v>XNgv0 zieGqUD2xK%jSfe_ed1REvx%i@ku_rnD*TX)4{2}`JDsJo$#BF_N!huWuHFoG(fQSU z;d+BO%p_;-kCXVXIG=yOn4?tQQBVM+Bks<#isc2E^Sq|DK4URltZ;M~=gW?1oWQ#_ zU#4^Vi81UGqbv+Eh)n}xnr-3mAkTwV992={w@V^Fm06R6-pU)MN0w{{esq_m^z+D+}HgFpoaRZF84Z z8{x0|=%DrPa7Fw{J683IKm<%uBKZ#~piYGbdaVzMh)L+VI8l@^alIsUP81i*gU(<_bl?i7`zSPHl~0 zAtOFA{idj6=ilnY0%U1T6m>Rq}g8Q2yHK=B74h@-T zbDN-k+r* zFCeYNQc}v&AqWVf@4Y_H^ZQ=c``_7_Gv~~l&zv(eXYRdk8Ig*g-9AJ|N{z}W`vg4A zx_W`%Ed8XvQlkb#?Y~&M1ph&u(UQ~7Fx@P?r@Z2gra79>Zj6}EJZ+%Smav%D+z@kK zO_V!XbH~Lr^=s3NUH2R+ONfy7uzFp=b(QpMwzv3FAXItd#D2Q4=n>XbWGCPY0o)HiD0(-OMRjCPTE z-O9rci+yj>Fh9DVrQyhUEF603WaLuLrW&`NMj#M{Wcg;DRKFOQ_HE_HG}oB8?5qtw z$(hL79^8{Tjpa~c$_;J)W4*{jP0YF;TRN=6FaZ(C`SP-Dyh;+!<_X60v%_u(*0hat zPI<4J;nQ?Q`M{*j<J_AV3 zH@G(KpW6lowt1)S)p>A=t!<=UYMa!O^G& zk4vh_&B-?2kaGh=Q`hc%L-s{n%IdIFVH-K|EUn5o?4a@mj4j3UG2WNpwLwx!FRA;? zYXvvrO4mKxZ=_qUxao{(#>+@M-@JJ~`g!eyUgNWA_)E<05sHpa$_Q-;X%$8Pik90? zLiRAF^29w5D|;rFX8;*uf$XtRCEmIaHpPllYLB+tVt&$!TYU4b#AY1K{}PE&ZC-j7 zcTd;!VPP4(Pnq*a%9QZcmGVA!~AdJd@3wx`Xeb z*STE`EM#V#^^aqQp3&EFmpzCL<-~UgWO^y`&E-Lt+J|@QJ;-n^&~19~JM_w^oY>d-Ck`lJfai=4f$zSkH#qT7=oMY>k#f^UEohwtHy!94} ztX6*jGr3m`kJ22T|H6Dp`K#{hmTMWSFE?jia4>N_-wX;tE8tnY@c{|4gJvF4XJ6et zskU-Tx(@mL{YUCgL(lDL`(P{f2(L8#Td!zr-q9?fD)EG`)yU2VdfD~1N6c4+kKHe0 zYZyyTYUj*PGCvzZV4n)IS{WMfndr6!Y>{^EJ6wGnQA ze+}Cx?*06MIjt7@f*{f)LZMAvw|Ya-;I@kK3)HW)2({RgS1h>KC^rRmdC5HAJ=UPZ zkE~w#^ZcpS&c6C?Mx*XHspCg|lQ)yGyh{(=|A<|t9z6AG=kHvh{`{nK=FMQjpmD{o zF~8=fdTt2gr@aw{{rOh+6S{#M`?`P!F`s26W_<2a-RO1{ zj*1yy+ep5%W>G$Qg2Y`~I0@dmyi?u_k~%s-xJ|R#^GYZUQ#;ge%(fu?Jvh&aCQ`8c6VgmwxCK&sXKdhXgt$3G2Ae>D#{%RAi?kRtQ|5R_d!Xk8^`Tdf0 z=I{oq4V)v{6Q5+^_?^-r!Kf$795dzmOK$2iW=j`!=U8 zyYpcrw%tZoLLNzCHD_&m9Vpp-J0YrHm+BGU36HXjDS{h$&$UpoA4}_#9-8O(wpj&4 z7BkXJ9M+@|PM9L*Hnt+rL_L-~ zXTI}II}(cFanR8>23cdcSOZ^aviwVT*@DNVwz7Ciosy+0K$P^ zrQT8dLHv8vr&mD(dw=NbR-*nkl)Qaj`1sGc{`y@hKHbUmqeixbCsJ4tBH8e|Y?@sE zIU`dBwjAYCxz68iz+O(Jlif<_Pnn{tBxIW{Uay-Wt4ndOSt3oBvFsp=-@;j;oqVR_ zfdKuffD3WQ($x#QF%vT0059+Lmgqdbx4y~vWI~)pPfIxq-+CxG(VPYEx1 z^0W^1?r}t!e_i}nPb={OLgI!~Bn^Y2#Rt8oGm=k2Rb?)uxeXrQuAwp&a?jZ&=Y}M| z+(=)ywLZ@=6;J!Ts<#uHlJYH7+E7x!f3ryc#%!DZspJm~5K;WZpUU2X!JiDOV@m~P z=VQZxdq_KT>>V-@XX&x))6dB)ASJceh7_`fmpD)S_70mxiUPj5G1tvXRk)wlMcykj zy>DhUXWo9-v5SXy8t#dp9Mn!$l1q$dxr5{? zcupq`Q#5%R7#xNanmkm=?gc6wk^!9+a9&rMw)VBm$dWDQjQ6IZ|;A zFV<9Pl}@Y{VC^h9QIYF6W22;@psuape$Tq~{2?EQR%6StGvyw-DL@`RrDRYlMVF=_ zK1C+_U-9+hJ+N@W254N_iK8gKei=qSS&pgfEPHICASuQbDsn?8h{xh& z+&-bCiA79;w_lB#zdeTehJ*mO z45O@)V1s7bn?7PX(UMF;7h6QD>2ahXNN0e0V)|~) zp;;s*WBzM&+fbU{q65L0L!4|2d00tl?31b%&&KsPicY~}6uVn$q1yNc| z4!FQfGc>nScYHRx2z)=BLx@b`%xqJ9^f=)`)veyEtHQ#>t0a7K!^6s3Js)Jo8W~F4 zk^(GGIE9yW1kk1cy*VlDo_+`Xs7`=)o}At+Gdkf9y_$>Q1_by>zzdiq?2MqMSA`qT zSs+Q3$FiDh!Ntv(R6yTO?gy@KuH|x503%t~-2XTzE4rAjTa zkyz*s0YeE{I=}z_XwEH7oRulw{_5K_cMfKKj{k~L7pIs)5Arbfg83sy;*5?Oc?9LU zp9{Jha@{qK;%rN1v>G;~pgh4$0GW%qqjbd5$36!;t-Rxv<8W*j`fccK5%bo8g zdjSNtL7|PQAS={5kHtwmQD|c~jVccAL9X^_?6bhKaVDaLu6K{`@q24EV&WdG82~b3 zDIrSzVUi}JvESi1Oj!VifMQauMldk7E&l(7hW{=67hgJt6T~c>BVMyV`lz@a;XJck z`GU!?eb^GjQ`b5FET`?)3srJPc=Ntd_PeJuRJ2dslsNdg%RC9EK0m+NPsRhL5A6F~ zI$p3S8|cmaGycKRm#C2yx5N&7aqB{>uYRvb2A^+V+&hEuulK#2M(NIBxRuok^h>ok zQ2Jh8_X$??b0rhx{xH~%pM1EjteQI2rlMWJsEXy|$@_uWR?@g&zOX*qdQclcwOU>+8eP2@a;~idS;(GuC55!LEPCK<-&bSTxb& z+o|5Q1laF|E=K|%%7(TO>=jvOWe94?OmW2o=nJF@mx1*}9zCAoR{pJ2a|0fmb=Uaq zM58i_TlXQ;FeUwZEbh<}H+Ouh>;c{izS$a`ANb&8)taL;+Rs!E%D=nxH{ilDtn$^)Tas`J4;NmTDVAdR?lfa9mf% zs7jRYjgE2G98njViSeo?} zFCVKSI3ZRf%@QHCZU4sbh!o$QnY}H`)JfQJXnwEJwxqDb6mj689Hca2=#+a|GXW~u zv0-AH&!P#sAAFJ6{X|D?*!ivQQN+nDoeAW#ujNUEJ~#VbmMM-n*+n8Vi66CQMVpX? zy4&3_Gn*fEZbh4gY!k;#inQxDg1w5`4Pnc*Z!BVd)O!_eITD@n)DP5~zYo=GCh~r8 zm@stLPJ-NSTY5Y@Z_LUEq%MA`dE5{_U$&(EY7lf7J-* z1;&@}w)(O-zZHK{BNO|;rC#NMrL{}38Pf8rqGVcx>9r71uyaXP0ZSR}Qe|7}Gab1G zQ|5Hz7g;8T-ycZD)KTN#Fso|0pKy<-COA(R?(=@BDHGX#$KapE_{vx{?uPhrAJN+y zLr3L^@}_q$vY5-_NlXb3Wy&rse6kono2asX(lStCj-Ykp-JO4d$swNRSAnW*9kuAG z!rYZ}e8QY#^`gbpP#Q)_O`%@H?rDm(A#UScR!bP?Ie({ zD;CkjjW>P01>Y;4aQkk>;K*=PlBt!<(ey#K=@5})n8a*t^WwtHFnYKck`Y^zlD@<% z_PqL`^exGcCvmV>vu2ZEr0S^OYb3Q}yCusD8)~=uy`j%Jugz3l-j3;gKQ{;iF+U_- z5P1Blrt-q3pW5EHF^Hl(wG4JdQkG1*Awaqp3n39MHJt^osa9h0@m5%z9vd;w*}PzE z9B@{5Hw}OXQ-}n`#ph@7%3OC5%-gFzkkK~s3%Uq}D}2GGc)g6d`GALzFDZxpXh=q* z8z=DTgNNBX@3gZz6u5IKbaFpg9tm@g`oLU2tyxNQ zRmCK>0ju8q7Lhs1bF6oG5K^`)P{$Kv5SwMUNvlrB=>DAH)_oL^O% zO_joTP6lrE<(+l1sCpZFg9aw%a!R041L2S4-A~NDf{9Y@ECzL!Ar|3H_a4l6j;@E< z){f+i4yOK=IkGK)_`K>ECJ#NN3hvDW=@~ehCI3iDzPXsviw$)wGg_y5DaOrI;N&F? zuVq01%ux5{;=Vmxs{Ub3oQg!5$&WcA9(oc|V6O~(7GTo6?xUZ6eA>lGYyH`mS#$RtkdKC#78?3Ch9P9pKKG|2mZ!f9A755vvi#1idA zt6L)jy1_MTeK?iil!ZbrIN3xJ4uv(})~^UcLpq2Lu2<7E(~1fdRNwa~h`Z`oK$S|x zr!LW@b#23ptsl>PgZ8#mR)qfQAH(IIU-4d1bKg0bPvO0VM0SUzVPpT#4O&)9{-5GOOU~vty&DV4THFv)Xaww@o}Q?k4O{0QOeUAp|vpK~3S?+V~4kxjGKF*b*K`Cpo#T{VZl*=VDxiFQ#H ztc8ivSzWU-+KMt~eHjh`$HYG5bK7sX?l`;JEz^#7B)~+AF@5DUs#0x)M(xmzI$4m> zdOAI*AAd2{=6UIRNcFI!1kOWi{p2&w*AcyO3IGkhktpcLx&Ev8=b=(WW6DdDH}MHf zIZBsuq8T2IsncXS(TqHZO((N$cVuFpXk*F+4lDjJyT&GwRy+8rwk8~jHOH2~G}Yr{ zO~phJf@e!{l@!7&3kZ&}W==f{syZYu^}+S?ZGZeeCgp*_~r%^pm4hmQJgEQ7z^g9%xClpEa0w%Sc~Tif7UdfCD_{^U^PWN2+h!c zmH@ZsJ54FErxnraSHr0Vt4R|5YWD*=M-9OhUfU2+y_&#njj>~%ig32alEJyPk{r0v zi>Xz*kJKjJ@HOS-EB>}Y_jgGrd`luF-ahU?Av68`x;HJTpU8ZJUVZ7<(oyd|!DiU! z6i=ffLcYTUfF)F`A zvIaGU@QLga*j}sznpt><$&w~RX}q{ct}})|u0Li4Xt))#o~En;OcsZIwO+KUzQt?0 zt`R6-%za@UK)ePvY#abdA!e=h=6qV|UigR(o!?Ftvs-N9O=Xqztn)JhtoHiS1^!gb z)K}LPea-AeOGUBc4!C@)(bmi`&+&GCGe80W!>Yf!KQP}RF1~?|rlgq3SaSeQqYe)% zeeI!SUP=O_E_&k6IkBd>8-UJz_B3J6fyb_B^0(?e@cC1OQwcz^_CVPSk5b16yJu^c z|C>!Jp-g*B?DzA^0e%F|)p9g|Rg_1-RRM01_DuG+bN43)TGDVi`a9#YLHr(ILam=r>Ao(; z?vX0&`Q9x6QGp%c?!RU002}H5>N74jT96v#DTUa;&;Z414rNJiQoS5m30Cn#L%GvDpV(k?YaQp z!YZVz`#Sh(5@^_}gaZDg{~K6{VjK&mssi#nR5loPhc)qc;5>lXtzk`33Vc<-dC3L! zg4qyaB%xq}wz!bUhVwiCDkTBEk`)nBRUk46=(!+dW@&wi9=Ee}ZvlaI8l=-t)CQ7i zh;W>ud+vkn=i4c&=-j_3kh9SW4D91YFp*5V=UiwHYP}pD%S4{?C3I4+mgOw~4g5(# zTwHew5Zuse_c>4wIzA07iNkv&J}$XPf)Rhk#9>q*bbALCp=qC!6%}m#7=A*lV(j4~*Pwo2^?<+mxID*qfL0|CRuB~!a zZhEm@b{f8s!WCbQaViU*XWv!1S zb+{o#OBV|T2luQ60=D1t5U9uhrW-6b6MzBKE5Z!5dklLd0SRQqXBnS9Hhj&8G9D|5 z>AB3v&B8+gKz}a;Jy*n2jgEQJ2YIC^&0yO z^b{ix5Z~CPHc1b+0{%=;-Q`xmW5ZSDdK=brp;X6k`+}^`zQSAt$p6&)x35ryC?ElV zv{RRqdHGzCUkwgbe-x*GBD&X#JtkGCd(M0u39LSYQkJk}{b#sjE1RP|W8{0rmi>c4 zYz!66ZbgIQ9QJEI^}rB#9q?;o(|xgWZ-0Gq7j+r88)~&yoHUfI%lAFc+lUXBZBExR zWvx^!gfboX0p?+mZ)yxAvTDDoyey70jtt<~CG9-R82>0qQvhW5flmB50KM1h^p$DeNpemB7+7);njj7U89?xW zl$&m1Y%yD+tOk9>xm(fh7dc$X)fk6@x#-n8WuOmzqI!6$y`+TDcI;`XLj7}v;5 z6qJrs742+3aT9DsYSHHlW6f7QM}sP=hx#HnTMYkD@eU!ENnz zif}(k@HhJZ3engk-5(x{$Xny2gyRuzcW-{_#sRIw*ji6jgmM&R+uPr!x;F%IX4^nh zkErHt2PJ9zmmVY{N%^<^f+mTN^0Jp7;!tcCAJ0l9@P7y=Ot^crzr6ppqfeqSb$m%& zu*Jic7u$xwqxuqRZiW-F3?6Gwj6MA@__3BC6W33$Q>Zhzfl;P8{nNcx;=d2nC7%YY z$U`71rvrEP3{k}|WLP_93FF&YpKo(!mmx}z5i0GN>+JqNu=>aYP7Hs`#@K~hB{aUtd5Y6PnNowk!twdZvDE1ZZat1((55bs4OBk4UB=ifh22?D| zkg1aH`^M~~Fjh+O5PAKV;c?f_1B*>xztliO%a2Ss@c0CPMS9AAN>PB^&K* zJ@p~tUrbDFiGB9_ zxQJEu+m1v(`Ae(;L960jG)cC>lQY_WYJekDWpImC8%sT=44 z<5uoMMaK#S9Ix2dP!C@mLtAJFI}!0+E}t$;LJ&;)5^q3ozv;vo0QzP8K*JSDKjrEv z0I2lzPF&HXcH=A+s=@O-uJqSgD0;nT<6ang95C#CV|9Kt|likxr z1>El+m6HR|O2zpK|20#LBlsV~W3Ny`qM!g3@%e}j9WyozF&Ocv^#?va3BnP#tj>-> zY6Xsd(92ji;QVsY{RAg^BOYG}Hr}Dx@ZC!t#z)Y5{j@$ZU_UALH02G?&1{k@cBikj z_FmkZ&)PnN*f|JQmU>{dBG|%AMyP^nfb|F<-y^?Gkl1Y5#}*Q#V9zW)hz(%U<6h)J}HT(z6A4Rk%G zJ3{s98XffHlqTB?T+Ww|gK&y69KJb!1Bl8Dm(rq1FBVFiGo_;0Pzk{c$X7skg{8Y^ zEeZ13^C#p(j_*znD1P)~c43bxnW8^Cg@k%sRkER+^RzfAb(K@18@Vl2o)4@{ueQeg!s`Dp#j(c9`k2e>A!^We^O+uCJAg|9Wv3csta#D!5 zCT|w9Zf4JN^67|rzn(~Y_mk<{Gqp8meRX}<0}NA8MS>=BbCv6QlQ0=EIgx+&k9?0f z>MoTG)AR;|riowJb*Gc9=WHaP#w2L>Ur>I{QIbHGd!Zim-1*bO6%rA^Ve(JDFL7i@ z5lT3+^!;4>5(qf5A`wTa`I3y1fDCG6b6EE4#e|n~#2C zF!%)sa&nVvX&B-&sjCl{fF+5wNJ-SoWwpEMw*#?z2rV$7xd~c<9#lC)5M+S?SND}b zV%tH8NhVl++r|qQ%uTw&@zp*YVL4)gUSv=nYYtmHw?XO(gQRzqEY>vc+3$~uF2oLK zrT*K_I3Mhvas%ivNS^F4{@X5a2ZuSD2N4SdnUP2K>y5e?v$A&7_t_KQ3&I*}FN`|( z`nPA8Zm%kA$ydf9ligHNi=?diN5(jNQn%2d=R=7oCLGwb0>Y!%YV_S=0m?h8y0XDw8s#J2&APAkNC ztxj2ll{8(@uAbtQXB_-o{RTM168VzJb=)jK5q2Vun9C$(w7mO4(?DdAS?ol6%#zZz zs^qU#CMujWob$Q=;{g2j+j~g`M!+d#33_=nckzMf7O)+3IT_v#95!OyR$DF+U_oP6RlNF{}sd^C0zgaej~ab3MQ7)0+Fij>5>T-LkSoU1qD zUDSaw#@iD^LyFuH&L(Q#;5`4Cg@A%i6#waSqtw^tPi}$O_LkZ4Ab@HJozRd%93AFM zE=zj%6X}zSczLa-3Ip3zLU+XEQU2LeV#Xk>p7z3sbG6%@NAyT(=xI%z?8WmHV3Q|G4N3cWYwx(b-mScvNpx5=m+bBnlWm=+MuftN}_z-CLe$dCyOc7;mYzZj9YoG9> z0jq^S5Le88^!F)PQNk5|Ax`Y%@h{i@&H|yu2zK#Y5y%E8MN~8N-fF+QK4Ze%H=ew{ ztMRu70=vt7h7REl56$QsCq|%p@!iXH0)w|Y+gA-!F(8P_Mrg_D!6Vhv*;g1p)ixJb zq@#d%TEzY3UvnlPU8Eq5=&Z!8VanNvUyK`QXv-W?*JhqOz%nR_IuHJ<&dEG|fF)=A z*O|@{I%`vrch=P_Da<9&zkmx@`@3`U=cSrd(IU+YJ5Rhf(%;^*9{IX900EZGBaKGG%qRC&yQkEISkPlL5QN!EyTmHIF^Ca+OL;lSsoe zAT38Aqx_w2&1^7K(_m;EA9ol>ZV#-d4s*qM?-|K5;@NX+7kfzcBI-rHOIF0 zw{t5{!J+A5)LEFDn%CukCfk6V506FCkG<44I@#;1E{SWbO|4cjS8K)klE2GKoj)?#cWB77#8~3Ou7>=9K~)ttUUK(-yOR9XL&+)qQow}UfzNu`wr@q#YD%O2O_ktb`iJO*eW>PvGS_wTdRyL2) z$66&WKRogyZ!_i(L4F`#H2L%Wz2!V*biQFFd%h{QYz~9%$(pC;g>n$U5`Hg z{E1y5_5qSW{inh%LR~_;muam}s^uzF$FZlqd=`{i5{8X(b^Z2x?QabQnEZqo^Dc5_ z6i2&TUw1n=>ZFm!bt?k1c6R93Enb?M6#ObK+PRCYojezwB-sc<=oG9yc7k$!?UP{4 zlZv{E663(|g#X>buVtsj)$P_dbmaTps6GU&UI24E*AzAj7Z6b}JS+cp3WpOQ{FwH8 zy(aEK)z*~6{@4B#l8CzcAjc&!(2804^qvuz%P#?RzvNxY`4xy6Mxexce>?Lr=wZ-= zv#!DWE;vRiWcnJifWy)i;~^&Ie{Zxn>bLPmCq{i$TT8wQP)t0rF!velJ!xxw;*5FH z|2pYoC6ql`jUw4_#_0^sc`f*NF3Pa$A>AJ+`&ZlxySc#ixL*52$y1n_jhTrs zA8_oe2mdv#63;}#bl$GE{U$atU@HcD&vm{`jmDU>pWJ(c2^MDCHKsc=)Wy-L{7XD{ z6-U3Dudl0fmEcN6$6%95(WVY^`C;A0b*Z2#Z4`qXYdr z3fF%C{bu>k1`&tQNi zn5CW25Yw*Ofq?jaJq6}&rdw?aqsMg&p~+hl$AfdkAv?hq$fP7Nn<{Xqc_Tg;U(T4- zh0Y1e_LW5m#NSLL0v_>#GkCU_R&K}A>Kq;$V&RQ-esacr*d?pgH+rA)y(M_mi;c;% zUB$_sgQFcOkFi+$>We-HG<^-**~9%{pNrzZ49rgUq#TtaTYQ|U zNzQB&T{=Bp7*LCy*{H8-J#TPB@=GmTT_82j26V7lOUyIx)H=s0{du zlEkuK?(D!%Tk@gs7_8Js%ILdI>>gnhuww^US01PlQ*ri_oMgJxUdVAM3W3Q^ zKtw`_;u~wTnL(yRHMza3ioYemPQyTqQQR-6qZXcUg=b*=k`8!@W&g0(H~O~m#8x14 z8>)_r1Z6Hgg=VZV(bzkAbCte_!q!H`yt3n>-=6b86W5rYPW})pP)@dY1VX|#9CzP~ z^kD(4kWL7uQStjCO6>7d`37Q?do{+O$s6ypDdIWUML5!X;s*;V)P@bCq&qd-Lu_>? z@Et~~7aa{GDSo9p+LPZ^Sf0p2C+c^m+H!Y28%9mb-2BTl#A>$ydGy(1RY@5}&vPt9 z6MXsty_*r6+6iQDtim8ExAMJM=_ZNM6{S#-0FFHpfK&Z-rZx*Z7Xc_i6xE-|e`@moZJMyA z`^0G(ul`UF8pKvN%}@qgJI7TA+QQxW{{=r_Tjvj!>!ph(d^*q_?Z#hgyDX>-C<6?E z3oGcoRRm5Y0&EzL#nfb~dKA+&K;)VFB^Bxu>0{d`Ml0rbZ&yYf$40sViq*)JiNC&) zYmSLYFKY5b7$I4>gUjy-=0u(Rv4d5rc5)QCJs?BmXfZ3zTU2H==O0T`$O^L2YX>qI z2EqvB7U6%Z(`{2sT76`KY-xVlIV~<#ypJ<(I>*XuGRclJnE>95DJwW5PU|dqgd*1) zo~jwJ*0r%&V;-nNhGI@yRBJ0$cd=j|BsYG1_t%IV!`Jbhx^=saW!&f&xj^IIhmuly zq|*m*M%JoB;BY!Hwi${!Z;>~iG-FxjV;f@oXt$R)H~}&C0=Ox22ST}NvR44daNY=rCKup8lKY6yKswt;U~BcXTV}L34hVvQIirpDxrf77{491Jw=WM0LOdWyom@0cq2{z*?Xiw4uUU()QrP@?hRxfT4b^Bf_iDA$nb$)8F0qa%jU$vdYd zTRWAOORa#hXb~)?V6=orinVAl)X1?Xg#9g34vfOqzN7Q9LXZj_w)ClE`&EJ7Tjl!#;z%#FT$gC z43wCnu>sd?yf}I+*DJVH1*Mmm5jDGs@%FN3p2ItI0P27GwGEy@fLsqhcK4>E0WO^f z-Y3BwnH}RL-Vh7yS4}dKsIZP(ibgzD$7d~Z`O4KBPmkoveP_N@t}+|pl6feJn4xy8 zXD2&|h!#jt`%Iq%o7Ny~9;LJv?5|A)S+nf2GW&Pw<{BAz0f;if7dTddZ;Kv;g1T1aq;7??G9n%w>HUS?2yo)-PMcoKL}N2=DQ({3R}W>BU}Nkko&+174&wQ#ee^wo^8oFo9RmfXKA>kW z2?^&`KRm#I zT9y}23@w4irl1D7(UkeLHYF4*0i8<#ZXS4nn+F3vaf8(P4k_~h-+CyO#Eh`n@z&wJ z3=Hu^2EJflAmL8C5N-2M$H#gitOcv|h)MDmAb`xdc;GOpgxJrumlq` zm9f2o&Z@{!)n34cC5H!h&W8VtZBf`8pc=KuYw`5J@G_7)iX5=(h+@7^l zj-5fkIY^X^k?;HFKU}co9n0eU1ug*tv$@w!S$78Mq~eG}u$|Dx?h&SdU_Y}vxtgs3 zEXZt9Bbr^m2IS0sDlIL-yw&>2Rxp<68ny>x{wsZ~qd3za=!x!-=$i0As2zZc72=ak z<{IDF%k5){)WmvR0X|AD3DLnH&`??xcD7bf_tlA(AjpXT%2hUt@= z018w9P11HkgJ?+ZP0`2~puNF~{=ngNQlveUzBTTB15;)KTReydu`gEud`Hr}pO9&k zp6|*h=+Qr=pC3yDd4xD|d%ButpL*9#Yg|kZzR{09gho}h8xEc^hs3nzum6Y0e+EEZ z`{;%E>xhmwIIWxM2(2UQHD-?gI1@Lj)0z`&feCPw_kusgv0ouN2Uh-S5dInF9GV-M zcgyeWn5HfUQs%ATOI0$7$89;lV6cmuWc>G%cRrgo4g~q6+UYy}84pfg+f|wH%!+7w z^LtmN1#`usus>jUzYAbrA%Jwo#QEoMB#g)PmFE(W>YNVZs=(%@wHJ>VH+{|YP$nyP z2!&2`{d>X7L?HY2+XwS@Zoi<6Pe$7u49X^UvTI43MmxoKEWms+A9=4gp^%bh-};p` z(h+}faYhl%;k!|=jncS9$1{=cCgA9aWrYCVWWXmQB?$)6Inh^%OA9FL0*YM`2FMfiSvXOTJY;F(;@!ZL$*9o4!~dJ z;PJ%dut{m{wk<}(?XZ{|zI%VlmOii+gng~NDMqDr-BR}BIXU|8dMv(iu1@oOM|EN{(N`O%f(Ei16-q{AjHr6gN?yr2vMeWE{%i&>~~ z<*k)IA=_=HJ5))OO+=X^!hXSr>cHffPqO3d1LBiuB2qPc(rO%$v*m~J7n{-;m<(e zMzz}qGrmdsd?$WqQrBPr*CZ8|FX@;{Xxkp0)imqq3gmn!TQNG21;PHxCp%o%i+<@T z(>j;&`!$5Ttp!j-t39X6v-i;WM}5K)E}N^XD|93f27-gD>IN=XBo@Ay5w^Ty0s&(y z#z5epBXkEd1Tz{(vWRKgHSn&s~ZSb`jdL z3u{M-8nQfyw``jnL5l^69fZ|_R&RIJxOX?P0C1*0g9_2$jH}pxx#KHX0Sv1&h0IB4 ze*2I7f-EQtU;=Jrn7OMz?s^e?6b6%JXF`5spl&@cxBwA|s!2;N$(Ag%?eV99C)B)^ z3}*(v%e`x|ZTZgwaifTx-}y{8l9K%-*>NEso1WeWni(MwJ+FXAr0xl@J%dbjcDxJp zr<(Aw1om#gsDXNZN5{-syLe`K$n+aFD&K`kP+dN{mw5AZRII@DURZm95kl?glN>d! zFo1M>&b&c8H|Bokv)?%k-a|cX3B^Vmfndt}{Z}|9 zmT*(7Vj$n3SP5GF#b#2##Kqo;$D7b4ulz}Lsb~G{K#jX{F05aT6<3;8PXb(KHX|v4 z8d#l)>ma24fVG5dCvNsg!tTP_MpNOQ%xg6CBRkePOr1iKfV}&_x6*}QXwaoP%D;54 zl{*^z)k=(LDmt#Jn;D!XU$PNOqnY+_l2ssmX)}{HUmVWBujf#G2oxS-F*cc?zjE){3 zMs$U5LFH@l$$qWfZ7{8PC1RD~Gvq1?OR8X+lFJ9VT&zs4W#*JCogm%r#SgRzSPT)F zI8{t_&VzI?SguEpQzN^G<-lwU#wV_sZ+_|nw_m#zUHcK?jUd#; z%Isbnac6xIbi|=0{VPnzC+O!lj`5F=?~SN&HGtf_3;VsjkAqYae>R$n?DjhV&!r%@z-bPSV<1BnW}(bA%e$bf zwFh=A@O&9EI!PJKikRJU)jX*+BCaOrVUujldYW0UjZfmwQcgubg& zZf3WFX537Rn9ReegSM_K0gWM|SLz4+Z_hZXt`$ILihdt0Ml{e!lsW}F_p(ezb^+r$ z%_vNps8fTs)xbj!t$*(nWkib;uy%>w9=Tqw;65?u=E!qj6Sl>DW)40_R(-D+|AhmP z*T}*pZ}sMN0c2-b(YgikqoZI8>MR@iX>SN!ol@R01)&Kx-O~T@xZhLcI7VEs^K^yz zWq^otOw$Rc>IX2>);jKj0&b6>^)-FULGB8NP+-o`sJtv>a@rK7EU>}-?iei5%i;<2 z^kCnu>Lx?ZAd*B`8Q>Y!2)n5vGw)xTk4^nu|01y7jHNEJ%OGHZ#)u+b^X*O5FolNZ zzQO^q;+$2)O^x11O%xsv*73LdPh8}xrc-bVEx^B!g=^lynTht42mP7~#)?`R?XyX6 z*`zVBV%q8kPQFFI!v|T3CeC~$ZoY-&R=%#6z#bLE0oV4Gu>?RFeIcT)0s4RWy(o>K z4aO7!QS)nV-QYNghbdTD9i-nU7$bV&6ztW@vKHC3!Lbr|`9_Na;dfU3d zT)|N(o1)RjtIQE{_bKPbadnSoUwdWpT2QxXg8%LR$JASZMcI7+!{kzel!CN0BHigC zt)xgOAtfa&OYV}wqDY6RbO=aFiULbZED90=g0SS$($a{}JInKZ{_pR)ye`I_Gc#w- zeP-^Nb3W(X?5l@LB=wV*cKab_;N@Eq?$1_7Pw(JP4*N)WB%d|44XvNOKAQCno|4G& z)Wcmjj71|c;%pr_JE7E$IC%d?133Qy=dRu~+`33$hB=CZ4Bl*?V(1=G=MCE%b|dFx z=N`C|Hx@)?dD-mDKUY(IsGEACXZ}(Y84K-YHTrsb26sgr>2Ow*D2#9GU^wQVAj z9#ZwL4Hw&MUuk*0hZPQ-zdQv^2{VVMp2$*$i zACF1Z|3B3vUI45y)Y#vhW*f~4Pl(`O7wsXr`#*yFN4_LEO0a$70+l!bS*fQOke95&>hG(NqFLYT-oL(PN2>MUV1+{hDBJr9wD5}wq zt=EuL1+$uCc_GEc@ohSZ`2izzuR=U^m!h^I`)WBSF4k9(HJ4nT7D}_CuKb5O+Jd;& zOWe|t^B)v1jJQMpr?i|n9h@tSZD1dfx3*0iG~m%LIvanx;QC?5RJ*k*IyHQ^sx=`D z&Ni@(u>E;z#QB5)aWXT0jrz(*viW13qg9(o*0eAg+Jw%N^Mr&d>*`MGh90#(MsTLn z#6pkc8`RpG1PI+|Z_%tADGhBS$o(4#$K})1KRkkx;0bgPxxr`w!379^Ip~3A|BM~Q z4zsO&SRzvvk)Ibe_1U`hh;=~SsEhizXZ}wp+37h=2aVj23eAlNcr%YpLmvVh=@`9; zTfO7~6aufec|nB_k`pC5z^6b|XhI_edH_m{btmq>?%7~eh?5eUzK;OvfW~w*tB2H4 zM&hx!S+m=40)Q=>igMPHI`cIoAjg`L3HIMd3qV`!QWGgpB+h7``6(&%XvL<4_>wza zv?1M1+wvb}h?xqK)&O^$>TG9)PX-|~=b#wA>LpLS5Dw{5um0@8Q$72^MIFF3!AgU@ zYn)&jg_9x1{R>(Grua65QV*wJOM9eXh$>f7;J?ORqm-o0EKymnB zx2r(q))3LOKBrpIkOwX}pa|D$BaRORp#G-$fth%HCpBtBXLbu~W^3 z%gi7RqS3s^h1zUrmux)T5rB*Lm4;Ul7r0lcsoMS0oG|W*vi$n|I|mv_MljJz5*WPf zEmP4`Z($qpC7W#K>q)UA*Jur;xpDwTm8|Nx|ER>0hQ_!Wz&4qmN@;Y^fDgbJIBO~o z#~>*U8i+gP*FHZ2HvF#z?^k%blx!XGtHLsOn<&J6C#wc$=#13QTP`EAB5xF-OQ*#XBv z7o5x#SNPWx2%UM0%Oh)G17RQX>t6!&(Hu-WjOBiOO8WWfJAK+tb~dwOsk3aCLnTrH z?B&Saj`H;`zFkm^q{v405_d|fLG?Z>N}am_$o!^35rVmICj>b#&q_vns^0O^yj zae)!`5&3J|_c?p0cKb^#>f=fh{f1-=$|ij7W@glPIQl8J&HlveG!^1>qBR-pGB3_h z*{aKGn{NeI=keo*wlSQC7K8CWXSV{g3#k9Epp+Cpa&m64f0q64_=@7d>cX)Fl{Ijy zn77v(>SXT)XzWmd({qE4OGoiaA<{iN3gFfpk>(}Y_K33rPb+NzcEaIp1f^4hXO|Q% zE#!OgLzFIy{PJL7m278VtNg zCgr1gibofEoO5ksFlq{a-J+DYAmnVrFBVt$6SR=M@l+B9x-!p-LG5$qr-o(VEqa+& z-u}vrAs%;PTc!f*l?e zs5YhZ&O6kDL2p-FrzSgbSA!ELq_f~xC=T! zV*Kp9>qIYhn@^|6%127g}GYj2u2;}ntq{D#CtISgSn;1rk+ zTq%v$b6h*Ioc_?Pi>&)(yS1dH^2GqcZbFyWBR0Y$(1j z08Bp(KYe1n%u9M!HQj&HzzwaTa!-FkY?Nr5*Ihf!I7wxSI8iMeWFyBRd@473iZxJT z98ft?=g^jnCILDZ@H;lMNlJsxd)F7ECFFHWgAS4BZ8hK%_;zKV{^l;hfYyitp! z7A%4m5ReL*!_l}_W3M_AQ{^zE)qqRj+wsMJ`Cpnug7 zs*sERZ!4QT{DDVVlCv?HxPu&&M%}~);L$k;z8%DKC@+uE{&5sK9zaosbjPj-wf$-) zIg-YY@h^qdL|6p-Ne2OJz`Ti@6`W=l=Q%zB)KTL9^vA6c0_OOovpenUb$c0E4X$riEtQRdlU#L-XMXCJCNW--{~<=hHV~tm1oZ~ zWg-AYCtWf_HUa`0G%WG>Lqb&YoYZFs1@~fu;m1ApoDVrz|36(8^1hC>iWHZUuIyp zahyMG!L@n}Bb=}kPf>&<0$q&k-!5JQn&k@Cg4`;&q%>34pTeL(zZsC2rK}<*MSU?f zdc!<6rixB*tkK&gDaGYew7tkDkXdC<^~tbVm2M%?Ri;`NVUVs`e2d)Y+?~SiH9M=7}2pAj&cX9Beum4d>WdKW6TO zBc;~Nu!6prZw64?936%4fj8r7MA8;0z*ZxCt7qaW;AF;2sdz0OIRglQfGlKxS%Mf0 zFPxLXi0H$5+Zcf%0Cm*g?vvr(v7#G{gZb;`epTyGeVzT`TK%bpy|SSn&&uKMFE^^g zKn^{e;hnD9VU&S}Z2tVx^RE-_`w#1ht(UAg15Kk*ziXiCPP|fn6pC5 zuy-KU8>DQPJZm-p#(R-H)D|Vv%p4afS)f2+HsgxqSoA$R=m#S$L+TdDA7$pnI7d{d zKJ;3gO>38@O`N)>?L9V|H~uTHXvZH0YgM#zGY_v{3@QbA$xe=zqG(MdPPk^^{7Hn4p{P%sOd4^^jL#? zDO-_5D-GFG`<1|FyB?iauY?PjMVk$ zlcGd(xR6-vA^>KC^AAV;@2nZY^ckRn#Z6_qzX{HtFxb_@+Y-Nd{f(!HBR*-!UfI_g zTm$;l2!4IT4lpU!%1m2F!^C;Ox+=ZrKtpm;$abc3%lG>xdx_~#-u=-O6Cfku8_i=PTG@WjhkZtKXnM)llYknNAr~i}$yJ3Gux8~pjwJk`%xMG%J?9rEomhdSM11R~N^FBvNR0k3)U>bI z>H_H^Zkd0ul8U3|Q?lmU$ z(7A?Eo+iUTqh~LoS&%DYe4Z!c9vt4%l~n$JR{vh%zQ>#Ni;L~)OKvj=u0X@_6O{#K zbE8@=!yP8m5CIQ{4G$+8%W3QmU0&ZcS7%HnLt{3rN{)Od7}dx|aXIem{;?IdajDn8 zmTFuQAnITi8&ZKeLtB!s{zTohfhdJG?*R1&1oH{A@p{(cJyge-%}XV6EJmJcSF2D; zp}x5T)Ozh_bDW$3oM-vF#01=m=IX6Rl+BkqGb(A`yz zda1mbJ{9(G=R6KMAMqpMXxlg@9S*rO$U#6ZD6-8qWD_1}5wDB%F8CRNucIa!#LJIR z_8?8MyWmJ?#2B|rbTP;#vm)T)_i@i1QX=qPb!fh^b&NM5I5a0c^@WU1ZQi1&MP5J9 zx$qh>i_LM$A%a!k%8PX{SEW4t^LI?4Iv&7G%xiJlM{6LQ!7=v^X4_yS>HIhzdZ?=c z5QPe8Jd78ZCQunUee?9U!t+P04w~AAUQX>Y^unrf+K991IgRR%4LI$OT63=thO^LB zG(2ou;Z5~L946RZ95#bBpSbM+__6t#n4bx%2QSL5af z@Q(H`KHfbu$F%2>&*O5r7Yy1=abzUuaefQjm=`9I4+BuZKakmMi+Jp`jJpO`6E1a%iIqVBZdwaIkJ}2t<8@5lR|2rEY`L6M~ZA=ci40ywplK zvgneCfeuQ>hIrZI)6>ON*$$Sf-@F(+NU|J+^8apUzlA=DGh%$d%L3-t_JS@3&9 z{14i_60Q`A-}PCl{$GJGPm5{&LSi`r3+ZnT8{UwV2!v%!sq!$AK56C;L!+@J3qDt$ z?JA~Ws=Plw-R2ENDcCUZyDe*M@|Y#{Jh{zr&l>#WAsS(j&^KIzN9Ts&o<(OU8lQC; zKI?rZatr-)dnU_G5Oy-G$jQlX|BQ;(27nTGOG>{+-$731``togPH;~lDhouWYB zRLfh-dj*jv$#b#eL{TQws7wkH*XFCxEX!L>7}3u#!hy`bM3!Z3l$Z@w{U2EHOY-kX z5$v8rdfQV6BHaIN-6L}AVPF_BL*cHXV6XN9CTSDGuY>z&x3wjDYdwvVq7~`UB_#sA zElBwt=|Lb<9}4z*e8~@SVvPBtv(xx zMsNT>Np53LSP3D_Dcvpxp}{QMRM2k~x!`#Ly0E|otc$H9c`NdfW8ZcUBH1?Kh*V1E z*4nq}H0UQaZb>U{$sK>t5j9jle$skb;JDmF+=TeNztKjm)FXnujdjWng_!{=yb8&u zVo+oEBz|U?dPToKhQzMfaM)pq1`dOB&)nQPm}bc$SBwA%=zbS_C*DK1dcsd@i_6Od zHBXzVY%|YPmBM0?!UQ{Ok_FM}$;V|si|5OBd5EWR#y^eyo9V z2~T0Ln^pDrk*cs{hwXNR$Dihinf119xzBp=9#%nZ_1KN=OnDZ%8vh#{!u3ymA{ci4 z#S_|qtkEW?_&5nTNUO2?gKlbDL54wNcPnc1C@TY?VROG@qLa@?K$Jn4BL>+2a|*tGfIjL0|?r+UJCO6+TwLBQuGi z->mUkB{)M2jprZ5!x71qF9QHaaQlHeZFf-nvu}rw&ojU{AvtS+H3wT#LSFfW5b=b> zSKH^29{^W|6H5j6V?Yp3jNDK{B>yPGpkx|9Ajw&?XjE3^@T$2)rA(@J(#GPTN)R*?1?>-=NqHVMhVTZ za?$wycFs|9IvHOg02;s=He(gJNXuoh5E59)a!Y0iWK!%7!og;<&H14;T?I0!*JXf; z*2!%h4&#i#vAq?PY7CzqRg;=Fha>B@Bnu!{d#%T zTP!y}(3%N$Bym6J=Dqt>RB773L^apt&3!UJpRl9pG!=RC=1QviGuV4q&<9ge0#bDl zZ|VSOQMtX}&DKd?V05TrzE?A)q`}oHDhqAtqSMCk0y;t$Vi_?+Z`aVA<1YGAk?ZoC zxz5+WyM7UUqARa{dJ5p8VX`FB6+N91z6yvM(FoU!K;sCBG3~qScAYky2W#C>+~@(C z=F_f#P`=Dv*z+a#U38dtS4eU$Uhl*GtK)ASX&iN*(`xpzH{+@z1s5vYyHu?GrM*Pw z^#CbiQTJIUMBci&l8E+2m7@$bycKCp8m4L;C=C)0EK-7<@|br%co&T?As=Un*)UZn zezFRb-u0I^ZLW;54BfZl1y;)=umkU@eyW)#PwDla{oJ1 zga_Z;PqHP`9Jp3RC7t+u>J|vqG>X`%0qr$XKRm!|Ks3^cl@4}oK5G{Z{cVx9KPl#s zC1o=n&;TN562h+pD`tt>jCW199w`kz2ZLucOlc!>=wbj3lXw#8bjiQy=A4Ep;1HPu zo$zlQ8s8qphXnRwP_R)3Yhw_#v2&@};qCQp`wRB>YT#$i8gwf_R*Md$qH<+X&IU|X zPv)IC-#J;|1-5$l&HngFZQ(rHg%jrH+zc1K*w>vyvsXh^shXeM{% z)EDuS-3P$sc&x(;SP-(evx?lJ3;-8Y`w7pLZsBgWuPyk6uhvMXvYr(4aKmsmoaby_ zi8{}wBU@T6J@sta8Zh6jV58!43mUt%R7a<)^B4ddo$&`}@500-E#N2l2^n>86_s;K zWA(~M{sfNKQkEGS=h)QnnHuM#s6>c2QD7O|1%$XakVR!-Px+^DUrks_iG5ZE#CCSUv2g8 zpl3Bocn&LVBGonOhQwe#d*oi^Njto#2ZH7O6p3_t|K3uI#01Ym|GjoG57gTl$hAZ7 zMsP+9UjaT?Ur}|zm=@wE4I|oPmGa^>xpwVJZ#KPoj(kVUe%KZ#-W~?(j-EHbzlO!T zA$2@CQG57fM7xw^!f5X(aK*+@yJ*8kH}U4n$U2;Vm1#MwC7AC%QhHi&p>=67KN!?}qA{$#&@HO(T(NASOnojs0Qj(4%J>S?tOm}C8QG%bsX`c?3nyN9fl5>_zstx2`ri^s}#rHH*|D)9tB;@g3Cz+wzlGINGn zPmj>C)#zUc95{4l$Tp94PD`{iK+Y0b05-a2WPX#O48A( zhuBSWJ>p*$t`b$TQfgOOjS_=Je7yMotB&CxHQng~eQuu%7+(R4t+%H3`~hbT>L%d2 zT+4OU?DC0vB` z%v+02Y~q}|8^XODnesUPdw7OcVSevN*@o4C*hondUn{8sd+Y-%D3BYWpP!-jPFFYW zU|gDCUldpDU1jWUWPSnV=LTlS$>}9+{JhPrE+PKRc&eJKF*9|tw;g2;n$q@=u42~p zN}eFrosoghf1N` z%9I<&Ewigts7_I`*<;`^zjfnli-H7nj;;Jdccn|LHL0l;$jFm^)H1tUg_0H}+dYn@ zqa0`m1FFEY9-}pCD&9?s#N5e#I?cwlx$xU^PX;03gv++jOX# zbU)#BlWJ2Cu*(iR`0rxItR4Il3q+?qcgP}LbMxb<ZEnZYePo|0TDdOR2TuvLR$z{S;t^hvcjN-jYh(MwEEFHwD+3|{)9H44J(k(Dj_ z;@ywuZ8)a`f$;&|aC72U0n8gXxkN>ws{b)s)Nu3P8!f2+uRw4zk#)sSU3eY17>JsA zZgEb4)RuUiz{X5=rxnEJNFKk>X9{@8mtS=&kliFrhw{KA@a+QBDuv;rNp(5KTvyRfe;6KsJJtz^2L zD=@$7=5e!9%gbexfBFRQj>9|=?4qQWmTG4cY?f0=6&|RH3aFaw z*O~?XAO=ULcx7Hi&S1R&(Uq%lPAVT0H}g^Maw+7JAIz|0MR~r!50Rs-8mdgR-n99U z-l&Xj#y62cH3MA>uOOp4F)bedXNio!%zcY2=b!W3f4rm*Nq=wWN;ltDxz<}h+Y@4L z9~U@TLBqmKyKB9s?iQ<4SZlsN;EH-z0cDddv+y%XwsjR&c%Qs=-dL((^zn*dSC5C) zbbRy#DP@hqV^8(Ro(%UrJUo+*IoYL_wr-&xiFCO4`O{hZmc)lq7R!LIYtUxdBkE)c z1m0mlsq@oe(46mbpS~t%$z|4e$?Wo^*R7QZSK{j)RDZwX(ympiOJ<;^Z$3wq$skb= zvi*>FA?E(1@&4_un48J7s4rJZo21Jg#Sje(9X?-IgM>c%+4#CC$jClW;abP=T5H(T z0B-j%I(Gw`>FSL46qU_6adWHg3476DCeKN~Tji#o19hZ4mSKA$D$qcnmdq!c+K4`-{kCJzE^Nj2O#Mc((9M_P9~~ZpTcsBBh&O zSd~&<>Q)pFH?_uoPlA+nZRwGq@78IU>L@96NTmOmvHHYUcAJHqUdi(dKAREs{pjg* z^8Ez@A@0pZl3VO^*nns!ix=;56V!n*X}K?mK@a%nD7*M@+b(fO|-{kDih2>a1Pv>=UZvFNVD>E#vF z%Q1Z@t8aAnBr8%d8Xo(eYnH^@h@Mn<(~DY-JG z#A)u>a|lMc-)Qko)X`uaLQOZa`1;DM=Ig!7j+?XCk;%_1gpnnEv!W(?)y1Re(!nV> zobO7;XMAhK;O=OL;V2kEQ<{3feq#XkRt~5xp@Hdw#rvTlb=}cH=_G#tvNwneio)pW zpCbDpOHsIg89jzbIW$_KYO|)$3AUs0ZgvyW2QybysED-Q>OnM4Gj}V)DH$>O&hY^^ zDUu?T+z?u{?0q(9ag+;np8lYSzXko0TE+D`*Vlro+{PTE(03ErbQ;>E+Dxz2HXWHT z8Fs0ecDh}xL$RYMd0XA-`@PLVgt&pXYxz#4*%~+u^O~$&rGd2`NuG(u>}xy-r;BZf zV6u+>x3`#~9*OO%I|uC+wBk+G#V&a!8v{A{1uB|M&kZ*ng)oqZ#`ZvS6DEq%>pNc} zAFDXdh~#5WPsc+dV)Nho@4e!TC^Wlr+@PUiM*PhlabUszs|HY5~cGvxB#HkfAS6|B^G?~rW4q-pO#P`mbpn&XZ=H*=Ib`XNAM z3`2^g{8zGvhm{Iq?|krond0yPM@;l;bd#VqU0XBkB~nAGaHhg|vY*;mk61weL_*_6 zSqFvYt9BW2z0HBXf`U|SCL0b9qS{8r9%?;3;ym+>{=QCiU1Wgt|4(3QPrdpj_PbNU z7gNr=fMPVJLrpj2!9ZHTh>GWBJ_%|9;{dOEM>4)}FC$TZXUXrwj)k%LA0Zk`nnynD zuQZk(T1L53-g)*?{F-Z?)y9BhVZj$2CK+pduUm!<4|GFTQ66r^#gRdRr9m;#aw4`s zo4TE-qrS$TiE+Qu$bMj%lqG+ZlCULU{VGjnPH63|HQ^n576NLA6$1>-9qvhJ=S22z zT?AX4;G!GU*v9w4-Ul#s)@1z;qT?@-4ob_@_b0dC@)i+t35#v5Ju{+z>_j~pH~pa2 z7n2)J`2pg%)J?4lx6SW=AO6^Fg*Atq&-mL|yWB^myKfTS+_Yx&_L~!mZ>bR%_Hsm2 z>uvVG7%?gx5%K(a#&@!7jaISh+HC{={T(P2-cXU&Rah zT*&G3-qvLQ*uYAf<vtLzhhFu3?ZZE=tX>W)?fE=jj^yWctltJzcV(PT znA+xFlt}+-w_v%FYk$3)_O%mxVO(cp_a)!hYx%wJiS1moVF8aOUZ#=F?f0my5ZN&%;fP z{OaBPtv!m54mMY)$V|mrUAQGQscbwz-1jXKcsklGuHM*zYG+;l`c0Ai+KUfk*IMZ$ zNas%C``d0nb^D3A9NGHK1faSatXZ@2Srr5D>%wJd#hq7(fp_LPV=dgZp3LPG3Hjrz z_F97kM@IA`1mbTHG*+DX%kLx7*WsbJh)pW-eRC`zaT;sazcwjmEy}yhEamauXi`io z1)ylDYA8I4@@>Kk`5F05vj_1A`7d5ZGgIoi8dDvnf1%M2pDPJQdzU3(6lUam{GwRW zXfk@|v6 zzt$q4a(=qraC&JsGUFvUOoRBYM5JwtVqp2+cbTkok_SK4Oms=LISf!rn`95tb1ZT9 zZmZGx`#xy#TI{E7HXzeqf-mb705|Yw(VQ)$!1>ibP|m0w*0uV%n~JM9UP$S%fYfy4 z^2+18k+W^L-p-HF=Vb;SBIQeiywP@cFSdtx4{=IKZxC4lgwy}uK8){s(a9)>b#{>!wcSS8nG%u&GX}{kJA|3gLBEZSg$n* zTCe^tO00g7l19ycO5S#aVRyq2P4o?0LuSN@%~=HpTn<_YSB*GZxGLE;c)HbfL!yYC z70`X{O+ktaAKwn&u=cl}!J2!9g+>kJT#c8OiM1DiGnn82Q4?}Nx+Ge)_PcAJDP z$(@924X5`MqWdD_HlEfw53d;V*h4JH*NhXEjd$LfM4Ej(=^D zcrE#MX`T9R+1nrTb2l;Y=&kl7)4QTWuOqJ9Qkb4FN?AztKtDyLZ&#w}v1KM|(W?Z zdJ9mtK$22BuLM%x+-J!jdO{GOASkkJ(;~RfV(by!9^5RGMXB+2oM6wp;dYh8^kxZ@ zzr~%OMV43o(h1SFh@Tr?8@*)}O(D#(M436?-4##p^ScGeNZ{;v z^W?2Q^EL2e82aT@yUmszl@YNyzIq4$NrQ)#KeWuBGCAZcx@5g6|5oy#mFluFyL3{y z@0c2Kc|>Fh4a-VtqMvbm7;73R7f-ttywR}(IV5^b(d&8PV`}R6$*70!-+QXJ@*98; z2M8j)hx|Y|hv4UHy+&y6tZ-5>b;kKiwt{40*PuG^16tuKbRXIIN(2r7?vYKnXbGvR2g z#Ea!8GyJQ=TVjxM{f;a|JbC1`yWY*8Y59&0Y`lxwvKmi#fxYKTk9=BhqI*;kCq8$4 zyM}>ztwfEZL(I!~!k90*=T=s6(O;to|KJLpxf_`oUeggDW7cl)6an+Evyq;`!@)Uw zz57~mDM1^r?^Ib|&|-1Eujv?sd5TKnnA=qbluXUmErCzqfx4_l-GE!f92e)U+P6nR z>L!euaS@1MNA>Na$RfcQ=5{3mb4H08LHn4B9zy#sx`_co;QTYhzc}hk)jgX+Ep~^# zgzpy?uZT6s6&}v0(I{ZD-F3VOpBWcg-2NO$`8T)=$FAukFG4{df>QQYqz^d_T9}u` z?Is*W_7Z#c;MljRleK3_0v6dsHCfWQDgFi%HOjAH-k;sP%6m!@=|#jK_CBbHt6X4} zX9ceC9Ui~an`zE92)#N;LEH zdWTRN?g8!M=wr%0ZN5vk^u^lAiu294A4?-Y4zw~<=Xp@t1od=sYWf^QNE=ncGqa}n zOe{2%Nvl+Z2^Crd#%&Bap;Zk!zlVNQ8gJuF41wB=^-pN#Va1? z#@S+%yGGv_qV7IqT>M_jSF%jewN%?j?e4%sG`0Ket7SD~@b3*dFDPN|cX+#{g-3 z9!8)#v)oqo(RPx_7@5cVwByWh|@`<->d_C95B>$yy-dni7n2UMqanwF*B!q9dRrb`F9y>n2dNmee+?hoDZ6y$hIN1rK6FgXs&??{_Y7H>t+w*OWV9c z{TR$;E{nEEj!bBd=2PweYIL?pHP#jcUIU+qEm`SuM!mOiho6}E8|#rJGX~Cvyhd}i z!r9&mlGKJUKI`{$3X5iLwj^tMnTz6lI>)BHaU7h=9k24{nW~GPG-BQCV00gLVP+{D=aqKhp&W63H?cH&7vSoB|Q20xq3NXE6N(;F3@$ah$VMs*4$zPJ~mM~fCsUYGLYC8gG4VNii7|zPm9gTJL==d9H~`@*Vaz$jv^N;JX%@Yw6K}5X-xJ zwB#+Uoa{^WCg`J_k0ROf)!-vHPk3cmBPp&WOn#ba+3f7bL=+UUpW7m*6YldlX9llp z6GK~18r1wj+FLe@k#E*s0B2Tx1QD73Qt^qal_?F>HocuEX39ERkJ?vieBCZ!RFvsj z84P>(=D9)Az$*iwYRQkX`&Yd@_|xdK{t!X@SeMOMv)|O)E|~AZ?#(Hbb4yXxIckNn-n* zxmyC3sBxQ`8@_5t@iEn55OEOtEFOEuXpU8*sA=|V1qnrK#}|J@s-+)7oo(&x_Qf(G zZ4H-y*D9b|Prq>lSpdmsE$!Y;1G(^{zboNo_31)pppMcH)KT|Wklz_T5iEZkQwIcs@aPY&bd85Yx`$==G z!Cv(~;1?{B|V=qbJBjJDY!8jJW=e=HgpBc59Igkj-UH)}Db4I+wt|DcLmS&z<%VBJ425 z`58?Ds1{iG<6(9HQgEL=3&_qjjpm|lK2jCJ^GB@iOH~;p^=>Ub-K2UZXr`+%R;43w zJ4Nx|u{FTh?T5_@nP=cQBK3FQY=dGwNQ^+~wBYG%&u|plbtj+5-`F@la8YxqCdu%mVFvKWQew)01j5)&mPlQb%3mNm@A zbLl=RZkBb&#@fIXfXVapyaI8X6sn=ynMj1EA$&Hdvw6nKU`)K2KKRHfa70O+5$W6y zy1duC{R{P=r7^C|POJMTc>9KVgYT^6W$n$3lNt$-P!S3Wv>f>nPGt6#WHeom*$c6b z+GD+TrMUUXuj9ReN`dvit#(8vt+mE>K=@10BR#u7;x}2pW|+KiEyQ;R{I-Ab>ftkr z*Enc(pKONE$<2Vnm7w!`Fgj%kE3~C4xD0sQndRD%ZOMjSwLy;{(Czv?Ap^vAAHn+c zk_o%Ak5wfsK@s(jV1;_)8}xU5yjj*GsxrVYG0{k$-RQ~rZ5%B;|K2ONi7N$Y#j zR}5J;$qJe^zb@DY?%)w|5-s>Yq&uossxsoa3;k!Ul+g2*?o*7X#_<&b#Tp9%wN47q zw*3r#G77R?_d47dVf9S(**Uu*x4SjNvC?#8YHOA&nwybk=#>EG=n&`}MYJ6!0{RU< zqWo~`dotP;93zE2D+}8@;`qhcMrTw-;I~j?K=3tsE5wxG3=sIr@fz9CEy;no`D~Ye ze*bsIF~mA+e#ZRy_R!K^X|!0*hsiVhvhS?bi+>JVqd2$OExbd69tr4zMdc7h^;>Ab zbvyQWcZMY&s^D;E`;sxVg>W<#9AujW!gnFePd#h@f>iYT5U2qEFWx=*?v^Tu(Ie5^ zhf&@GuZXfg}>{nOblutF3@UjMsrb-@JY&PP-6Yt|mD z*{|WOGF!E+)qI2pCKjjDxt(sKBzcB_Z{_1rHx}s`_VV}S8Ikwski+>Ih;AmSO=sE$8W$%O zxj#TlIwU~AuJWVJbHPQ!mJMkfv|V!{JCl2-)3Z&_JLEsMR8bgdCmw8rTg2xFn;{|^ zC)w`c#gV768Hour8DTR{`A%%fo-e=BDwfax?wf*!*Feal_C%Jzf9Dz1e8o8QNfbAP z;#T03sC80;nPG>J`I8CGf9@j*O4{(ee>n^hAy5=Qw69rkJZqKBV`u-7dZML)rQ=)6 zMqt1L*vxc6Y$y0koe{Z>mi4{6v3xIUc!p^>8Lt3JcG{lQ#(5!~SvJ<9rV^IpFL` ztjIY28}B-juZ>^T+6F@VITiKK7Q2zRY7pyCzD54N4}iL|{nWxDJgJi%u;g-JI&7={ zghQEq??9Msf)}yYEAy$Q28LIkt`TGh1~mR#YH8Ffo8Kgtow_6uDv)xII6~-8WK|#o zr?XaF!G5zBZ6BO$dctiBzn~?qzzf@hn{z8&Yn3i~`a}+lbg%lWwn}~X7#+rX@ zS|z%HSar0a7~NUYu1=VD#G3vp?`H+UWK&-uNEqA2J{&(2fIzv{doyfqO;eang)x4d zmn;oWe>GPdU!rwF3*cvU3^w~eXh|oj`d2$2-y@b1>Uhjr{kCU%lr9G~^obJ6DJLnU z=3+^jcR5v;y8kIjipLcot`vITPlR-C+byH16#P?R|6u=-nz zpRd>b3Bf+-FuI-x>HZ{Y7tCs+QzlPsGcq=i;q0r{tNhn00xtwQYFUkAzAv-oko3XY zqe1@Y(a(B!;J<#5_kbm&!8NY|G(p3btWGRdR|H|my%k0wvz50cegk!RKmQZV@gB;y zp2p>oXbS$uV3<$e@_UN}13TxRoNi(W{zS;`Hud-(D-ef0t8w(ds)g?`j~z6&=Km;> zLVxqq^~+ydMu42Y%F@P6wkGRR+?ay=e`LLNKvZkgFRVy|AV{aAN=QjJNH-$Q(9$4C zr?f~6-Q5B*w19MXr*scpL)YEIIp_V}``y3X?s=Z|tp2UFn7!wT*B|-54Pn1_E)(G; z{sMSZM&Lm`wqTzSgKb27_v+-#kHIFsTVm-Hr7W~s70+_;Mk>G2+ zTH;~#9bqj1r;+o+Q%B_=1djiHV!tek;iBM@i7SE4R#1EZe-vzGzwp+K zy6ezH6(I>Yi8Os%L3vdYmKDdGfu&Tz%MPvtlr_QQi_Hv8yoY~PoBDvD^%B5OR1;4q z{2#{lX*CJF#qr>1uA;@O_g;f zkY^k2TV)u^S$XyHMMm^=uDfEMj_^QiU=;$=81D$in-6kMNor2vU&06tL@|K(;$*Ih zu)M-zelOu@|Jr=d;ps?me=fsfgh5$m6t%Y98!`+7mUilsTywlGRADRJa0 zR5kL9NVf;ovXLkg9`beY{PhW%=JNXTO!Z%l5oU_Xxg@D|%gX{16^i|Dja`q70nrV( z7^d@6f~qh2jsXRkYa zkoEyF$VbTw5l2J`w7bIPWk+W65JrOgb4TPM0QvVFmud2{0%m}Po(se1Yd)Z>1dPq& zGgAKm)C62^mdWR%dN)3y)XwkErT?tz_lYIk0ABDSvcpfB#CTGrlpv#kY1~@$Xc;jV zBK%gAz7ck~9NH}8BSL!goWRo>2j3}RLw{c0x^Huj9xSFUR{+*Ft&_I|Y(TU()*A+7 zLxlY>YrF>Mk` zBQr>-BPWZ`yzpBM2mw)?ACt$?RG_)Yzb>}%pNpCPk+(E{bg@(iz(@wEk8b@9tA3dJ$Y>{$rajls+BpB3+K`%nvixXK1t*CCL1f?1?FXa*7&36kj{-#!celltJ?h zfysR1U<)e;0Xq}@*Yu5i5xQmLHx*l_#jP`k@b9(8+9Ra!uryux@W;c&um7D$p!${< zX!NE5@B&t5Aj;9J{;~L9IMq`(_|sBrEq>|;o)JfC8IiA0f1L}(6j`o53!dNc9A;$D zD^3pPHo67kA1Pe#+mm11p2NjJ(J#0zxcWc1mJn-tRZ{X4Ik4PiJ;11`YQ?p77X2QL>owC zpGIcz=k1G61t3s25I~2e;}Y<`4{}HdRf|UgcGP~1<9@2s8oFah&7dTL*!m`FJj7;@ zFZQpY(}wIVH~bR!MtJIvk}@!br?V5(68Lea4eF1{AL-Zp>AayDB4wc%qv^FCJU0C# zY@H&ussRWRNFsoB!JVxdURx-BnZ++0*&!Qd`{tB?@ z3TM$5pn6QU^45G0kU>_d(CG;8y)%;C{LbwV<8>OaciNBWpO{5>s%>`(zoYR=r1+E{ z0(Ai)+36)Az>wi83*i7t3&27MfK8U%B$`hdTz6$t?f0KMf9sJ##7h+Cd! zJj#M^@d0pAyXCSGNd94-Q20NHpUj!ceuyDgg28F9jlAi*JLK0oXiMJ2{&+y=^P?q>*OCf-jJ` zV7UTdB5pQ~@V)0RzphfiQO#UHqX!K_y}J)v51;Or&aJ8CH;^cmQ*4htPo_tPdcQTj z>&;~TzKf1&?u5LB|9ogSrnD;sE#_iQ)akF{-B~7LqUgO=TArJP+$lrh4!WaRUi{`O ztZfCadcTv(cU-J9Etlpp0Z55U>IV`+nRL~{F6?QP&FHVm;;kO6Tt5z{wLjbsKk$%T z`@y;&?#7=pzTAmv3BDl1Px9Boz{YF-)Y>o&RpC$k1+68E_W@0rSwT|#i6@UQ&(@D0 zwpnlp1fuN54($U)7p{aG1q+ZGjG9VY94A2$>8_o8rQd#0c@PV);@>nFt>ME4y<;5o zg)L^Ja=bia?3RREg-8x_$TS@Ha!!SI+>p*k-6;2KjSMLLFGhzM%fPKSJd1S-d&Vb1 zLoPz);NBaarK>g}g2Oe>EsPt@DzI16X}Fj5-)^Br-qPH22aFcR2p3QbY!<|4*0Acj zg|ug&(6J1Bs91Wgy10Vh&EIX~SuB8jaXf^gHsy62qkrtV^+2Sqk#IhsfAj-LE6YF3 zEWdz8-+Abbiu!Alc-8M0ZQ&!8vU2HH7dMwCemg(;tJuXD5vBCKP<2~(taQ33)K?%I z&pU9|9;sG5K4B3B;u_xIsL9jcABzitZs7-MlyT4Oah_BdK4*Z8Zy)_IUdI^Hc|({6 z(RK@OAYc`&XoT{}3PK89k;tXo!f$Cf{fKl^gcjJrj9)l49Y33IaGp4~ZUy}k8wKsx zB4~Dk8D}u3cdXWbwc0!-ry zl?2&-aijBmzt;Uj1J|D<65F6DeNUfvx5D>x-4A8QdqaJ0W;Bahy$1trX>1D@N{yNg zmC*~cPK#QhF6uG-{9MM%TlYgv7ZGb|lj}o&`3_4u_^oEs2EUkvJ-g0QSxc+l8&Vv= z4!YVKLWRzzd2xI`9_nF&S>A?yQ=~R9aUr1j<_5aAHtpaiolQ%_8IeI8*c&oJEMqQo z{%OWl$jY9*KcVjbjiL~BF=p87o; zO<#e3JD~M!lTN z_g@{3Z2A*(=~N*#q;(!7u?onh{mM49Z%8GaVhT&qik`=p$tvq{sKq3;(%s196gU6Y-+%ih{8B~BZ`n0r+64js*bJN{o6x3cZ%COs4tKF21f9ct@ z-WC;qa2izhipnilRnrLBiO#(CD~YlZh((+v4B_20oGO+dFlW0g_7~$@wo?{MiS~j} z7~wzjX_8p|lY2?us`aKRw1fMT{4MMS9eU%@Q|YjeY@L-}xS4@s4btBe5LZ*ye;Pf( zUCGC38a6qD$-C>7JZ+MJvEdJ6i?#%%uXw~rjJ|0I4dBNAB*>2{`v*&mS(stU+wVZ3 zZTwBGf)7{MNr>5Lxxx02)P~t+5~A7Q9_l1|^OZ8x5{Oo%8t}YJL=)3C46`tM=8vYIyy;U zr@tD!6P2+ab5swV@aX+{A)CJ_5j_^F*qAW?Zk*%Lk6iMExc01r-`Jcz;fm|5mcwM2 zC@BR&Cx3l{ab?!Ckj^G%kWr`A{dHkF8w2;VwE4muMS|feV`(fdQ=33@OZ#JMLCN*c zu8TX>wjy6!yNucEi4%Xfv1hqv*t81?U0u>h=nY#L$FeEK(f>v=51+0)OVoddyuti? zm4g->saw-klO11w5%h46J8ny@%5?xej@>Q%E{`|6a(1?u*~I@n8YgPNsm_|axT z>qG%DRBlBm;#PY;+TgR%@Xt>_QYFRD3+sSYcr13&dpSfMz?3e)8U|YIaFfDT=z71c zIc*FH=190_{`l#da6e%@Rb98KEh}xmjdf9zTh09sF_)B?Yh`H)v}g~^w$@023ID9W zg=V`{sEp5#vm)j5Q~^2gu!7dJA_Z`1W3s`mkxU^QrBRrilxZ(4^=Oh}Jy{Ruibf-; z>N-tJ#|iTz<<9`o#VbN~n7Ln#x%;gX_?L0ex&)dOm3q}s2;DK5a?l1Qu_rQ1Qqi%} z`6M0txcJ~nckc1zCF|cNcK7WLz2Wl z^29s(Tu!Um{7=|B(qT!HLCv6blAOq{5ZXKTAu!%A)ZfpBjc+ z6Y5$7(I;M<@4m+F$C&8%osn-a9dMO9$y}IP>xYO+*9pm-<;m;6Hx=psWk!SE`*vEx z2v0R%3D=8wSi?w2R_l$-2_2cf@3p~6X9BBd?pWZl$0yq-1u#%ZKUsIOrC{EBNmV&5VsQ&pMs{6t{+iA(A*D z;mc$|pK*m*ol-omTSSyGwNSvn4DC^Z$h&ASt@j}MKyUOvipBj zOlfUkSm|+$U$?S4M^-cc#0fEebNo8PqZi~*Tkx{|0h8WTnpa4SzKpFe{73G#MoZ%X z2KUKoBm3ml=^XVu!-COA43&75G?zXH{R;E^pJKWLTHg8e$(TntG1l>J3kTxq9dd4R z9tFnNmw_W}UV5P%JHrrc*7F&VGfPs$_UYff&z7}GH+=cS`erW3O9(WJNpC<8^)qd0 z3)5^~_k4dU<-1|Enrfv!puL)^bYbjXavgOcpR{?cB4c-5Cd=vOcj+rb2fK;Dy#=j& zdYpvkAT<;LC@E8Y9mCLyu!wSOyW5e0;i-4dMUW29R=;&mf#8 zdU^rhsd&IN`Ty*MbHYoE4s4xdL-fuvzP@&I{tC#jYne{zrKd3E3rGP8{I;9elpIX` z1s6rO8|LJM8K4hMZ1PSQtOIttIn|KF5@CpTf9Q8@_D-7DC^Eio;M2j74GSnVR{feh z#^zO>x}Dg>j`~UH(n)ZX?P|C}g9CO>i*YpB`kZ{cn0V0_P-69Pbp9N0R>oKIag9@m zz@@Oz82zwHQ|T6Ss8S^)UxpS5cWn|g82Lfu#!@(j)k`l;UQAM~S1$1l+LcrHj>mrS zzQo`2C2NTDWzVG9oCbDg0;<04mbvDfQSnOgwy5o*PiJHD3V9(*9fU%;?E@vIDSOj> zbWqORy4!-no@kSDjMi%OID?Y!W(oUt*qV%PHp{JE0vWued<_M-=P;g6ZRlM?!I6 zT^ct@0o%JfaCEA>!Oe%vDQ4}{%0pH;>ZRH+Q5?XGwLe$7=ygQEb|p@r`CG$l0D?>+Sp`Y6eqo@Eo2{`C zKgZ2CBXtzkHs%Fv1WGaBm7MiL8{c|fpd4{!`nWP@DAH4^%@Ol^Rr){B^BP!rPbJPC z!^*~^46LPOrv(!Q!Ve3mNgwE^$xnMc*g=S-_mNdN@a#1KOa}otR{IyptGyD3ZwIe; zg`Y(>G2&^|6bEhhD?k7<(yC+3Ucf>b;csZ=0QPp-(ES6pXHjhgA2H{V>PgXmR83U9 z?e*JWZtcX;9=e-;@P*_~LF<45jYV?L5PJoY4FUe=GQ$g`BR=K5?|}1y{WjVd4;gK| z66deGpNZ-r4z2t!m-)V*qyvNDb%Q!hlA6bS0;|{Jm!NfmggU?|Tw+pbR9Arhh+bF# zT!e}eJ?>Z|js_GhM5-dK*xOH#6X}o;T|aOstg0e5D=c$QH?RLMxrvzn$dM%Q_TBbQ zeOx^JA7bI6(r&^sH+dyX&hvEP``8}w4*&uOujn1Qq$WW8VM)B`kEIZxN|4W>y=G5`ba=*k zm&iu5tX!%B=Bg&?&F$Iv*B%MA1NJ39r#@Q#$CkJQrdZ#Oj4I0p?BHJsi3L@W#L)zM zw@e+=J3V77+>!_6W_IKO)!VdvddYBD12^r*>}2KVn7zqeaxy!l|Ck^wi=Orw9h>8o zgfjs3IdC7;Q;)44V$j#zO-`D^4l4IAv5^UvCNsm$?~Z$f6kUL*|8~BPdF1IUqp)qE z&PoevLq1#bnorT^J^APsYM-&RB%K!D_IveaC*`Btvzc8bC7ZLiNXb`dZ94s-MD<|* z_T+=kT6nn4KF6I+jXLhlk$KuQ}~5 z5$e=d&H;G@fSGteZkA1nU46o}_^I73sydqfzG+Ade?Q=vgr^l}hoNTwdu@|)>H_i8 zJ3X}VX-Fl%9DLDNwDAi7KWycI)Sk9Le3U_pP#ds)vr6>zHxRLK-~ku`4FK10NO`;m zKnjqF;rEsZyHRVI4X>}AoXtW4wyoILaq|z0jZxvA^dRu3?n4{Qi*pd%1fkz;u>lSe zi!4ca%!Sr(3)x;A0B8CDa00Ay`Ug%_+HzM#q!XKT6oE9QVP+*767_;k-ZBsuARGS@ zZQKQ!st=_Z;^TuiK8o2W*ooljas+B~eBaK$46HcU8gtZWgWY`vJlgg?4h7g?FKCzK zlkCNx{ViROYS;6R?bmDqj-kb2l8kR9G2~K0qCZCM#zcPu?kCVV7_nb{B^i z-X}~E>eO)x{lV9O=%Jh~+#yYXFCC@~9X0l5Lw|yEh=tBE7rANWS^sNkPAR1r8@vFW zTHXs&0h$%KLDr%jhvDV}+&={jU9ChdCE%6R!-zJnf%1R`SgD(sk5FsAAz<4)XvrsN z2#B#mby~i_fbC*8Ts^>ERgduT&u#Bm;I&gszEwcB?X0#s1!(JExC!dIbs3eM8%7omB8BgH#6K!x(U#!u6X%kFY94j96IalFn0~-tfAfOVlB( z>`_|9C^7(#&SffCHm-qvLjVZBq)i|Sh~14*)9-^V{9}|<2QNBFD${G)(S&19y3HJz z@uz4{wC)5ztEH3D^b+s>wMSitX@s#tb-Jp@zibgl8^2IhSBiFjTj27qgniH`1|nIg zj1WuCDbr?7?BKWws7)P+7l_r%(qv^fmq*j$P6k*SNKd^7$*MLdJeuJDZc704)enbO zWS2OpU$tpZ4^(-xhLM}t$XR|^<$P|4_P>=MBDm6WL|9QuEc|n0x1!=`KMEc zI&>Cb>!L_W)6GazwXL*@`2?n9i=r2VU*j`=hR{Fr?4V6C1^vEJf@JTwmAc^OgY{A2 z7U0RbzrB894Bj`rh?Yqdf(+W`2c^`p7+&838TyEsbu}%d{tObzJpWiZ0T=)P1vpe< z^m?n)R&Mv|G;+S&bmMfoH!Tmqxz23syWLgdgU5nsdN$1zH(v?Q%tcbf2SB7N0FeNr zuNeXEThAle0>tK$o64K<7^L$`)D*C-#ND~6Bjt@m&*0Aw(C zk7(@6o#mj!ZJ@pu`JUAD*KB*-hHZR$xGr(K_)0@^phF(A>(;+YvtMk1`_XsEm9sso zRd}-L?{Eje!0Yj-sLXo0&)4 zxx1K$cRknnH#<~aCa4wwl6DuHUS5?A4PzKUk0TX7>0j0dt)&WTeHV0cdh^Uo|6a99Ih5 zVA1x_Z68lQ4H*~lX!yG#X!5FAnv1v)tid7hR#qe(J+-M+QN%myMFY#1>-v4Xb;V^E zi6U7OVx5U-lRGMZnaZ+bM5t4wUuu9wrWgngCy`4yJZV4QTM4&c(yNeMM#5VZ_@Jy5 zJ}C3UyRsH~$>a6UoNR%R$7{hc=uly*M`=H-0H%}^eQeaAKa!4tn0z(&mvm}xGEil;N# z20(M8OIr`Wi*6^J;(mylx>^3v{ugLWB-$8bxtalm(0)9m=Cyn^rvGci>%HJ42k(WH>3Q`PTu5ZPI)^T!JQB%`_{2b%v z)=|X)T-zv1O4B?NEshc}3V`bqUghIx{K@oog;)91yq;SUzK``Zd zWLv@^tnJkopv}-xij*%Bg?iVSqy_>f?#nO3+E9FAPX=%S9WA7ZZa_S+Tfr|OS1RNT?}CNi+&-0*`oF@Ij;Z6!6#JD zV}BLsF8>cPVB+QA8;vfX)WQ$gRMLb^>)(0T`V$WHNVQ631mzPSw7UfKR3V5$BpJ}t zwZIF1+HTW`elhfVgnNr(*TkQgPH@xKpP@T&3jP_@OgZqD^!YF=*Wd)?K zpR)4}10%Cp0fkLEYbSa@OQmjnXvHAe|!fJZFL-He&6Hv zg00IjV|DgD4iEWntT_f550j69?-@9PnR56JV>g;iM&&;S)+Sco$}7AlUZ$a+Wjd{2 z@Nv&tDhK{}b35!acYQnIxv-C|FEG5HYt~uHqVZs{N^|2m-o9I!F^zXQ;-Zk&csu_0 zGudVFRjnr1vRC@UsPIwFbjIqEMhE#mWJc)c-Q9L<&G6#(XnPoTVH=U|#|FZBUT4_J zk2A1R=a}Xxo%PGcf75U7=xW>^!Ts8Z@5Tdn;2eO3<$mz+*j2;hx+?c&WxK;3SkI(XDA|^ z6;^tN=TtDg_JmM+$#7)dwe0k7o=7UTFnB?%6w9M+yX<&4<+B#$F@H=?sR$6nG|w^b=%LhI!SX zynwLjZ{-Xr+d2K5lr;NMdiSD1`Lx@flOVcmEk$F;Ov)`|&Gw9y+um~mkMK?q`%6{H zJE(srXl;&wq>>pUw3YhsLT}xWO>>Wvf}oXf1Bbv)1pfp3uNchSK-{#PX(lG=vz1qF z+88)qRT<#SO5L*KGU=xHHy$1^++E*J3X_vbjngtHEoI3bKTS&R8$lmfs~F_OCT6|3 zWZg>DtW~2kK7c}&z%vbL>F`gFKcsTGSm2TYqv_Vaq7nMk}FO14`=2vK8AU-@;S~CtG(0@E@j2bPw%bHEBll2qDd*<6kh#Ug?Tj zb0*mRh!h1;xP<_X%my*%Y3&N@1Oe6vhYv1F>rb~^ zGH=gD<>dJ~`w|)~S8rWlEzyd`!wg9un3i%1nP6dxYVOpzbT6bRf$QMTIDuj&7z0M5 zFf6KD(lpCV0M<4=LjzkBVF*euACV*Y)Gr3<8sVtWn~TQo<$h~pm}N%I z^28VRoKVV70o4$dFPF6r{!z5Ju|x3R8_;MfAb1?z65d{U_D3Gk8xgnV(@c#YQ z$IrOlrj$PDhzh)80O8bVlAQIZBHee04`vWb8jH`j`Hy!}{GTZD)8- zE42jP41qy8zYj*eXAH9@Uw%q>h-)aTVInZ|x3!DU6!f|Kx%JJcn%=bRFw{hF$4#0= zyR%<)$V6e^!u_MBpxicDm8hTR?OO~?_2H8Qp_3K^eCE8<7AP(2-k?AF4U^`=k;Q%4 z&b5Y}65cA`-Olox&&M_^{aD;Sj*-D#CK%*PS1fwLlKtW|*EXNngy~pP-i(7OG>@DJ zJbT}ZooxeNc*%#+WWq2Yr+{8;_BMPhQ$hnJHoic)Bs1?lg|}#m7jU?}`Y8PH7iM(K zdG^+vxT|XKFD-@Qt0p966{E$%$0|_4bj;OJIgmu;ErL|x?QT1fm*pxZ%XqMsoGFaGjw7W?A zeoTtOJ1tOuWko~tas!)Ts%ApWwWElRIL~Bd+UT;}GLpph&RiI~xeM^uBe`?N#l6b1 z`gm1MbJ~}aDR$4*r&Ys%M{Aky>_wX>JJEH19FqtvboYRGLmTxkB8P**J1Jf)m%gRM zx1&(K-7$X6IThee6R`t1w+iFyTAViM`BIf(i&?mQfOcbaL#LToK`G| z&S>?v3m0Y*;qYmH#jC96&!w$NnITVUj|y;7UuQy7O9`b_{LrHi<OGFQ{o3T3_){j8n%x$aObB%Yc_VZnWp zW38Emz%z8@`K+46;5isb>Izu-E$4zQvBq(=;qvt*^jPd%m4_bc0>&jz3atp1PR5ej zZl$dqM3DS%*Oaa#X*p?=97CDykt(Wk{qToxv%n2CZJNhnZ+&PU)G4YFw*A>xUE8jv zI_SG4*)d3*1Ps~NC{i22O9femDH0dUQ3XGR`ipVHu8N2*bfinbOs9^lJH;o#LSs(t zns3AHl~8ihu`Ij|r0@qx^=bEm4Fp33jq%@e^{oD_-kB>@dKg6sJshG@+FfE|5i9Rp zP5Eda5*lmTN!nFn2)J#1olS{(cZW&a5AKuaggU+)+i~lBAvZCmL0AOfq1`ZEMY0km zm#?hq1;+D(R1}Kdw{L@)iznD@LkwiTm;h9E904lVttJC*U9J{^NLag13qAS3lOpEl zXN$D`74GPvLtJUvLKZT-!pwJ2-%d_QUYW9-J)F$xZ#F}!0u0n7D;Xpe&uq16)0?pDDXl zxJ?kEcSc%sZ;}4x($xLR80;!=b6h}Hlh(=k+d(=Ni}_TC zb#wF-NPB>b44ceordgE$K zB`PH(-_zAf!<7c&6p+-)*&Bv-8LCn~8%iNG@r9U*rfHl`eYrhgi}Z9h3l#0L5o9Vq z>)9CZLihK!p|Tw=BEPRHG~}OKk~z;hMR=V9dhe&&fZKjfd5hcbT&&c7uAw6giaPk* zizX(;zbCi18j?9hG?#)MCX3K|iD&DM{(HV|fuVnvfbqBdNgMalVu@ro@N>#^d8&4j zZ3AZBRv`u&d^WMQ&u8oOqR$Jn0foGOMpg9N<1Z%yWLZM zm4sOunC;(A?K866v46&HM~PbJtwU;5@km_yVSl1cZjAqKo>Wp}bV4`Dyrga8H^n4> zxQP0KD!*Z?&dBFx_nsQPY`4%dy?85SkcG)WT_EJE%(e_j+zz;u`t4lT8(I-Yk=*|K zck$w4solB2b{20lq8iB5q=YqKdIW0MbV85cP>8qXk{o1KjT0WqXf2G9!zA}Dh3v+7 zDUa&#YeAd@A>*B9HiLM!PhKxW@ol2xoxJMJd~#sC*B44sJ>0mhvl3Q+4Mqzqzhn(a zS$)`2`tr#pFV4)VCAFTs+G!i(4!_u43*DYseT>*}-HO9aQ9|l9Q(~sq3mnWPzme$g z4Vypk*^jQ@?@#ERSkk$7JWpDIDH>yGsJANDg(w@L8SOP3Xi!o$+s%`|I~MFwLNGE# zo^PY)ccFTY=3PH{s5nBr{n4EicbHbDX}5%d+2P@Kf;jEZdbLpu;7YqEo=f`sdthnibuw%hv91-P7}K z87s>Nc+ETZJ>1v7gZNJU9f%+UyVZ_$>Y$myJw`;2e5<*WF|Mw=69?8ie9nrF^s%Oi zFPg7ohW9Z};@5oN&VxQOemxNnAR_G_UK~XxW*%fFdU;csvGXT#yfY@)^zfT2k#%wd ztyp-fpXt_DymxiuaiVk&>st-0hnN`|pVVM%Y;>>V&XGuGjAy5m!#%TEjT9BnWhh?= zGWj<>)4Pe(z~rEgdijM!TI*a4wTW6ecPoc`%O*Nuz@l$mbAelGAnM|%ztSj!QvvpN zl=+D|UMvqG?N`fiiG(W2cWQFvz1r8`3QtMAP)_cbQmqG?oMO=+imK!9h=PxI(=rqcD?=(>y6w4vs?(nPFzO`OV!6{ zQ~vGa-PC%SZC&=)pq>KWaIQv~jAp|f68gR?6T;rLtO<^_z_W5eI+x(g*Q~efp`PvX zff~0~!%?0d=^uy>sqD#q*8NnzExfKK^tm^c1GU+OH;j>m#S0w^u6*dpuzNBx_B!2e z7aMt3E5Cazv9mVaq)D#T-2?T6N-$25<@)&HUjJdYbzVS-u<09YiQ@BR4rRmIu+n|b z0S_hFbn~~>Qq;*Jp*SJ$;kIokjXKIxj~9ITUS+&1(42C6%tg5>HdZ4l*Y^}wlrv8S zm_6qyy($d&+{XV?mB^>d!pn{nJZ^>bZ?L|m z8I%2{P3x}j=+Km+llmZx z8~Zn`yNYfzsd9%l4K+=j@qx&P$rq7Bgi2_g6plfKj9sG`q0K`oq)k;3jHgQo(A<){rT;Jb;CRL61% zxrgMTD5E>=Dtyd|&cVj@>O#RaUz^S_r@9zL!)TTiEhf}-xa=Q&h9S5pe!_Q>X%IE- zs*FezE2aEF@nZ5)g=4et6PZcORtyT#TjFOrY+7_*VUZ}ECV!X|3}l*-EcfhTxWIco z{Hc{ifqp(S(RyW#@^co}%Gv9_SCnaGPLPw#kwaPqG5XLyOD<7CohDHlZkhqcS`>yj zR-*dh-5fb2UkR*wZ>2glq_7QH3d%p`Xd~wfFd<*f6vqWiOd5*T9pxm{ZiXQ7wNsyDV(7AiyUu3rkKuR#NWCWAPF&bSxBxNHXAS(;!Hcu6$i5fGQxteOkN%MjKW zn0)V}p-Cs2oVl=+`;4W{_XXA>)PfEUQx^ErkSV~<7uxK>FuHbe|9xEY6p*u?+gh6&;s>0L)m?W6pF9 z%sEJi!vmM-KaLCh=~KyXThM= z`tE{)b%lh~zF$&T(9>j5=!r@8>IRJzA?;-a;z z<6C}vBX*vq#9@8I1kyYVG()x#pNC?I=R% zYeyGDOy5LQdFdULN{XqN?QJLx*MvaheeB2J>W&^AM}NBbAab8RVGWGXMj2s^PE3p2%{>Jy?M4rco^47%Yv+%e>B_b{=|Mem{~%fQMVQ3pCM9cq{S%GYp#bMMYdGo>T zoIxN{AV&vZt%p!+g&09qfTKbPsG_A-^XM)M+b9*t0NLPaqqt0{w|vlSb94?2;!ULy?lmz ztwF4W)Qxv39qCZ<11kb|rgoqoY3%Yq>t-kOp?06mc}d_I-Yv15NogNdLTK9kA%;zw z(?iliH`X;*HIL$DvM9sE{Wa7#FEQ2aov)jaP|~77yaZ)+iuC3SDY2X1t>|**UfRGb z>jL`{z(O;Gpp8aEq|@Vq0I#>9zdU@P{@=MG6g7`N-QVHvd;reCBa7A4Q6<%3K``}z zCFM9r_2e+Bj)`C6XlZK6tO$6a$(~GSIHWg~jYX@@_7#MZ)FMFvjs*?obUzx=x|ztw zyAVWZ3u@u?UW_7P2#p2~jU-tE14|^zf~=gOGaN!0dd=_0Du#uF6v`BY$4uGoN+j;E z$Zn)!rniCJ5|Lfa_pzri(=I0^roX+P$$5jUr^Wo z%COsQE?eus$jus?z_sfcOCboDHna{hXaNlu$%6kc_pTiNBNi}JQR;;;_Dl|BA^XZs zY)|?4dbFMKT-O+NcJFF^bg)nM$1xPE6bmA(vT-mfcl(!7;1JsusXJp45n1Q#T|_~q zgV23!(?4plR^?Qw|F}DO%yP=}tCbuE<>U=*4t}d=6Nam?=LmULv2Vr338{w%W~)(vI3N-+xwKMrVuLZCIbIMYRUyV z4vj3I~?OiN3FL`_l%jvmQTlIU67#Lr6Ok7T)+LSU1-aQ z-PGl0cf344iBeMmjB1#CwrRqJ)O(CVn=d7@A!d|MI3<^i%Cja%;~5j=o{viH(&OgO zo%{_`)q>fV&b8IC0lI3x%t?6R6$rd<#*Po%loKB~q$VYtM`%5Az|G`NS5lNB4t1gZk~Z3P z`km3__mXRp?=}NLKkrRjk*2jnmE|sSe*>Sa;aJ_$#c_$v@*5+$^pnh^{!xG6tAM^a zNu=rKXH`hiH;nNZb^R)8t0e6d@6*iOszupqo*Y{~8^@=Q|9IY+X#DJAN80m!m|H1j zTI4V6XHkpC8F%mRU8iTe@+G(xU+~>YrPPBK+?#I6=qMr1WI-^JG>nDN@)uA6L_dvN z`%Y4_^0;8Rj+Z_-yIKuw8L_~Wrj);=zN1!b-f!y8H=C)TVYU8Q*u<&L>%})$5qtO! zO&MKfD9jC24Ut)8M%cRo1AbK3Km%MoQ5zuFQO)0HW%j+D$qiMNT^laQVu+iXHIohKZAW{(0p2c+i5WF9e z*bFu*nQ12hhbki+iF}M^nvLkku4Gamb7q3*muYT~CQ(;dnHFu}x*NZv?$YuZKf{m| zJOYDe#5yc|SNv`*`2Ym-d7WttFgsj2G}Llmew!g|lqR)xhQoRMq@SD6CWsR|EdunMPSVeA zDo1l`H1L&fDCMnT?8>JPHE!c>Wwc{&-Q$6zdi-l`5#Rl5@n#B+|goTm5T z#36Xb8_hD*(S+K>jF+q+XJeU_zBnZf|J*d;YVuN6h1UTV7GMb}oLgj`%$%=9^C7?_ zD(INuiCikzse*M*I(3Xq0Ihy^=}^I?P-5{@vnfl1DNrL1%kGh)rgP!uMaSLyg^1sZ zR0F5tjTl-E#l|`K&k55$zW=K8IUYLZhwOZ9p=3?_HLqI57)z1N)K^vGdNqkniVftD z8b?5vO`Fq2=2K>`fJo8h$$MWm9KWwuhVY}g&>%Yelhq!^4mRR5{-JAVV29zxx(@Ds zJbyYdW7eC{X=KO;SZIHC2Bj971SbW3d~I^>QtH5m9MwN#xdgN<5gebh4I6ld9PM=n zH!{_=*gFD#&Azs^j54m8@TItl-%HAUDa$1KLaNVVZ?oD(65~Zcvy&<;PQ!|nS>%P* zkJ3+7j5!hET6&rNZ63C^TbT^B0n{A!Jnp&^Fi2 zoPMg)aixLPQH_SZPa4~M?`zj^zJzn&guL8JaZIk0I6B0>yHeTy87f6N@jXWC+#<%$ z42RZ7`DsOX^DgBf|CD&sHxBKLIfCtWrM{G;`10#BBW&42NWqYFR|DA*9^_);rZ2}& zi}(BZCr30xJqrU?XG)vrOF9d`tnIF;^9_Fw5qNq9uipsn6~1UvhB~!5Y=@8Lh+R4t zzN;K4W(xnydsH-$y(H1QJG3oBwY(Kaz%@x$4*MRdPl@ur675I%fm1XmKUI)JCG#ev zWiZFmVSZZr_sdHaDkBd;UT3NsqP1Yx+k>8%ByY;oX9CbS`HO5rcTz;#{gkoZit%QY zALbsc$kjm8GI1BpSo;l|(c1?;e~R)^o=Aw*9M_M2&`-_CY)92Ph=?W2`1p~BjjM($ zo)DTAAH`eS$@0G@*u25F z7&S^B1l3Gy1{J&YT7xz8ZKr*T^z{V6UxR4+uxCtrMZlCZeJf(Zd5$c8#{gz2-9|En zbA8^{*BmvPJm+LCwx{}GF0_l^h?Gbu!TuR+_klJ-@v2o!4Qo)be*m3(42&vCb`ScJ zR?n-V3Q6DY3V)V(lEXeb!93}&$wV%Us2|aeL)h7+rjfb zA)delm(~88E@%?0d}c3yJ3N~5d{6=AGZ@y)mP=dNVTTQoV>Bb))tCwSl_cd*nr=j| zeA};8;6f+d5rY=hpI?APhh%4m$+&9SwWX@}QA3WvE$rBM1MR2RchD&HlEw`2YSMya zX}yU+ZDda|g@Yg!&CR8AiqO`+La!n0`mP##u@Wy*L#mtVXxQ5e@p`;Etb~JLI*F27 zLgPHgw{3nmNt>RduXl;&7jxF3irMok50g1%vAg^&|El0f%KLkPCC@ghgUdDp-RYl= zR0U!v&0NEZ!fZwkmsaD~)=jh_RC5bspuvz1p{Yu4b@wa`@o~)Wqse=%P=%S>_E6?+ z&tmOTHH*#m=;$SzkJIrGIupOkebK2aJedB-(-g}4i-)U>hnn-NJ0d!UszL#2PamN2 z?;aN<>t8t~5lCt0bUHs7mbxASwT^lUm?YaU)qEkCDW1k3kIK1o?c4u+CbcpJRw+k= zL9@D1)LL=-;D00yMka4;XxkV5ix>iOWrk68T0qSknmoE8LKbV*enQFI=K-2j76u*Q zrRLVr&g*5?=P$cXl_bH>-On5XJM*!x^K&YPMg>#OBZTNl(OzN(xbLoASex=(6P1Ab zGUCGo^!X{=(R98xj&tKST0|W9EcP&B3%Z*Nhd@z8cFV`@-wy)w5+nq#5<>@tkUs;j zM_s36gY!-%r8IZ-y#%<`G*R_wyTao5U@cF{1^4!}^dV+~TwYTXr(3gP3np(xjb=FM z4%pLdc2s;%EhExK7X91Kpfuqb^;)-O`^6Mw_u!>U*bdbH7h~omFjgSS+o~pOe1BeL z{#@U00PpVXO*?O?;Sj2!)!F4;ihbEt2EN4qq3Nu{qIkc*uLx2iAuP>`bc2+@0!m7E zccY?oN=PgSEG*q2-O@;RhjdGKH!QHgGkm_k=Rdf1uDR!)IdkrFpZDvWxhT7HEN+t* zJ>50qLY`iWQmCAG)H+2YwgcMY-Dj>|OF_Odr=IVc9_RFjE2Fz2MGPYLLZTnK@)}<^ zy}S8HoB-e$3f0ag4;Kh8s@+gu*AcYLKv94i&9Po&SqNVI?CJ{8Pb5EL|c`$&#&hlgO zvI^b2uJkyd<*Ybj*41@7Z}Tg490?qrUkhR7q{nUs;&SwE^Oc^nN-{;G@2FB~5qP2K zeA6|?f%Y&q>=&j-oU;s0`Obir`OK_LDXRM!jg17APyaKTIiY1#hdqsPtkg$AKMYOk zlt~t7gAa&Uy!SHr6DB=GI>s;lPu_29FFk3+2tK6YTx?|)WOR-qsxcnD-mSLu#b2PA zdrfMB9Tz5jdyOF|Gga2u#Y^YW_bjXO*o;0&=ck%gc@}QXWw~s;O_IY_1M~{G{!@b> zWisT=D>lP-9wsYV*Gc%>rNDHMg4iv^cfeD- zY0j1lN%rh3D*||J^PrvlT5jPF5aqguPp%UZ#4rWM$L8sF&36(`gh@# zJ5C?tX=^?!azCD0(F-?RWM;0%Q>gM&NLs54@F0fYS^H0R*-L^*V$qhsH@%U1ItWr+ zSXuQM28^Er5=T=O6&ANIZ=hp5LE<)8OoL?szg$9nKL4!F%~)TMGwrXn4IvZ_%zG%0 zk|Nkj;Z-)$psh_CO(?v6unVwpB%B+%H1#@UQNj5?;| z9Wp0f1N0Fhv;=Mw)Y8Mg6Oqf?zBcboDeJz-o}bcln$j)iu4`NtYO(HkUf4y(maEIiV3VIuao2#%&6PF0N(}+Xzu*Ty0u( zj;y_TDg4AV&AkdN4v+maX}km|*g;f9$&8-!b*N`CYfA3h1~wa6G&1z~9?$11;q8Si z{pPyW=5JDVUK*NI6Iq;2@?y9e`tBWm!J z>(8s!0W>1E>erIrmyjzlHnDI+ZGPr%xzYpOE9WD!X1|w0d5IGQ`^v#j)GC*F}~ENhq?J zO!o4Ae-CK=nV|DXhsQMTaQCR3Q~UBHa<(WpkQPX4A%CT<{&mtm+kk6S$Mjb!8Jz3q zTXj9=di%}9f$TQf&c{L@g4pDUL(3s8nF>oLr|p0EM&=B@Re!ZxH;>N#2e~`L}N(I zx`QCK4dj?0$f~Jd+Em`17_#d&eMPy=k5Cm71~qz1s`>x}9H+OGmMGi(a+2hxT;+sXs*4BHI|Nanzg{@5TRixt<=k&l;> zy>)`p#H=^oOOjNc#ZkG(STO|5%78Zz|I@jgRr64fm#?pL982Q4&)T;Q`(E{6iOPy# zr}9jCS)GawX0hSg{2nW>78n0CR?KghuS@N?Pnf;;wcf}50`_(CKaHQW2njrDn9SL% zOQ|A;WSX`Ai47=v_lIvkdD~0yr7(1`f{wz`u_|3WF1C6mH=??PT24s<;z6AuZ8f{D zPznPB{Ebt(GWwql4eBoK88$&*TK``DmV~n)wlthT?(gBE8+(!Ey=$8kpm21NdqO2= z$c?e@<6SF=n1zw3lh@^Xx>rVzW~_cM8yLz8jWw-#3bAOO_abf2Y9n%n9>}?>&o+4=rzS}jfUL@ zk56uSSYeZc%fXe8P65lnjF-E62#;Xt^}q{aSbZ8mZ#dOd4}rA4)f!LOQ5$F+uGu!7vF5*8mzbV zg!sUS!6YmqZk0(=M-<+W#@u#R?vOHV{fRI(*S_vg-v~_n(RlfT%Zv%^8-ID$nbApg zr-I~J6iduAdORH;S0wM^&R(}`U+8O}C(Q7MtlI6Zg$kUB&WOJiNza7(L-f^tdkH^m zTs-d%34u+MYv=R7yN~IJBO)SYIC$m%o<>@Q!b_Q@%jhanD~ZH$t8MK{UyVw+c2dLh zY$D}HQ5kQj9wi5+}2yn|IY-RXm~pl-11F;*`fd0M^tN4LEYu>FemXlF&>vBTmPU?oaWIUbMFO z%K>eTn}?D(*M9ovuj60TeEsGZ760lp?mqIgDdzL8X%aepKci@z;YPo1?bz^>OuX?% zYp-b2a>3N4`un6Jek`TX$({W7GPDi0h7dh@Z}KB8DQx8y^4<2hnN1OAflkn3^B1S( zIB%ZiL#e*eU1=d!owaI_+#K6D;z5$LDlS7#v%abm%g?51eBFZ)m4abbpYVP3?ZMe+ z?lQw`bq1m3Q51($nF|!36^Y4<`mPU5h=o$G@^@Yckx)|!BX+6NFK6%tk}Y4?+vGOR z+UACHw@g4q1{$~hLl{ml&fbjgPFdL#CNml4bvGq4RWP%gLHRSAncWFKg?D1vxdNk(-5cWWpt~B7%q=Jq2D( zkylj4dh>TjMfGp(Nt`BvDa`j7$-gmX#RsS^K^WqQ?^OL8#+I`JSy|0wUc_ptdOE6m zWIHIac@0xqBuHYxzkFqgPIs0(PD7TgTVXytK|kS;%=q z@9X|Q;sc7V_+q1b0q;hv&yuv@SI+MEhQ+G&!Oj?QlVXnKgs6&| ze+t3)&D}g09$vizn}UuVpe+Hq+q&UDL!5Gb+Wl9%Vug*Yzz!DqBzynYFcL$zyW{>w zeIjmSB_?oVSZ0f7mT5lQI$_F<9{qPN{HF$kU5HjG!S(fA%lo3mUfDi7*+U1G#iZ?0 zkD4I~MfMd{BpB=?dzFnFa)3BNz7rr=9_ss(9lDhEHDXqMyy7+A)lTg1a2pO`hY~*? z#TVZ`*Xxe^iv(oVd*a0Xc9?j%^2b|zcHdxCZIz&TQ1;!l(A#N@`qkrG#kbkC`Qr5Dp&F{<{RCftd1bodwz1|XYKN^rc*{Ww8AxwDrL(u2_4e!W8XjXiF zoS9N#5XYt!ZcYzwwbku~vFO9jbA<*_r?@Hh;3)D&iEntSH|tsp)suCm^{kdp;>?Ep z$U3e2{Yn#JW8<3Px2>p0FqU>1w;j$&q_SCH7Fdc94J3-^DUJI`4f z-tp(U2v@eB!i)7%WcwY#c?HGMwpo;jptNmoyP)XEwj#YJRqJA_c%7d_-j9uXmtSbY~6w(}A zBOLXzl0-eDcjSmD<EpKU0CYnkizT&u2h4~&1y2ysxtU-nk? z#Jo{ScXW#Iv3mh>g|_n&>QE48Rac2 z7kpR?kOHvEV+b4L9$d8RnLJ#?djGB&w>^t8wQQUFUKO^#fNwOZwZ;~~j}up){*Yk) zBc@5f1LHO+j52}{?Lr#&+DhAuT&Vl%Fp7gjR)M0alUK>J#T-!QVBMO+Yi?M((`!nk zmgJ$2=@QeL^5uqimf{8%&nktveg)Q0o+CgpxxxP;@)^_Vd{nCaC;s9Nl?gw#? z&4?-x)SRf#ZcR%%o^D?Qu=>2?Zy@YmkoHP*x3d$HW-vctNj@TlR)nLcWg2f-Lo9uZ zL;j$hUe(6(+X{L6kTSioZVTGord~QMG^b-u{`M+XnZzBrgOm1gee?ybq*~fAC<+5~ zU#;thesz-Pi|=d0OKv()&UXGM8=j}FH~wDks6Iz{-HjPIQ|KB~ z{$m{N#!P#lCF6~LW`s-U!fKHFvnI_4zU zEqydJBnP5zXaHI1%=%+XT_uSc5LFeO3W5>Q>?=zLX95q-|IQcwNJgCF{|uaI(JiNx^f0~n0vNF{#Dp$iydU`Kdpoc9X>HVbu;E=wSMD(vmKL5^3^+j%^WnD)(<)0VTD;6mOvm*iVFI2CJf1~0?xjt@+CHsLo z9nP+|NsywH-)tv@Q0AzY2Bqq{x*DpWP{?10?pI52mN9r~yQ~~mX;X-m)&F4oIABl0 za{~$$G4$5(lRd!qnhhZg!)2ZiQ0kYFRZNa21?m!p-!x$k!y`pKj7PQH&v$NkzO6f* z6J#l6qxB{Km02MekS$D*^jiT0SDppdXeqD)M)aqEv=lF=cE8e*Rt$783S#qeejVUN z3*|Z2Ho;6|JkmYQi1#Fp#TPFvhjRGaPl>ZcIS)3l8G7N!lAJ|M z-~~C5U*xIo5V`q@4KA%^##D-}+|H@oewDhtoW<7)Y`hWgZYhR`08#-B%);?lDRW$Y zL%O;<+5qBrLWy_JFBanDq_hTJPhhiGbf@K0br2)TSe&`O_2TAO*@ES zTlCY(1_PxKJ$9Izd(!Fd$FX>xQ1_*{Wo>7Ghyxcfl=lHQt>ids7j7ta9IGG-AL9p- z3PK-z6qR5pj*QI}kYtP4vUR!Nd8#{kn|0jRlc za?dH8>ZkDSf!aRp*0GiQ2hz6GlWn&2hw!mjD{egdlaauz14ypUXvhiki}4p#8+15O zqLP{Ay@0q$ZA&W^?a_Yl4T(;ho{1z&_r^A8eH*UpZ}VM1H)~lyMD&5{T`<+3C{Sap zb=VkrNA#u!8%H-|adBtNs{q#4a(*J5;<8RbBZjJ_fD4$FnFXECj(>{N#eOFrcFSMl zkVE1u^t31(wa{2P%1$2K=+~4ObwXj3l5_wdp?D#mIE9`3JNF&*d*=1pDxfZz!EU2U^=l0oWQrJp8!BXU0O-) zbFL8X6WGeu0$4a^=2=&?;l}6sa59$T*0nB9 z+9P#Kap`&W;k6gI^AM0nN+eUNDt|$ImYI_8EcHW;hwrYz7Zhm&Lu_$wPBeN6qftP# zXmpT}jGiWO^k|V?_}%>w7%@97CZYYQaJ{kIC{Ru5G66v`UI_Ee z4)6N13g5`LsM&PJZkw()+gb09iZ@S{hhTG4eZ#aoLm@ItqjFonK1p}p*LgF`xw&%^ zFETdyyK;HW!v)(J8y8B$VN0l$Q&0N|}V>-HmI+Jc9 zm1Qv_an7F99}2y9u!8LVOoh$c;)@TVYSP89-dS>lx<{bLj75uImKa&pIEB@tqQJLRBiM}vLUfGf z3i4WQ4t!Tz_V!qP~NxIpFmR=3%SPiaB91&EmB*O|CWajQ{4t#*rTp zF)Nmyfr*A=aHs*T@2-uUtdffD<$Lx^gNH9)wi><15~KsSB{-x%m8YqkVSRf~o5gVj zeyeHv8uH31%+g)sK$zZnx+h%j`4VjU_O<)aB7Nv{Q7mcV@mMR<9uR}Su53&TH7Rg?=>(J+*HuK;4cr5Jr>^i7=z z2UO45b#zmPp*66#geqJX;#0h*y4W}to%Zi!?<`VRYJzLczd!t)%&x|nm}+;MV`+(T zwevrfB#Uuz-kC)uRSHEoulFV10+AqC+|*g?OeZc8Y*(2wXwQNZ`d5|gGi9zQ_L;8g z>i6R>Y8f%!KPRf+tri5FksDHG3w52BVSC4DnSP3DLBBjf%Gt(MNyS!7$zvtCby`4c z4j@fcBsvmbqUN@Gdd6sQ-1Ga0Q`pC0S$u{M5h0P$3%(@ zi*-N3(}bQbWfsWU99p`LjQxJy8dOeC-v76TmSrG@J&x&9OVU4g*ytFNmcd|8c`-%s z1CD+Ey#8sz7*!@|`0+Ej6s@uGe8ci(;n#+vc`e1K?Z*9tjvQcv73AOZv_X(^vSY_T zI{uQE<;Uovnfz3L>|f}2<UVfT#84h9LCIb2D=Aag=rax*u^eGqqWw?k=TF#b zl4B?$w(5^JSoR0T5q~q%xOQXX$no;O5e)aW#mB{=1ZT_od}|-`MwAy^So4A9N}=+0Ir=@nm3PH5>}&OSsaHzn3~SJ_u2*2k9K1 zl~KgrCD1z}0<|Icy}vSF^`!@&5$4)}%bFfy|D8wJtNBrp*#j%dv^JYP>-46DtRPY_ z9`m#R+D5<~&rwiZo+!Jq6<_1K;)ZwMHn<{pqeZAeM$%`o!qhe6;N9opPs`K~x3eNd zmT8uFCBJideC`~T`?>Mb)9*2N0RQR#TllvL*(It%%nsy6yBPjAJuXEMHdPO9lJLT} z5vhM{ERlJnVPk)OOhjb#_t z)Uk8m8LDZ3gRD`58|3MeJlVC)1=<{fre9?HYMo}tgT$N+xZchv8X| z=}~A#8a{a?jf>jo!sWo8(&c#`!C~%i5}(Sv&=Eg)a_dPN+I%NE`SMT(E$3)dQhkS# zGGckz)Z(s&>8YDj8~wM>xJ1k?;Y(X`Cv8{t5^UW@zv#nRDo~xvdV!`8?oom>>x*tt zWS8~#1;nvWsD^qv91Ege$H;@tLQPAwZX*xBhFYAgkROw1hI3-K%r z3;BYatGg)N`NRt>iKE6Mp0oT!7sY_`+omO89W9w<={u_PpKi?wi2TfpnAkASIKhMs z^=GdNrQzi{z8_HFULrxvKh4zLjd^OQh^RbRR1U!P%ccOh7=}MEL*a7Ly%%Y?JcN1H+Z*W_-OP4yeyiW^v?B6~LiZg${@R8OJ|5&{> zUU5A+ZZJp0re+nE9XD*BF}HCn*S>iK8XlF>#}aY_2vV$WmZCGscgOe;+naUezePBc7?ZJ{U(%~O&Xo}!%rYSITrVeVO6pmQF{j`6muHkZD z07(7)mQR1@&7TIAa7z(>Rg^;GJG;n>MX!xq7XN}V9YZ1qT9y(!;_zC3>OG-Rd;4ZM z6cv#SROUPx8v`|w>u7?OgA^BdH|FA>9vZwyVz5^n`1Lr|=5{ikK51F4!G4J9GvsCS ze+TH!e`dyIbM-vth98NHF6qoa?fv~IYo=|FiXTu~0CZR&@g?*|l~P30H`yq%xvhgr zUXbXKk#HSb^R_ICa!kZ8&x+65Y$QWC+jS&+h&~w;s#3PKa8+n2#O?z&caZEvHabqI zaRF@6my0bE*Qvc~Z;=HuBVNew;qB>LSkxT^@hBc(z4o@Wk#-<3-`wX;{0ggshb#1rQ?OpFi-)u3X}o?y0=(N`+S;-&@_$ayee7AsLW>_IZY4M=XzQ| znRS|71xoyw+f23Hw@zu7hs_U?vzNwEKVDx!Ji?}6(zm1BaW{3(XRk-SMOrErNJfMXP{K(7)Z^jXhS52N7scz)wVep!2* zs{51Y3O9R7>PJ8emuJfB_k9C@i`?a`o_-W|iP{;V93(Wvz-|@OV3*YTWtX0+#ZerW zOGrgQ=WeL;gb(xsD35{TY09YuFhf(4opfFnxn;k%=(JZ*jg0Ct;tBXgS0j^S)Q6pf z#bUz*4NBka>2KH~?0~bd0%jJjAn5U{O}a$oTwT&)26$}|zq&UZqx7Bcl7$N)?K;#7 zTvRLdy-@N#il(ydHH~e_XX^Km;tt+b>Cy-jiIu}($ZJ5_{ZYlqjrCW7p;WLmiY2xSTx}_{Ip0W_UnZ91 zaB@5@$^I{G18_%6UE*u9X<8uf;)qS)MP2EU{e;Dl!;}%TiSKP|DE&fI6H~9FE#$wh zCgb>@O~47dYZ|9X1*-pfk}8zWMbDqaHAs~_7vad6za6C!$J|m{^wAj`ylPOQv6)j9Tc(->WI}?#EV*2U+ol;K8~EPt-LK$g%W=3#_`DA1 z-J{;X$hDCZt-6NX8rl}vbDVX6nVfS50@?PP6Q#s`jd4eoN9W20^7eRJ)tFhJ&x!;Y z+{wrPA`y;Ud7RklFiT1wP`3{_&i|nsaH4UNEh|=v59h#WDqeL!^9ripi! z2a2ALfKBlID$b;Ew#o2OrkUn9Y ze5`p_FN-yd6xjSTV-oR{ieB)SQWtx@lL1;#2;&}i`N6Ma%+*W|)l7?1x&v^Oj=O+_ z@09Qi&|c*FY36bEf?{k-$1 z8R&Z*(y(6xj{4Ib zz&LD%b6JtCby0v6q3%DJhjUF2!U6X&?mlD+pFBkJY`%|$WJ699sKYd<+*gc!*OY93 z4Xh@A|7ji4{Wh7vEJq0F;9`W(-UzpkCkSPy14s1Z&u4)NS?py0jTM{Q6Mwb!pwY3y z9ku%pd#S*N3zW1}G|qJ;9E1hq^qE(37A=+ijXMTbZ>vAWg1v5cf#o-0`hUKZv*v4^ zLesU^bSxE3M>X?+f0o1#rbq9Sf0~&Lg~_mzL^lF8T2H#M{9p~=6I`y!iv2pYKa3dN z^lH&*;+Z!#wu`xUJyX8cvYlcLGSYfbfwS?b!WsO*E+69pY5IMQa*XimaUYa&L_u}B|=V)j9T;H}$RybGDf1OHj z$8kH?Y^1oU%*CP^uv+aBGgGOP^3X9{k2Nj@# zb}ksC*#pXz!P?#;zKe|gp5I`JPjzyVS%cy3al_pX1ur8!DEC^^MjRW$TLNpR>~kbk z+mea>Ns8E4t6$d>W;f5mt*RwkF3w%Pu~EquSLHjXXsI4*IF`V5UW zyE!hd_{vh^UlUWE4X-q_>CX4#8VldNmK(Dj4%5CyCtY0-FU0HT)B-^5(kNQH9C`f71sDXL}1_;B9kY>o2Qsq0vn}#*<^9aDxX=JG&Fhs&q3i~bLw3&nbc35 zN`L!`4`pIR6BxoQN_c;n#nAC^%r4fl6)Q{VD zMQ;;mY5q~UYySy~jqULxbqWni7S&D>BhoTx8qk;$zNPX{jm+R_)$F&eLt~>)d$#9& z>~SGjHI0r@9>@oQe3VANmLm_PTn>JzY+&X2=KA>|FYgt{5rWozSv)x*VfahW_88a7 zl_K+oD9rUrMWHHoXzjO2^OKist!*5kU6VJJ^9<4R?*AeSUazT9B+T6dC3Hl;x&~vJ zR*>0M+fP~ZblhJo*7nA(rdDcH`LmlsibeKsJxj~ zNzP7dE6e1KL&lwrf#bWT2V-GOL*+Sjr$4Um==VY_pUOp_NOP5{xJF>8 zd2XYB!&=lOBP6!yv) z@~OqPE8;mypE=~tQ64xlCORrj{Ekw$lQc@SEd@SXYB15FeriVEAT9IrwF!22Wv8lZck5h#CQo6w{MjM1r>93J_at{?X~+ny z>nQQY?vQZ!8|Evs^2Hc~O6mkp-Ps<(<_c`ya*CVJ8=Z)YAO)O-{&N(DhXV31|v*!`TSBp?TLOvV3wtxn!nlSKUZ?%w(E< zn+!&4_G~UyWJ%|8;gd4V6=D?ZPvzv0YMW>6O{I)L&@trnEXNOH^qAgl{`H_D8K)^+ zxO`St7W~T-N7wlBjQH~`685%I<#cTJ!^ut@L(nkedUuj-3?<$J-5Ap?ssD`kf)3-; z3v2K0<8=i|llrap*|~K_LB0AgRW1nH(beM^ah4a7F3;w;%re;9hOZ7BRE%gdBmNR8 z4d21+=QyZ!?j-W7oDZUY^wh;Ab)x&uivDXAWIT!KzrG@ceq~dR&+%V%p*bo{SHTJ= z*srKpRyyTsR1X$0Q+_^@En}AaZ`ci17Og<9t#be^>`yl`nGUJv-m_XHN~^uNZZ+PYv2_{c$5AkVfwWxhu$R}nfgg2tBlTz`uWB;$N;hbo6dYZ z;*)D__}k>~8VfV!I~?7|*oWQQ=(C)Z>Tl72L)V)fny^9&Il!LkzO!lk<5f`H zX8t*KlHZ$Hdg=cHe9V?`;Y7%mjupR$wOSxUWdl!@_b5i#RD{b1L&Wp*c5NYmZl zdqr&KW?BsMeqHRMoJiuGS)WfuUMu+p>Lume*@{JZCi`2TTfJ%bSeOgsqW)~7(SJ3| zbM^ux)zS}}Vx?YML~9t)@`x#{Vj0?sN36}YZ)?@gs)`Md@B1I^Xp!E%KK=vDp|?13m=We(%#f`2G1~!2>OaZ> zjAF{jlIIDGl3Y8Q#8PWRdPWKz#SN$*?isWeZfGzi%ODTRivL5G z^{nKJ!XzhUrfMHGY6g@mfyuFOHTUU99i>6#-j3 z>Hmr&B_g7hwA>&nRet=lf;)>hG^V)anAAVgc1@~b$F-vF&eU}MHk1qL&Bb-|AuQk} z^Q(@t@bj@*?OHm8zFXMt&kr6uoY#$GV;I17!_+@#J(^?^i4m&7m|T$b{EXHelmzBk z+}Ws?YaXA>C*{s{`t{tys0z2#?WClU5WLrRn}Zsdc- zjhAoBpC*dUOJS+vpDqkw>*6=OtQCBnCB3WIV7pa4CS~soe}b%;H3gGMGPL$tQShF4 z4Dg+a(1Ri$u5RKvuFv8n!1Wf5x@<5C1=>^|KVLMt6UR4viuA{AH?-)26uM7}ELQHr ztgwj|LSD+VRKWgP5!%SJyI@tC_TFfubIqXHy4U9QbM6h3z`RFfHW1pknf9gu$m6}o3XZ^V;oNi;gB_Ao-0*OisU zp>*K@UQmzXsgCx*5^M#t7ex5E}e(5$Lkt+=mU5dQ-NB2}Yazg(}if(VLatFAbJZx(qS z3qNR^%lR&HQpS*Uoxvq*2%6H+Tz)hes?qUQS@__;O`tnZCv}RuB_b+ zluvIKvCfT^CgQH1_kD6@r{|3x)({(iXa@WX6YKAs_oTj;TwhUOJ0{zkv7N2GG;YMNqFz=a@6Ls(~Mu4~W zKo(%VZa3x2AQe?fD~ACpa5@*p-Rgx67c4#xjQus3ILBx^Kjb(PB?s>YjS%hdSEsH0 zIZJ@I4|iam5%qZ}@ca9wK>oW%DSv8qXtP}^8%aYJcxpAj_|udCW7pNW*$P{^F_(1IMcdIc3YaeP}_YS^C?T zhjuqZ*`~uY^k{W|Ge4os{cuuFTyE5wdn)quu3tG~^+vhn+wAlzH%h)YG?tB{3fgCd z%HdPF9Jk!YmcebXCO7zu1)reUCPJ^!+%@#^6(Yw@c{@!p7#HHApa%VN9@3E1nrrOKQ`OOx$#GLY7t5(l zqF|@NZhbL0?4whO1^W1^aW$6d>{X`tBH)0}ft4tV(-5|;Tvy}YuL5$R#3WFujL_&L z{EJC!fzP3OA4GIMf~AaL4E>d(wkI~Vj^UQmUUDOHMKA9C`*NIXtLLfs3LwO8Jh@|+jWnI4kWH?#T_Xa6 z)wxo=25YwhZ6(XbzY7(ulnTGw#%oujEaBHO9ZCBgXiWwK^y-}7%+q}hwjP^4^((h$ z{#Ua+A=TeR>rWveQ0#vgFacfbQVBMm0NlBsu_sCBUju%t;5S!@m-S{XN8)DCfHO%r z#L8;3fW^zO$4`<9Ej|Hdv48#-($}%eUtoMi-uVw>xHAjYppNiPSMA@H;&Rq0Mz^ZCYf_{y_IGm~Wg(t{sfwM)-84v4anK$~-XlE-f- z_CSz?%4{38UJlOy#WJ^CJSdU#M@fWTkTJeOTL-25YzN-m6A`nrp<5tKVz2^@5<(WK zUmIP0RbEqlDe8CJaLRdwWpa4sL)TWlu7c4b=_k%>craT6#THJf%+0x>)!=8LeO@xt z(IHk?Ftd||=BGKnV%QEeG!yaUvYx;%r7&=bp4wu!;Mv{gP_0UJ4AC6F4lPV|dC?u! z!sxvU*8n~lFYJB4-m1ni%*M;6s)4R6j`QUScQJ>k|Kubl6&azRO`dlh-FUqq?w)J+ z`fnc+&nnK?Pxf^UnI=P4PaFi-zhs_dDBa6;nEIKnCQq2}K6sO&EeK`4jsIOn8oe~8 zBR?{O*?g-Frij|&K2nFKEMf5o+`flS0LEz5_q zOmjZJat=nk?5xBxas%b%je@~;E5q~klQDr!BTs=U!NKvAH(&-L)+(s<(?so{>G-7& z{(|YyJi{%9dYn-d_F~qg0<_&Pov5MwD|Dvv&;$-4%96!X&X9X7i_BMDpZ^rI{#Kh- za9Hp$ZFeh8cTEslzI)rVs(=VKnszykv={ToNm&1Rs18HWw{ER~1rxVB0|O10$_CWX#APl? zOK?SL;$^sR&FGcHF?b~$!SRmRtfzhW8@-P|oZ5^Ur}1+*QxXk%1eJIh0W~f+o|pA> zxO8}rjOKJfU=#Y-0MzPUlQL~nJX4$Y3GUA^*ScLJ-mjpu+R4$~np#DPmescbOESN| zix7s$Y(wy{R)5vEm4qhN*t^esv~d<1kxCjqVSnKfdUm2rF3@;t%{Y$z^-s8k=IQDu zj@GVJ7XFdkkdJjU8!uPZ>Jl~wZ#xrOd0H@4+OAEGZ&pcq$cldUIvc2+V|}D?9fohp z{~!oFDdE;gtj^#x=@-!t#q5V@%k_M|{!SPh?VbTSm^z{JPl!XFkGK(unAZ4xKH3@i zJD>e>_Qb?k{$S&SG2Sy5+`}vtYn|Yr92ZO1LkmG$6G7$4EyMVw_gHcx?OYy1C$quD z#i$&wvWrl!8%1^qU{bzlM?xmq)IU!EB?UmquF-8%pXdPpd6NRL$UW5 zY7X;SqItqk(?FuGl@jDABNdDF#y>|J_LM@S_S#<>$?imN5vNXUwB5;>J$D&gCVO_C zMwTwqgv$Eny?Bni_;=zttuixeBekNq?2v}ev2LQw*5JkOp50UprXg50bBYVWwXO9- z$*E+MvjHzm2SRkVhdk=AUDb2kFCm1AJu--maHha^^ny^@Ybn`calaX2d3p7VddSz9 zK?ApX5(hVFhD%eoMJ9oix-rz#V6tNUEB&7=HS3uM>eb>sH)Wn>}! zn++(|?!!I!;iTdBL4e1r{dD)Nj7z(3kcXReStV!6cY-}ZY}ZtgewBabeV6_z;5xN4 z;{9IZNxi5v5cBMT3?I5H9U-Rt;akXgFF6j*3u?7`R zTKLce3ei|8zP9bpmk+tKr53CO(RiI-jOFyvedc|lyUmu2TBdva%Wl2-dx!je?rqe` zz0j6d_wPdw-cmx$+NFF@L4_^%q48a{QU$3<#XU~i*C%qtT$75vNB6lcTS#sWW%XaT z%x6qa$K?K{o|)^6AH;K@)wHs1Q~+l+?!Hd0Z1 zCC08@-IJA8ywCv{kU`IAR8|D{TBd)B6LeR1vy72s#t{Ck_HlEAUgK49Y zD%;W0(^;|wk^~!f_y`)&lNkidYrEg%ZKZF7Ox#}J)4qLHIv=&~q3tSl1|_qF`Vm~I zjY3+!5oTX^etH?nyl`yP-O7F1dHr-w=E^7yY!D&g!TvVH5~E~wJgmL8c_fFpoupp0 zm4I{sZ^Ne_FYnF;{q!3Uc6@ME__#A7!?Q+CA(S#$Ts8RuKYc;33_Y@br92LebI?*D z??Sj9pXAE&uP|fS;$6IvZFzVba+Sd+|IgT6{MMor-|Q&vgjccqhX%M)7U$&<@*_&> zhx!(~&KZ&`AS54vg_YZG4knOt53*I8Ci;$+y3Ljtek!1Kh5vv)x70kvS&(1A0Q&m~ z&7aZ`?d~u5xLX#xmHb15n=JCgZq{?nbVW_#+p`dbxo>wH?-%{E9Qu?^5ai8|L1VPR zrI}~@PI0cGp zahJs%io3hJeEYoD_wVernK_wcCUYjqb)1xV;38E-0K1`_3${H>4sljDIx@)4(e;- zf5LfR`NPhx_-@E%A+_^bEAFf8Uc61LXpix#gqvMDA1C&==(cEc6@sr9BwELBt|&|Z z+h#oA(np2>@wfh-9{kV+uVWbUdqTu>+L8&RqpBgrFZpniNSgEE`-$=qAslUuW*trI z@hC^A23+ibJz));WjapGTaw>spK;A9?Fo}~jw%fMRL?wSiGuNtptE2u;YIv!G2M(a z6e0mMq>8(TzXy5R)=oi=P_Y;0^vtj4o;1$;l1Cv~r$wAqMT^qDy zEP$>p8iQWcQ=ZcINWnsiW2XFQQI~uCg0`fA8|#-o@q7Au%=H?ibj>%t9C09FD!}w;F!Qf#sSS^DAz$tWomVfGLcSqeH(dqh z+JTM)WQzh*-WpT%Z>Ia7qFDYn*d()nfF!4OI&#}Nr! z($x=#IP7=gG;hE9b7P)Tp=m62$&j>bcH3_^1hyu#B;kw+(5@Vy_NxYcn|M|_z)+rx z#f~{CUn1H#o1B0cu_i*(zn2pYjgW^E5+suffAWHuwu_y~8-h+3KQfXS5fGoAbJ%2A zWO?97=!hbt%}%C?4&fl><)HmOg6-uMm1 zE~tfX9XuD|BO&0VX~1td%rscpPxEno%i7P(gzUr}J=f=E;H2RS6;k6k;UGPL7COj( z!}O-pD?|UwzXZM4U#X}EY(*RIt?5|bIxyE43hB8#+@Y4=tM(bJuOC7f;m3m+Tjg6% zeY&j8bq$fb`fXpp(3GAQ#gg_G&aEVTM*I^ z4}I)ayKyIwZjl5w<)US28G$)>E6#bIB{;4m$TbdRoUoWyi=F%0g0}s>{_P{De z0tnirOjgfZ-y^2TH>{1AxTTf#bznb*NQVBBH1(Sbjt%BQtJ=N4))pIek%U8g{{pbz ztiEIP1WemE=8zFg)+#rNit)gh5aHSsuE_If=Q(lge0M$&Y?{Ld0C{jUFaYf^5swl> zt_`5%Lt1*Bamf{^ zjN*Nn^7cUvuywN=s=kLW&82DiM2}t+a#Ov^h2s~05C^R;8_ zbE52clPhD;AB?8pePB+H_`%)A;W_om)sreHq{gc4#>s?Mbw$vpx9;0{i%gMJUe@4` ze?W_R&6aiQW*Z`fmgMmsJ=Sd+xU4^+1TW2>cCBpcXnCX`4`^1ILM_ZRf1=aEVhKK3 zYie#>x-o6eb~Z8jkE6Oz(5AX++u@ab&ts0&72T1UHYb(#{@twgJh4AS!SpwID)>X@ z=Hvd7CX+V17I`49-t13&J>3q-o-F4*DuG42htnq&tr996WsdT-rH}BI#V8!bZCG}L zRC4`p?X2a$cerLcLs?R(gW`ZbaQYo5Mpvsn_$mMK8QnLZH6Nvqq7N0F0Z^esCVn0^ zKfY{Za*}%IY_a^f%bs%H0 zMXfFM4b{hhVdpHYJ_^AiXBjAa1t;IzGuQ}ECJHV>9|Dgri>`p+LShcwsES9ag%v4D zSmpyUyXR~>Dn{E4WHDRZYa)kSdQxFHn!1dM{seB#w`1GeKdFK+E7!Ka%Q!K;bYj{L zhQ@eF-jRwl?|f{U-@}a?{w6jTHn~2jDw{_^d;Vi!{}mUH=~$|>mn;m2yDdlDTnoG>l3ePbO9N4yc5DV zp(gabQ6Z`?`!qt=-B(_v+05`#WvAIK(EQ6a>k4A)#eG9Cl5UKoO{mMPQT}w>EkBnS zi{Xc3_p;$zvGJKO=*UVJ;^UUCo-Dit-+lJU`-MgF%pA>_GIk9q^6FTR)kX2t{gw}r z42(Q?&eb4JF{svgma#wyUy+qf@%U+c4rHoWJ4LWeswmp$G1RrFOv}fJW7TEmVIYxg z!$ivI^As6m(M-cq!qH3i-n;Bpp%jrE(!y-nuh@n{e1FaXfux;9$yZtn)mm7(P8ZF& z9p9b$25``ND72DkDJ>RUceCQysK4OXWJeDeaf|7-g=rIUe|IRH3~pDmwx$07`xWRc~jTKgB9CuHi5*(%p$ULY7!`ZLEY0qKt2xz@z%6 zTT8uCYVom{2*QAOS^Vt6ENnVVvKB&cO35Cgc$k_9!b`-V-WeIZ0%=w|+FcT&%$rm#c- zcnj!hIc_BN$@rXM{t?OnCs7l%ttW|;Zu~ySZikjTx^z+-&$ks*J8@Xnb!mC%LQ}sAeV{Q@PlT=vILL0sT^47zvwVHO&?8hAx2#d1X=(wpQ8V zT@l8Ff2muTKOJ2R@Ajr5T>g39h>wMhSX5!USj|UYKsdI@kL>{_gsC&4zq@xIo}RK8 zWkMS>Lm7WfgJFyKA|4I32|xNMAgsMI($_j4Qy{ z6lV){H00>F4Y4PjJam?{N6|dRFr}USEGjm-^D?j_W>^q8w?7#2p>e%))zI5#=?)OC zHd2jZ3ZF~^%BZJH#^8QCT$w?~Wa-UZ0MJqGj!6k|C5z*%0|AqSwCn8FF4MX&y0+tHyq0N<>)Q8hd{26f)^C*a6Wo@p=8YRCGJHWN-`Zq=l?&rv$Sxi*vAbNe)Oo!j3L zF~zs-XeRu28QqcNqq4h!CdiQnXAp8=eR2JPr|+6L3Cv#HPG1IrCOs1493#+8fy<$x zSE2rld26wvEQC6r(tv0XH^nMx*Az|zZ4oI*tp0r;k6&n&K25P4i~4WV)6j61rg&JH z3xqI9x_l}l-@h#9;&%Rg=e~4G!EaMd5)W1y6@<}xvOYZ5^wsCWBerNck^Y2IW6ATR zz-GTcgOGNcccx_Bw@{y9Y1Ojrr6n?(_l@{m@3X`X53K- z1J5EQ!Djjg?^JRQ!qU^VQHQJM${_YdHbA0zUH%ou*Bo_lO_1?{6 z#6!%_2FokWhQgEWD~Z5D?;AuL(@AQbnMGJZdZ2w?aeV|HpDhqKx@!>|&vr2E8!MXp zFVgOkC_SDGchW4Zp9ZcU$B9l@UVb?utG`4U$KdZZji_74BwU}5W5$y2&HjZ0Mtmm2 z=(btnN8lLQTv;GeL;VvQsvlaePkil|z$CcJ3s}blvXl(`M*jgG^x1L2vw)ET*&A`i z+)S{@UTtJrwCa76>w71lXQyfFW;~2XTtO~ z;hZhD?;T^{&`)kjE6G>u3ktl_VgY8DQG~MZ$pAC7_`Nje?q5vrKdr6q*x=|j`{Db$ zChrb@vDJpD5r@t=(S0hoM?bS6s1t8g@PN`F0{BMJk*<3Bc%LBUNW2w=oe0A;t+s2! zI)oE;K32QQ*Vsm`@j~-}36u#&&8{91Z<$cV&MSA%kZB1Xv3<+JcLRjwErH4{4C=RF z!1;^e_sR}F>{m!^`pG&C)EfN0I{}`7*8FJ2L`yGQcPI{Qb1WuOx7Z?FNoEG(Vzfui z?sKip*@I_5OYV_ZLJzS7d@7JkfG{k-u1;izv=Uo66_V&d?vN_Sd*O(!wB-*rcTbjg zu~i3Fj?(io)v%pDdb12~0_ZpYv6mQx&t*4udV9A@O}kVjiWOvHU0 zlt1g&RitQSIV7Gg`K0@DQP(B|eCLhg`S?-~g3P?(EPu~U`o^>2z11~N-PFHO$K&#q z95?~5JB|1E%KD=(d`-2pIFN}d*(R7o^}hA-4c~WW_6_hgBEWE<_6bX0caC`AU6xT` zmR!i;GV)2AoDW2B0_l9X^51Rq_S`OM2h_aW`)`aGB46;rW>KZK_RK8Rh7Ans75gU; zaH8+W%q9Rh;U0e0>{+_`?!LL);uhc0#5(a%~{K7!*nD3Xsm}pO;*O?P zI7CR|oIwWVrLeqtFri)WEMCNBddLM@$tyqvs2fWyAl&AULt>eTchnxS!5Oqm{1TkMe2b#Y=C|`-=*uwtK8T~ah(kTDUf=CG?eww zr0O6pHH&ta8O7N?YLfGJR?Gn~GtV0jmvcVRrrJM!g988yv++OSs_;ngNsArcGzR<6 zZ~oT8d(v6>{T<$eeT)r;4<0kn^{&o`S$mGP-%4<0a8IHakdYrJ!J&A))n>s;ymYGt%hRQ_*Jt}K9 zR_9z_d{gzCcLP}1C95G5q9{dSczMf{0QIR@~pdGvY-#8nL8ObAnPng^7AhU(-@M8!s z-Mb9~_@QHtHZ1t`m&cr>`ovUAl+<38+kCUXN=^Z1X#Cj1Y+^uhi!eGtUGUDeFyZqz zNpa`hV{@Aia+mQLD!PGsQ@cTOgyAio{rDb%&kkQBD8w0;CY6CjBBte4vwdR}@5R*q z-w2^{>skkcWzNT$Q!Gq~Xz?LgN~*4>Lh3BelPJ>3P&6 zc*_iH{kE=TsD+2v5#79=T9A0$zQRxpP{J9_YkynPx2L^~m(@?9AZxoTL~e>T?S!MJ zUblS4`Lcr5|+=z{C!>^ECcEI~H=z80&ADy9m7%k3LhWn~5tgQ;S9F zpsHgo2S4SM$FTZocl&M$a`w>YYs$3XkUFXA*pIg9etC5*Quil-Y>HQt*p5FtC?)AU z2mh@O?Bl;z&Gu4j2ef^KflQZ4(_)B+(eN`y{<3%MN!F}X236gSfk4i~gmDrzw1&MC z9Z7GE-7-lr>u3G#swFnOfjr2L*8#j_C9WB0fr0+@di_1Uwj99EGFX@I(vD9%5i`0Z zfDW@d)%5KhWbhqiC&SF=ggNMpb?jb#`SLk0pmiR)!Cf5sS$EqpCn70O)fGGE&3mN8 zn&mtX5wAo2MT;2l;psvBY!>?p=x}s5JLai>>8i@i0BpikpHLr?Gj}O=&EX$3odt)xc&9zzuAnM2FKhIo?uPK6hQ4&DsCOltJPvucbvA(hFoYyAdW*X z0y0B;2y&vT13CVexQe~q7MaD29r?m7TlDSaY2T>GkfrK{%G?x^{}WoKI`XEv?u7jH z4D=+-qTdBx@P$W$jB}D>cz7k0NKPmK8%ZZJ?6}x1*7&K_*g_%1j?X0E zC+=q`HVb^7gj001Bo{biePix_NAeZvJ_`8|8C)p$f8J{;ZHPnhzUUgTxViPj!cT&- z#OO=oqD{p7(sdmMb!Z9YznnW0cW?f46C}!9UqfiDQCGygA>r(!r;$2rzrF?h8=gkT z+pn*C9WHuxCY*So^75SFR^;8v2+y=a}0?OcXWX~`BDzNh`bekzRmWoKC|^b-4M z%q0|JRUJNMjY^7*&h$u8=X7{eAMh`wO#~*U^Ermi+~L>^?Jwrd!h4W&ON-D;%Ck8C z2sZS(;0AEMQd`C@gF%{#U=c4yHzJ7NB+1&N6(g+$?t0(9%ET?U*xha9Idm+3l z*!^7NVW(TH^5;zdXQ^=F9TIfR)pO2U?sr5RKcLb6SL=6@m`ks2qt+Zm#Ea*gY&_4{ zFFxoqMFx#JcIrSkx)wkv4!yUbdO!1tVB)?vZ-NlTL>(|WehS!lni8L|i$Ji`H(n4X z%#2m*34?fBkX`*J5+h}+u+C|=-zf4p#09U`_oPZot`Hed5vR*hA|O_5yyf;S`A>U8 zJ8!*lA!*tN+7a2Wb19fN#3bc12XU{^9W%JVfigCH@zHrZ)0c*v`D}_RwjjBj7)Jg? z6`XXeK0_4;eT^jF2B3tVTG-P}QcwTS5@;LC!HwG*q-FB~=a5BAd}dr72~;E&EHYUG zbTv*f-(#ZysBHIP3@`V^;EXjZ-ET15&OIclm}Y;a6>Os?1kWX$f&d+gCfddI*6-OL`QKBD0{Qw=ud!m#h5q%2KCn>TY zu9#Nnm?~%FuMpqRbM(MC5 zV61yozXDuu23feqIdGpGaY5%gK_&2}C%>}_3ho&;9s2=g2l;&Xy_lVu^#=k@FL&hbP{4`$i(pTrv?o!{-O&NVmgKo;?))A&jeIzJM<@gBm zD;SID$ z`mSSIxxgyw*#*%spSt_k>ag|Y_|CZlb9aZRN%E+v87~YmzA0gwPv38fZL$x&5}bs!doj4=KBK1ziC$NUvia zFuFf>g)LR4o5+reKOI)9JlcPjPJJ1oGd=3Mx92F z+;B-nGZ9#+a110#$Lf6C7_ zezkYZoqU>LEw}aplBq=+OabFGsZ;X;C()~4p!A<*a5-z#TbXA#U3-RyRykcA&7fg6 zcC}vB9R+7-d@-o%Y)^GVOfuj_|Jkoh-in4bXIxKJ3fEuscqR}D#uqH1sb9@up8&|9epl7yklXIjPw$FYS1ZS#?W5ht)bp?z;7eScs@27GTN~6Jk=j})XWdt+Etal zp({>)J&Ayz1uQDfRJYn-k)T~<^*&yJx!seAa&%jDpR{=V5TgetFuj%3lPwX?d5-2h zTpQKKeq^_Z4Z53O;bohk$Yk1)ZoX^Cr5^}}W*dOlpn-ux4DqkY5lm67^pBM@a|bMq z94>Ld5b1T%H0o2xS&uTr7og{}1+|0!J+$}b>>Mce7cFV8mo+@`)wYVKB&hA8kWWLo}vg&3;>4~xK!hlH`}7R%;uHayqR zvxtIUyM%E1KLYFZ*m*qBgrO@hBGqi4VjFzjd7v;bU^=4WW=FksxI+xgX@<$$ zhkJkDPVeh8g6+-R|BiWm8ZE5P-2e-d5I7JiCw=<*#c4s5ohWg{z#3AQ;|n{$E7?JG z2E5PVz%eAqX*&d3QKG46@PO^iC~Myl%a5N^L0^ampd(xi9t6$WJpH?Py%mRnZb5JB ztjnAy%tx~>t3+Jrd4Fg}#Saq&?4w@9Iam&}umGe<<^8Yu^uOGoRWM#V6NSoD%m$5F zz6iq;t<30uy#A63MZ5w}6nNJ`tY3WkY7PC0QMD=-5L(*vQ#$nN#yquWGL*bRZqhmw z0vp!5_Q!1Sz5H^i98)eINQfk4VyG4P1HzvW@QJ&+hvhKCP0Lla+S8!C`p|aZ63+$w zpjaqO!*#+E&rQ?BgBj@Par`4eVB_HKu(7}6>_PhuqWHZK?7SobV|o-3rqVs~U^Pof zQ*Gn3S8QfSn`9azoHc{c$Rk|eOWxx-h|goLuJZ2ZMTElhw>YG^Bv@knV*2a!>==cIwG358lT|abI%*aj=hF7gh zKu<}G=XIE3*#HGJU4ZW z=6YgLCF5!sp=7iE!F>qrO2jY5#JjwKaBcmqKB z%XvN5g)3RFU%5sOl;=4v^-bGc{4EY|#evHZKK&*D{?a;J)k{=!3?pBNfvBJs$gsOR zSTCBKO?dyFznApN+n-nPjuuVA!6vmNjcEH0$Dwg$tEkR_*NSY98CDRR1l8MK5SQKv zp6t+Bc1K6UB(mD7f9VS;4|-{IV`*7!;8ln@ zEyb{D-MQn!t8&nkTmq)J=P>b3&U{jd-|0jAz;;fJeIW<4U=f2^Kb5FquPd zyg=Rs^wF|LAYtPqQ{l90Ru}m1^`)j{iqkBbqlNE=O{Lc-V`HuJ9UV;^xk8v#P4?43 z4z5DxG`;c#&$8=~K@1xQlDtaA=YYl>8&_2T=>EEixTj^+gF!^^9Snu^)kdVV>E&tk zO2aQ25?MQtjIaNXk{EYp?+Tx#qcFGE%gO2jh#UN&j%dA=ec2v0gQEItExfsa55!@o zGdOc1gQs$Yuon!yopz+fw#CC8o-OzV;h=#q*s0leha9{&)WurAhe{HygpPgzl~=zZ z%5>nN9Y%Xk$wu2$k5mqU)(A<+hQ7xWf7Zn6pvh*l^M~O&ZQErO)DkOzLUq}tE6gsG72^$zZbimE`{Z`2@mgkQ(fkY z6w~K#dC1eE=+~>w$Hp}1vfguQ1I}4UQU3z!i-qCLL6VRUcZV~Bb=9u{_PRQc)wW&9 zZssK(8q)KH&M){p&TTmfV(yKxg=U$vKy7gxL=F1a)|Ds8Gi1S(^!$zJ&f2HR8R=cx zKG|QPI%d6?-q7Z*tKU#y^dOHVyLFeUM~WxNQ0guJ83oyf6TuDrC0&pSqzK(BBI98F z`g*UCfSJN>+K13vX%i^6ciDy6o~zh4O{bmgf^Nla^#BgGY4@*-S-QfQ(kj(MZ0L?Y z&u}C<&HVKNgQ@y(_Rnwlq_s4!w~o*~wkjZ96B3)?7s<#-%(`Fs9TKA>tm~AINq~np z7)ROJV8LE_q}XmTgMjSEZ|L(cCpdUe0a>>)KOvV35|Va5ioZZ{wdY?3|A`aRO9d^Q zEJeOZYZV9}ECW&ZG(W#+MLXDELM`g@Y6UW95fhPQs!2R(hDC=b4ikTbXD1rfhB`lC za=a20lSm|=8Qg!b@C<&UR-AH55vcY0Q3xo-`{bOTP#&p#d&g4h%#Om{H)YUgx?xA8 zt&rfbV~N<1Ksi^s^5@b37`84~X0et{DSMs<8f7E6ID2Ppz#o+1^0h`TGDph#?grAD0{{6u9%@Z+e2 z7Ll;1nojhYhx7+T%Ha60_z82T#`|r@62n6%aZS}`OVI^+6Y?!rYFZC0cVXg2@gx-! zKKi`>H$<>q2QLhaXsMa16HL5$MZ^+LSf;A-mYZjA94qSiS?_+OT3xveiv_>xF! z!eH4Qfe?^)+^!`*p-%4HPCjUFPljE~!zS901_?dUR8`CdQqatm{k!Gwf>n%}YP*t~ zAp};5mK%i~ltsI+wO_M(gtA#Zb`R$*t7OrrCFZJbF!3^5itvB(8V{vi$7>}ue!FB1 z$8sj8k>GHGIIQ-<3aKi%tF+vZB+l|w@5h*g)E4p&h;+nFVHQvK~E zMZcSn26BlR&k~%h&c zM(>OJ(UYxj#V_Eq&0qSU9W{4-ao2Rd9n=m+MsYmyO;)iQ%CSdgM9NQbo1U=SF~bN| zP4wt3#7oR$iOd+-T&IPb*I+UsxPBi@gr%qvCkhJqBGj>^W>LAxd7!tHaHEDo>w^}< zAQY)nmGvXmK4(ntkw`&p>sO13>^?<*(1e0u99i|L=>7Wbz)^p8C$EiIiYS9ucTJ0X zKnNAZQ{sNg zNY2|3!b)@i8G|WMyJ3LQ#KT3zD@#m3ZS%URI17>uO#nT9C+$3$&CL?Y<>~5LT5%T= zN)V0b3#B$pQ|4paA{mYL9mdIgo+=m&c9&vnJIy|siYvu@fTy94u&|m!Bq)oDFp2J_ zmsw7oLr&`t>7!RR6XNQR4~Od@8TJ zwio4a27DhpVs|pOAw1*wQ{On1?sXi~wX`)d5Y?DwRNS4)i#mo1K8y@aW*fq3klI2V zgRnap+Hh1SxB8!lJPrKK3^RzDx(7rJfAf3tTHaDFIa!W>96l-GNMG%9PPy(f8A-|U9dF0C~0&^`e7nzVKoRUsN~F(6Tw~(oCbLv zS>ZF`1dpc|;X}~kqMe|iAu{YCT$~4YVn;=islacXo~02w(Qy^xU$EieYQsDXKDNcm zd18Q5Uc-bz(IRR%b)`X5N8-OpuOT)g5ji8)L{ePARg)Z8b1^x2zZy*2Virta~7*18*-(&=S_PEhJs<^*f zh)6yC@U1ML!PMNYTCx}IJo1N*0R6b zF9im3(!f~!IuGE_-D#{Q>GdeZvDE7QBhzK;w_ns4q^%b3ZNZX-9S=9aR-6*dG3ed^ z7HtXC|4>WLk9H~UKK(R$9&2EI16`i$vt3Hm&chxfSXTD%M_<8LZ`%{X6lV?;HZ+khte8(g*`)@>n zM%Nh_(wH~fcyaI^u&n2BO_qr8mBKKbXWr1a6O7)LqY8~|DDly6V(hn^-NZiReAlu+ z$6@CgCG#P(&E;6FO+GW^`f?b18JF;)ER2YZxb48@Qgk98Fb|HN*Q?5*z0w9N2n&7P zbljf8#yhi}_t3(X;(KHaXN{tZW^ecqO@)GjwV9^OXwcJv8I$BSWVM#A`(({J@zXEp zJz}u8(dXd)M5AvPGj^xJKRbO`yTnfg5|gydF=YA0-ZI0pNb0h_ovZh`YOns7WEaIC zLzse+gLWXz$t7nmG;D=@yxo5bHzD7_WSX@}C10bR@<~)Y=ega;HwQ7R|+mB}hK*YswCXa>GBqI(oaC3MoTbo*-Xh ziL-cZx+0iT!Fzt`cgUxj`kQa!8BFhHEwlX3E3;LZwzrVp#Tu&!^hWm}iF=;g+>8Zf z5fhG|FhlS178rGh<*eX8PvZj+lBJi0mte!SOK0MNVg7>D$AlD~@&4?8e4xp7;m?70 zX;D6Z2^g+_S|tDS@q#V?quhYb&7SW^SZd%c(keycczBy9Ny2AQkTGBUYUy=z;^{*~-nyu0d|hP#{B@s+5~syi{}nwtc2VzPal z?K#QFNdvZmym|Z8(sM4)u^UAdkW_+0?t+QYTcv$sxt_d* zOe*;e-7&B;@J+W4rRp@c$wF_2Xb#`fpu~(@cFs0MkWz#winS;r$ELoBxOR>AD;dW> zzF*}?wHB)6i|K9D@4Hc&f;}XAUDXvn>9@x8rkvcQ=8~x&AP(oh9}dr${e8Q;+@RAQ z%WeY?87PV~AA-br37)aJ=Sb#Xi)?mpn^ zhCkufb-830tZ91q7s zzP~rbI?27rrw?X8qewM!XF$J*2awSRE=#Mck0-x0pcVdBex|uMRBoTa2xK1JaUeZ2 z@CWbS?(ZrwFz}JZ*&m;_(nwXdZXLnB-IF^Bd#t~;V$K3^xLBp(KG#b{xP(G=>j!R$ z(ETuvIv$D0d12pkWlQi4R0~MryMgAJ(ajl0@+%ZcbSh{}Ry~}^$bzG@fc+isng1c0 zj3U%Zn}UFOVb(Q24eC;i_=gY4=2CCn+H~m3LJTwv>YB<1NFzyZ z*HFoBv>v4c!m7w{ht@0r)oD?DSy0hBIiGZacw*2YnTFcXGbS<-HWKGZ|KpCW$T%Z$xzB7EF{0Cn8IAiG~_T zUn1D8A)l@M&wDtV-;0AkVyV|7C9%&VVpbOSb5kgmFU%%SS?IRMR)_Od5WJca(q>WV zk%)V(@4;KB(N#9cI1)zaJ4+li+c<^Qz`#5{b4%f(-xG>c8LMsXolSNN@pUB;Sp6(Obu(S;HjgxHb~H^|1u>|INqk)Q)S`Ie`ndS{ce0$~NN z*4iyb;jQCd5_!OO>rJCV=4~pN+%8MBY@avi%z&!C4~c)lKxizrx@wY82wqs%YLk3) z0sV)A1dsNlMNu>sfGL>ilHLoT4lXs0JWS5cOW+j+lG-}zigWh z2(O!9A;Fh~OEi!>|25IC0!Cr}+Suf2dSmWPVbqyNp5wcm&i`!t3$I~QH_*`Bl>o3& zXhW+x`lWep4M~+UQWPP#cxp!X+Ca$8#CaD}RnHMqW;3$OK3`YHiR>o4YzP;rpT_+n zeL3~Fn&$BJXx>lfq?9;6yCxCY`->+je24oS`!Mvujx3Ha0e~3Kb!_j_6m`&_I`e2z z-_LW5Fhe*YjFms-sW2UK%Gx6+AQ!Vn=&r4E~31Bnigs#90RP`1&LB}2F6t?=Hw z(QCL+8Mn&Ly(0u`HT16_X)`MsszW6E8B1iK^UQAyJFBS~mYyGGlw=hl4?484BC3c(xVZ z_L`7WjL$k90OW{i`%Kr4ru_{eutcBO0rKr#BW3 zJ`ZV1sQq87R;R=j1K<1|zHn-U<|e$~=nZp=>ogJrFnB;0wJ=pQ4j+pIc8K{9dC zI8|5GOw|a5iP&##f6U589!(_1yM_D5Y7QGw6-ItGf_8vyA5@~iV>VK{`O6$mnt6&) zH*tCgZRLLygRyc6X^(9PI5s2Re`=e$&}|;vMRR@!z{WF8BrfWeP}FbE5ITqHvrQdB zMKU3KLxu?Ub47H5OS#4`htJ?QY<`|e&*5g>i5j4Sx>(lpDFwts4|1BDjS&7C98D`_ zFjtHC5S6wXlXq-7-I#R!vlT>MbrN3EjL>B>(~GuRu23z-P=0{i1tDR{PFONOcGIRS zroAm2zt(|<*Ra2dfaD!!*V@ug!;X158RZMANbl*A2db~bn3K3Za6IH~xt7viQBj^7 zft)j<*a}RYc{*Z1DI|xKVlZGGR5!m&@qmu5J9`-X@NAa1`yND^;2hV?+?ya@RZU|z zpD`c36UCvCV}+wQ6Op|1H_^{vby(ScmO-}IB=m~?r;R=wl&;(>a+Y(LSWH?`CgnH; zmPs&L@;`ba(_ePmvM78q8gizkcGz=lh=`tAVJX&M$2h_f)oI5JtCW^uQlGqhYdYb5KPt- zRiYRDZSgk@GSnzJ%mv58%xY{f35fkgO)}XjrXDnY4sHO-IOZa5j-fi&C!YK&TLfA3 zQmSc;Rv|93Z?Fwn#Kb9Pmk3X^9rTdLIsHSpUxm1WM1=2%WKLl;w8Ub+&5URgk;;}Z z<|7T)hF&m8G`Yl(5|KsU%glk6p7nF87Q`_OCt3kW)E9V&$ZGU5a=43dZP;P!*=POw zAlnctt%JxK_He7d&j{dIL`%IkAEK+sRtTLUq6cucQf!)d%So_gkxe_tk!L6-i4Tt6 z%179jg5}U8bbp;m1lTh+)jkKuV!haCFGH^2x$ftYKCig+lmzlYSAsGDNF439V z)Ei9>eSa@gmrZs64K)f)!4l@U=-^e@pIHhJH@2XTicYU~PR4esHYHHu2LUCn`@09yPNgL%3s*28~2 zz)9hVp;O|f6OY?6)18j~D3j;vEEH2E71TA-E*?^a;(TCFF_1iNkoq0NV_q<#sOYUV zPfp(eE=96K5N$7-q;J11eoR-SQXj4fYYNMpZm|}Tj3p-2)sSjTO^#cW-xV^xXHu4M({{7GZ=ghIks%4QbYmf$>}j~9FfO@&hAkaLkWHB5vIP_!% zIVcy*ASe^~HqA)#aN1EGb1K=oji`eIZgUB=nR&!n-k73I&70GY35(t#37n*^gBT89 zuH%z%i|KKXkuWeUv;_r6?}dOSkONd{d-Te&&KlVo)vyTQMkNp$b@WSAy9?{wGdeXG@Hcm`h7M)!iv))V7Di)%8%A( z?I#Ks)c~}ejE47;tm?Qz$14u*f^OSol~8LNgVurBC^j!Uxk2x%ATDgQj*O0CJnBLR2IM(bxHh& zLRl~=Pg7^x(jPV>WUC?rToFk0YhwFX%PHjZ*;2?TJW07-Ee2gYjq=9$`Dzs^kQ9IU zhJ#Bcg@|tQ9G1rkzwn)zeVAF7lFo)<0F?;{IqS-_oR_ z7zH?0q=V$YkhE)u!5RGb=iYF|tQKjbeOQ}P3n)>VjTDBx zE#Y1}_4B_y6@H>>(4oWs=AyBrp-HHF=rAZ|Gb z!_B6bY4;u59)VI4c8~^YG!i)polyD*GMrMR_!OP(5YuS|DO$!glJ*u3^nI;ViX3$efJ{jb@ZM$;> zF5Je&zG0P4G2rN^Vw@Aa)bsOH(u9Ph*k?yO#aajQ@_le!(nLCfLA)y8Mm#oNM~Pir ziU}+Jc`n)u{QNu+>8B@y|D-;&TrB-s>JUK~Pbb`<)PHWYSMviJ~ShR8fYT zjUb-2(qVx3J2|{aZpi_39d{z9JU zlYytaW;I=mj)ikbk{2u%ikJ-hs#W8Upv_`n-HxfPYLA-#IK;{WA69Zls6ipandv)B z`X}K+jCbB2-e^!Md9b!jb7RD)wJ;5+eWS()L&=~_(;aXhmbSCVN@X^9nqZqbmH#8^ zEu-4#zV~n31HqjpxVscB?oiy_-MzR3Ed*Lz3KS^r?oMziUZ6m6FIFhhf9~&ZJ?nXw zm5k)b%$$Ag&%TC$)h}c?YkP1eK_Kt90)opC;sl%H_l7DMo)GbBMEVG00*4Ld`?y~w z{S>lfrn)9`=|jl<`FjC1$2OwG{fxR4{0eEz9Lu{-(z^8v_n$;watqL_souYg<<0Q& zB38M2_ca5Frgi`&1Atjp<3r42btEM2Iy-uvpek~WAXbo}5XpfH;Rj=ZTuQyZP%9)x z^e;9i1j)ZccCpA1CI?;CEpoft|KzGV&n?B3*DX}5sgB}iMluOwRM{AO(`vCEY~<)O z^wgZ;_P$2WPT140a-(B3!NgX4SJCafi>gtT6aTh)VwLk6BSx9Hj+0ZFiR%Po$x$in z_by4GJsMBEELMqIg_Olcx`X2Ye<04+dW2V};sC{0h78-QGGrs{S@d)JeLf-uz>4DHJ<20-G&UAT5> zQyrPO94+Zt(ugMr`gOaa8iu)Rt#&tbRQbirWF#u7oWdJ?=A-^f>yrudgGJBI%t&U8 z^m>}~d#L6(49+hApLsv+#Rj2P0cZ8!X&Yh>C#;S;>e&Wom6$FP(ePh&UOc^R*bd8& z?=~Kh8;@qT7zK@|YRg5bS#sb6gXFm;e7h?B0unxGNUiC9p311=nu&7O>EYI3G-&(I zTHKz1l|CdUWu_~;)X7auIFO>!=`kq2Sdt{^wVmj~_O@t&Yf1Q~jzYA0F#YsjrriSUR-GQ>3V)$nu)NMmTgS#)&lJL4|V5b_z@-|ACD^xB?M^&6U% zy~{~R@+Fd+gJqD`?qHHJ#+i8k(!?AbXec{O%9O$=ZN>jDA|@0s0TiaPg;lk#pFlkTR=J(fT7URq=&4$Z>E zDY6sTUBZdWBF6R4{MLKfGosK;5rRg@8CTNw{WIxj!+E5covlexKG?}5^GG{rDkzo- zvfF3nhttSJZ{fiP^&LWYf9$5!3wd~S>zn+fIsD2?{_;Xrm3;zVy0EuKCIn9&Lv`lJ zYQC7ho!pOCu#rh?a2iXa%~eP6Dh~Q5k$$@p-WS&+j$+Io{rt0#4^QgV+>M%u#;CMZ zz-B|<%lrmAQvBA5M#P=L+W#+2gjln3o)avKD2KAa1b9|7t&%h)qljs6VG$}@W{3|U zReZq6eELPsuRiLNUbHZ zjG&dvne=xFp;NiNkCh9Umh)@plV2Os5h=*h@t_wp;}by z&1kcfZevfvY@0kybCCVEi^Q$^`F+))rbT=W;%bLg=K=_oybqY$rpIQPnoQc*zX_w} z-qw0d)P<_UV8~0!5rf^;6+K8SVyUbZS;z}D4!e*~;6&WbBkSX+NYXUBxh;=pxceC= zkb58r-tkj%Wo{lP;|(3H+EIlJDy9x6r)R_e+xEh2u?c(-MT2$o}>+p*S z%C5A!!qdZgt`nPCIE}1%rINYPV*W))bDLDwScqM1wMgg_>S#iy z&eA^!c}*6>bjusd>VSwFy!lLr$;W%s^T!J8tEeN^=337ulR%vlq|7LVb`wAt@~mWX z-emxy4+;2vH6KLOb+1wJtLD0-QAq{gM!^^cMdrcJ`j)V=nfh+ROu=)G-YjKGfbg3z ztobmkL=@9d|C$lcvYX>Wa?aT-r~Ygzh85jD1d&9jvmukCy)Hjy*3eD%2P%?21IShO z2eRiiJLJl2Oz340e88>S1>6beE(OwkIVnfa^h5}h~!IXY28Ay$?o1H6XoT-4c)K#=LXp`|J0^Ul47l&{2+U8ZLVH|}-sWcc z_z2h-YcXw)Bvq+Sqnm{hVBy1%4gyaE179z=-$J1lEez2|zIt(F;dnK+yWy2{F%C8LqnB}g z8KCN*YoRk7@Pq6(ngk<(uG*<|^Xy0bs5H}16WWrHwdzrjEveo+ZB8<|FZx*JYjewg zr9rSt3x653Q0Rvs{F9r_RSFI~3~A{pM=zTv6FwVc$le4Ce4v5*)9m@lA$D@TlBx;5 z`u+^MkV4C!I7-}6azv>C8c7>Qk`oa1wf~xfb3E*_RsT1_$jUXr-73Pbk_hmEk|6#;Y~);;P|8C&%PB#QW9o$z_a5N-!o1CGzjy zwn1oDe-zdm5g&%fxiTXK2{v#4H;Id2(v_dn=z4fDbsxK=zVanHMA}cfM+?SQqmuEa zs$9}9T-(A!$I|OEfQzzg0T7zrtFfa~c)V-|Yf@iY1_r6WL|ES}| z$;OlkU4Qm_-1MchUxiL8ESea(w1v2lMOF&)_X#lK%kyx6a?nrp%yq2tlqA^;bH)-) zf?~)h84(-8-DqSF0N@-5v*L1iDlPr*I~|AjDteuPVAem|SFiSFfA#9#1bns2P~X0I z4ba$?!|8J&+_Gqrz^9+*mQC|2&shVIE2cUJbBUxsTv!9Nu}|*#Ow4Rr5Rt)ge}h`< zdu_b;e{nLqrfib*+K9!n4sORv}{` zS9GOj9KDp_;~(4HHU?8l(l&8KGtl@9)X(Sk?dt+qoqqKv7%cOR%0qy+7wo?5GL4J4 zr^xPbtI>+-%{O?AAhAKq&pSwg3sSsnj^BRYcktAPj|4L$c6cCcows;8S#pF$R|#PP z8>29)^;53Cqm)?VCn|juN2$dd@v3sN6*`l2al*Iyq~SY6DagK0@A|2gA}q{}ei=!b z&j%&UoFy9HnH z&L4|Ai=e$3RPN>+{6Q*jlT2ED#s`LooRKLBNLs99wEP0ryw zBOS3z2P*BNp8i!eF2?++Hx)D+M_Pd^!{!$kRpJzWH@anM`vsFnHd=*@`mLYAM!a5? z@_Mvb;}~w@6P*cp=^GHI4Br)YVG~A=@@TTJfWdCd+vo97AmHz0>+gtwiW8&Jb-#An zS=r6v7jOpOv5M58Ym^WJK~qLn zPU@$8bP9fy_5(AKNph@lq{=C=r~q-iP`?xDT&5lzce4B2L5{xiQZ=F}cNnwa*;`E3 z0X9|6pzvB8*Yh9tv??WJkiy1idK?BDRfWRFZUWIVRQXK4(TrJdCf>f&E9l;s?FRW>)VII__MF{p{y5T0WCIy35H|#AY6jj-ZQ#VM~cT8$3jWPD268E>9yMIa1 zRAL^VE@qpD9Zsc}Evc7pq=4ZCVkfVRUbd3vt#tDsVr`??XH#(Q5?Nzu(Le88faP4S z*)DfQHj8(qnM)QhslWNawdNGBaRgm zg{Im@kS^3SK7^{+GEmuFj#KJk&!Orbsx{3nZA?Ax9;#svmi7kjTNthB+8isujOoXV zLOn>BGpAH($W^-Q9Z7s0Z73Vi0Qx^c`h2=Shlf9+VYIe13Y9-2l;KKBcASGe-xZy( zlWFqXaUYTTe#Q*S1(k=3?RWCICwF$e|LcJg#OD6F_W2)U7Ndrjtu2~C)$RS`TWVX$ z(S@%ze@IRpOz$QT$NQ=~p$T_cB|^1UETD{|3a7?ggmeV*Ps2xXEV$YMV2x251*8U= zV`XH-@#b6AZ{6dJybO|_^gJ}zl_z#cN#}Qx&K;ZsHmuvMBf zK6`i-kiz^Kh>;czXNJLO8BTVW$(I_%Lm^Sq*mF}eh^z>s!rqe1Hl?>C(KEo}s-6&Q;Y;-B)3kIn?Dx4b@ioU)X*`!qYtfk&!$<#%{(k z7dQIC=$4>P6CNzmPrp1MhJ-b!A{!~~Ypa6ImiUF53j?8fyDm=ExXly4xp^3Pb|&si zQe%(g{-KjEHS)ZBH7OXOJN5rF@r^BQl&f3VcD@=p{md|-BUu-br%E-Nij`)gedYvl#tu&X6`3y%Ls zd--lEn~45RMnJVyy&7>e$7M%KZMH!EyT5FdN8)_rnptx9L$q~-p!;DD%cnFHmYbt^ z-XZm5*6g|H?~<(G3c7HoXcpKFU8(&JmrLq5E-g|ae{R#}Fq0Pf5acL>cpADT&vBPH z13dqLjoO#NFmi>mKAPsV28oeOEs#7LVnsAc+fgU!!J&QCLYMQuLNs8jbH~Y_@V{qh zm9VB(yX!qjF$SI^X@NBPa+IMzHnuDQc6jY4ge7Yw?n$aZE89<-LbNBFB_SYl1bd|j zbyLkiIwq#SV>(Uo10Y$t&oL?c*D00gEYJis8m5r=s63&x6w?SL-M{*p+}qRn!)7(k z#Nn4cyqBr0oNlbxg{S#PPL$?MW=MtKS&=t=8DX?q|3m7 zVtu6>d6_)1-wtSfq*}T7C_WrJLhK)o5Q{D5s$<$_xUM#dan3F}VWISy2`cSdk}5Lyev0E9>vZ z?SO5Pn%9fUGQz6lCW4R>rj`K7c9U&4)ZC@oe(vCW7nXE{`?ZCaS3DN#5`NWfRxPHE zFQJa}UNqjn$?qnzzu=F@&Dx)de1iTVBACRFtqH^JcMjVzCiN4xAN%>Y*EqqnV*t0V ze2ZGJ7PB2Fp?y_V%i7(AXm#}t89gE@G@--9eh$K{c%L;mV^@XX9+Mr6z|%L=yo+}nCYt*P!tkKuSZ^}ACAjg z@$5^S{xApS`NI3n#T>X-RQKbq-b`RySlp}V{7lG8!nse5iiyG}V$H&gvP`hmo`j|h z4X~X1Ym*B_HiN;Of|s>ZnEfTv0xv`wk9Uspfeml&}}a}4!a~o zKLDO5g@WHaa-#62vfkH&t+V4y6d&OGsogcBb^8zs55O}cmG^CH>qY4saG;`M!oKnk zABSy}y_P5UxqAeoFvq#H#VmhzCN}%H>jEdrwO2aI4`?dGTB45>G*++eK*$Hx*uK<* zG$Vbv*TwH7!Ew-L4MR__S1MPn^gw$=^;7PM$!w*(Rg}EGuR5p8` zsDxp+Ip(OnG$-A?(iJ}T_WHDx?VaBcw8+2ADM?)}r=1t-1OcJAZ59 zK$4dCXwhrT9=ie2&VqwTB>C2*T+vT?)kta-pn^|AgJ;L@h__U2>qDsY|c9vIWMw zwZD0VZryc1J5ls={i&qAVkW8@Ek8^NRS zebbe=P$Kh?iXdHgDE5WIE0o@XJUUoZ5vic}8JNV8ra!N_fziG~>CU+)aVzlPYOQ5M zLK~I z-1IBpZXiY@7J(ZK1~uuK=n?hasOH+naE7t0(0U`3i+a$<(zebn%BaX}MS$Rd0{9Zn z6^Ms|eIV8TUCJl7^{wg?sQQ5;JCGzIOSODEk{iwUm>S}~F^-_+(a5o?_qvHC(O1czFTjj{~2?v=%%*)JGcZ5ZX(1SCihXw*)^LRg>41$CIi-3~TQaG=f(4 ztVX~v265QJCnry%Y=kNpUcpsNQ2-y#1}u$GXU;MYFwjP~y8;|$IiBgSzo=Hn z39RW?exs61255=AR>`$jwyIh%15hYR-%dl6o4k27ZEyN{j;t#*=2UWiK!bkk!(I^n+37)p5@E zsg}T;4Yo1s0eHiz!9hCE33Q|M@Hckh&~{ux->2_s*33ikFw!+5`8aRtur^xCJw6tC=rJ^&1KU<^X4Uf;hCB(K&6DjAkJsF zJ~wH{K#Wk0YFy=S;00b{j}9$m{p_^T&@#~aI6hW#2AdEvUkJW?;OQd8O=hw=_cm?180 z55Cii%6}x^&CS`{5nG9DOrz1?rir$iV&G&8GC8%wQkdEg@=yta#!;bM(KE@^AiXR* zL-7eE&4A4fND`d)x=de^t74?9u{>l`0Asn}KZSWlvM3*=AF=-3%)TR&y=|O-1hUCr zzx=^r0TpMO*q*{=33(V>VG(HtTK|M(%Pg@Rmc!AkM~fWk+?)z0suy^Jh)2a(3J{{I z-7P_M(8`)Xv^eLefMXP2S8$HFs12VFt;UNT(k%+kuxMHwBk|@kMMOYPbrP);kI~&` zTR6llj%c!R?bPsD9uZl_OQG#Q@B|Vb4F^yDW6bt)Oh~22fH&(J(uc$hF^ZZ2#FhUw zCEdi4Wd!0v)(D4=;3rVC;lWu;1=CN;^@$2C^#e&ha<0%WabExc6hYueJ~`QLVmbm6 zjd_*Y$E!oW)GTegaUgW=%>YHDizIY|@I?9uW4G*{5u@(Tzqd`v<+aHM2EidWu?ZB5 z79?5=r*$bMO$Eu_sW`(F@pq#*oTT*57by5OZ0v|M6H_Pt!2y(Hv(+6B5+a`C22`Kh zv`H)Ypcs1DpeDnKH+sVe`i`+5F>|T1Y=Wq{n^z0e)w@BI%3dgZWo*t4v>`H;St>(l z2|)aOl3WKkf#XBl$qsZfFW7-$Q5E^~wNOva6f4z8bQBSAS*6zdKh4peNI1F_isP=EM$cJ8 zVUG;^1Fyl>s)S3q?+0{#N*Mp9wy{`Ea{V080(9&3e}kA6m4->mev)xN=V~ta<6Zfk z6t8QPKxn1?OJGbMIr`E`6=yyHFGp**0h~?Q@RY2^OCu81ISczHNrBB)Qp?Tq0i?6F z%D|$`XgsIqMjI}ngI9`{|40HCobdNZ7*pFMF(iT6`sv}AA=S(p$h-KphQFl#;T6M1 z183d>2HDdhG_5;QRhITmrOSp%fG^heo^I7MPEU=Ns7J3-$;{vx-JNF2xtA#B25|E) zD3*JC_qh0w09!D^W!xa8(vI&S`Y0iL^-C$M2Vt&_9H|;qlAzImSxwe9A|MhpU_G!- zrF%vw3XAzNPF<0OBt=h~oQ$o7GNo zLk9X;OBaouQ&sq9mPdyi3GS$*dpiT}X(BNMBG z+Dw46BwQmJCT_QGPs=s}({7MC=>NpNnYF)AFSFbKwOYwH2dkX;>dd70Wg0O8m#<2) z+AryIpE#|(R??&Kp-BQTY(DxoU}J=q|J->k<0rkYoa{pkraT6QJV^5m*yqq`Mm&Q2 zF`rszwF(Od&8DIPK4MTC%swl5c4>q( zQt)RKen6S-$`Psh=fTB7F;wE8S7=pS%l^#~btt^#i9@~4`;oyb`z%hGviFJ?DY6F;w>!I|9~76pdWSeE>7LdXv-4cRh&@D z|4dO|znEyj)#hmp@A8xNbVFVeX7mx!+v%#TARLWLVzRkzAH>v33MMZU)%SX=Ik|S0 zwm^2y_*8uXG~8@r0RsyO-&Kmf(N7bd;j>e05^U9V{Zf{#RCqF>Jj539lZZPJX#n>< zfu7aEq{LBOb(JLmmHowF6_j%56vwUnDW0Ygr(FNt;)P~w%~9vE`uMcoTtt9yOah>T@eI3WPvXnvHfu`h%95Wr}|ob zm|1v03?+~pJ`H}`v1KDqg@{a7;*vI<7Ay<(=H@wvt%JG-sinJ-&;tLxQzRkMXEB0Y z5FHDkNNUVlSe4ajMTZP;N;s6@to`(c5`RpQ;4eFg+9y%;39@)PjpQJ2QdGk;C1fT- z4a95W-+wA=Nf}%3W8BKn#RCM76#}H57&64$<0d1gT*C%}#^nrlFnD8drEF;_!qX5` zU04-W`8tl`EwH?;BNz44hH8`@bDu@E#B!F|4`KR#?+lRR)Y6x4N%c$b7^*GbkB1ar z)xK@dp++VG6QmbL5~!vdLZb}u?eGgc$CKZ7ci*#y{`Us0OM88yOetd?{=CF~<3%sgf{4z;T3;y>ipn$lH?OAgr7tad88u>?23rV@LUA1Y0M@(kr`E z0v@=JutrKj2~wG}zq?H#b!s>!ewK-9j$oOWM8$|L7LrdReoeWbM9z^JOf>f20E2F- z(%m_&OTe;_HPBCSy=~&JAmYa?hsP46WR^eb6X18uN>;_Fl5qjWVS10dlI$3Mq1C%3 z{u~*r{p4j4dMCPf)~31Z-S_oYe|Jqza#{z)fORz<>k~hWz5z`noCvPqHCZEnJuTU2 zjmydl{w^Gv!oGf3L~ES*icCL0a@9RHZmH`fud9H5<|LA#{-S3wpj#4q7g3$|cx7R7 zrXi7mN*-ris`ss7;dC%4JGOGa=nW|fbDHosqm3L#)Tf}it2RY{`c$N>H7s2tJyfoD zI6?ZUytT#Bt!NoZnbVUx5yKg!>>Nh>bQ6IiwA)i5>}~`i@svYW4k1>W>oc}oGGqw& zxw0;iY7mc&uVLtKdd4p<03J#=l>B(gQywAYXesFb?p?1> z%=Su18AqzYQEg%4=`c==*0U^BKpnA)L|H5h(P<76MpoIp$LD+XunRe>MtaPo8{E~a z2d=vmr9LW9E^$~bl~?@z(cj-tG<0`v^M)Px@X|5S>JAIkkIdNnk5M>bacV#9iDFb&}WR|#?Y(mQtx@YwW~yIbWZXtutE@w5{=T>u?<6gr*tv1c>cVcVXW4FxAI@2r|V+vnowNNXmZEA^8HASJLM; zp_ab0!kwN9H3*Ff>`pL`VOo0wR>{`O6Mu}efM_9}?3Q@Sc!PoFhc#Vf6V{UufiMw-})A$!>PUZD7rQi;RHwTX; zld9DW<%g)I_v(9naLt6^h>};N#>+Jb-j~?dXaJ_di8F&5a@N-Wf^09Ik8n!8s`(It zgHth_X84$LZ~A|_hW>xKVx2)~4w!^OJ~{ni|PuDn}1ExFgR{ ziWd7qTt1X1SO33G5s1y!Eh?^P0{mE`*Z?+$hQVbBV$XT#P68A;cir9!9drBy75;kf z%-Cjri?RD8m7(jNp5B#{(RSyqOJ4nda0$DT~# zY1BAnVoLVKl_-6C{!)>9eFtWjQhm5tWCsO5cQi~l-FBvRwuSotdbc0~)PeDYI=9B7 zcJAQ8oaxSP>__N)WpkD#=iDe?$Bxx(Nhw-j8DY-~WE-UE^X)o@B=u}H7Q305UXV$s z3+9EReS2;bknzQFhl>Jms6!fr=UUPY&$EJ?Ii<(>4%>wJ_Q-4P6sK`mI;fsdJ$Op4 zgRjy2-Kh-crh{Dm1w%;5%tcr`|8PGv0T`SlREUdf=V`Wn8w;(w!LnVflV%>XEl{`y zwmfd^ZZY&3Y=!ow_#K+5O*f{=lZt}ZTL>q#eXJ6u34Wu?qf|4ef8qW)1g3252#M5_ z5cE05C%bka7)mNMF!O$KQZP?1Stz_QMQ-f*HL-%Qtwv#t7$g?nLnO&dD4F~*Yh5DC zDF3sTzUFvu-j^uhspop_BrGGPX!dg|m^3M6E1$qBqu0w9_@$NjmmiP~L@6?CRnH1i zbhm*vVox*T=e*Z+oB@cK3n{Dn^ui}%CH@wiN0CKimqujMGOGsJz8gyaYlX@}DPn1k zKrOXrl%(ZnOY{0YEZN&RDQjdq4PY)zo;Xj+rnnY!lqk2BGjZbzQv}j7jq88AUfY@j z*=DBm$a2V6;og^n>`7^PNm@=To)_UWd3@i8^FO);Ovnv~L+oHEIAG~tx*R7qaXyun zsZbv0>qe^v;i{5d$VVK@X~9a2Sk_9P;30VR8vVk5cM1*^l=H^=SUr`|V$#3YND$yl zV>$Iwxih?22HcrH7l&PUvt@3+_ zi?&(7r6jk#ae+}x5}ASD_L!>PW2K$UHSX}WeS1y-C|w5q47-r7K&xOfc@M)+$s*qI zoRn<$y#2%6;=9x^O&!b~2%t-$mc|nv+{%b8PLA#GMg#S#CsO)~q&T@`2|0AL8Z^%) z(7F_6a9(e+p5<)vs%n`} zOZpldT-7bImZdzDtwk8HeTK}g&i~;kNVynG%ZpWfR-T9h-Kt4oC*hnaMx?aIwY(3( z4!vZX9Hrwjv;9y^4q=1*mH%#Rs6S0tyvJ>{+c0XB#&!NJ}uGKo|Qbd;pPsadp5NA3L&ac6w55VUcz zI3Fr$5nMXz%p7v0mwve79;`IxFb=iH6>p`yV(vN?kEhSXQ!@>#vEOj}7hvajL^!#k z?6N(0i3oTjxqw@|51I3__IH1?D!IU^TifbTzUdKxo#GJ~pMZv=3Np;J7d=ZSfZ#RSBA6osRxZ8T+tJV!GeQs1L&l8Gf$Nq|ZIQKkExE(D7Yo zC353jlCV+EEKsRrN08>Tx^@OTUoiCDF$&FP^e^C)B%)(w#B>CDn3cXuQJBOpoXhA_ zlM3+1@OM94_z|mw#*qNvSBTRH{4xA8)6?@Wm~lbn(rpdC$Ow8DvDAg+uq3s&X7Ifh zWExi@6k^*I30s7!^+fr9tJ|~dz!2OeWpJm|eI?ABh^P{F4BCgsOuiVtV3vNJcR8_i5jxTnHHH z1SM4&6kaEW@K5g!^r&9_E>(5!THINZqBY@DSS1a-}`KD$v*94dhW zX_w>`D^GXyffUg-6ADSCkA(DZ0;fF^uqs2nbdGk%=~v`g0E3F{gukH{+D)njs_cs zb{u(gwM5odSp5kmcMnMIY6G%G=vZSaoaHZ}^14kUZ=?Rc{$6pmPxq8f=OpZ;D5SdK zct{x%ri->V7Ikimtf6fvB~NHVrfd;-}R$vEHMXB!;Lbrqo{wPeu{xnUEw;b%Fk z?P8*4pN3=w%#Oq*3YXHiaLMkKo#k#7T9(=~JnBxzZu|_6hEz$p(RzUEOQq{_RLqX; zNpQfMVT@$7lE=1Pgy-&Cf{VY!-kyB`jWx1Xfu+HA***A$BQxyn9-8T~x|8Q*l#Ax+ zv5GS-m9cibSV%VCK|CZyjRzmENwUVoj$$?@9ca~%7}jD|J&6;Hea8${%^CMUR>eW$ zh^9mhD32QMJ@Aa!P682swk`3s>>{fg4GX{{(f<~+k)OWhW|m-UUAGO zp7;OO$3EpFBx&Pa%(0DW$q*$sr_`QK&KvZ|Ml?gY6jlfRm71Zet);I_ctBYgu`K+?jo@5GDq*En2x`WqX}xubX}w6Ws7+an3Tv!V^nIa6pO1yB$slE zY`pm(FJCwY= zW^==e!z$*lg?wVT7*+=3rk=BJlnb=Y#5#u+a`=2HBz`8V9V5en>0|J8Hj$U)PXW0# zezx{5o-F32fAFy%8zUVmbEP`nZ^x*C)vJ!NzW#~dkC_e-IVthHFw~xBaq%DDd)VgT zF8?m|tz7)@ogX2}v_KCzs+E>JtWelZ&3G>KJPt8D7@4^<9Yy=e) z!v=6qTKoj^?bO-9Cd`REPR2`MBI}z)`y3Eih4+pA`|m@R2N4ZS>_6AV6jrB(i)t9C zZ~QEdetnVnq6ol@rdb$uVj-{7aYd?nNAJ@IefC(Q^XM@`DHu};D3iO00^v8<#G#^a zd{0Ll1{3&{Z2UWjL|B;n8V%GQM$*Remww~j%*+qj72MaByn_TW~e6dIq@Y~c{IB-+j zOAoA{%=}E-=^#jKCv}}|i1*Os!Bnuq&n^EM$4Xdq? zPWD`EpEXa?;p_9U+}1FIXe#rbIC7pAM9FnU{4x=g_rc5+a8&ZWiJbVRGM8kx&RU* z3j7}axwUHOW}EhwUPg6vG~>CGM32K4zk^8LWlAqGR@i0Qjgk1*M}tCn`NiJrDVF6r z+X@SH)_`pKs0P^-tUklKu==sVOH*D0vcDD}e0;v`k4H_q5Wbz7mq_|dx>dO~zqSGF zc>&nYtBeTEb|^i;PTR^8yIN;PlQkF1(eJ~{f7xDS96P9~~p-Q;e-VvV$pV4{E1#r(8)Q0l@7H_~y^5q1dBZ7_3zU2Bi z(Fv#q{3~Z?`5j($AL1)>>k-T+f`A+qxb66Hhuew#@K*jA`!X24kf#Wob3}%gO(feU-aGlH5IGQaPx=7=eX{HDk~rVi z2a;epslN*RU8GX)(0v4TXv|ax%~H51l`Z?i86H2Rd^l;ok&;E-{4-$Q}J|MIroUq-(dVH~X9=o(I2eo2pRP%@|r0lnLI< zg-qx1BFqMgtg1PK|GpwlMfu_$A$=deZ4$d~W#yjf9MNcfWF?1Lsv0-;dn*6$B zNguoY(72Jx#4To&5N<+%XCX6;iIbwcW&~@we`qYi_KP%Ugcq*8?M7bj9%kVRN?)Qj z9@a9Id&)+pP<0=pPGQia`Eu%?b$&r!yN1YUpduuuZAvpXJFkVJ*NylDIoEGTz9|A$ z9FQdl!* z=W2_2=MVunmf)ikKMgsKugDZ+(lgQNvW-+<*dU9f|BId!Ll9;32B88xvUNEjUH6kk zuG-{e5}Dl&*q_aW2IrDD8u@Es7V}CXE08pf z<14@mMWVH-V3MgZS-i(cUcsC!|GzmvwuX&5(GI=<0D5a@zmjZd8esolF zT=z@_fG8VNZjX;Lp*o<%YMJq8{(trUmTI{BR`fg8+`b0n%uV%J)mW>dVjFOBQl(w4fyDHKg9^8mT<(~Xtc!d&PJs~qHe|3|T zY4UQB1m>#$sAC692&E-I2}euTvGSm=+<7pexJE(=j6OZXW!8!VXU;?AvicE^RAx+q zB>Y`hXwL)WAvhe8qw~PktlxPrlEOOM@)j^V!Fs%BlewzepLsmL$OZA26BIbfiYun! zqsub9p(t|jyMzB1`O$BTm8{=5D415GsZ*=doY9M#??teKMIZ})I8H~*u_bUv7Bb%Q zB6wv!TUgn=p)+a%4l#Kj^u0~P8(4j8{Q`s60C1!P*P&1m_<^pWVeD`rS;{p8&O5Xt z$;2gpkekT&gA+>4j1=v9O5F=QpPQ{0xToiX<(T}3s*;7F#OeAV zhht+FN#MGJT*La&H{#E06IzM;TJ#CpRB%_8T^m*|2}(J7HELKkUCfksAcKluQ~0qK zdxC6W@uZ3o@A1#x)G9M#uV9|aG&}1>dNp?Pi^#T!Q$o%CD`#9+Cn#Rs*npr7= z>VTqo>w3^S1i#?1kvuAKD(#xKjGYK*mh{j+O%w=mCVBe*d^<8qoR19hxjebMt^Oe< z;BQBLR+8~cn3rcOpR-*}3qrXsvHOUCFJf;%{pEMA+uHsvP2jsA_yH{DS|`;XJQ4!! zpL4QJs_WjfQL+jbn;bItib z;ShsX1L_VAWHEUQO412t#^{__hkkWoG|?luk? zh}jtarl|UNZpp-(rYf?9KTfmEUzMPF7r*Qs5Sp~$5r2ub+$`g~SkgOsEC z!;h|KH75Omla}~J5)D%A;87xb_*>U@40ZTgp?)Sg0>swvOJJ?R>!cjNC7oK9MYVeO<)Yz;XZ!VM}q(MRb@+8NoAJy zkVKZUlLiWWmmgvSeL3`c?^;0`8*WH|vUsCV4*`J+GPB{fuqZsn%oQnv7fH$w%uVzd z35Wbu+Q&2_M{}sdH_~tjzq*|wvRV?D@tw)g^oh-fJe;Ta2@{@rmlWozE^jc|l@*Uc zrZ|#n-lRoYwc;N`+6}C*!Nwodtw;-G`|mbTw58FpADSRW8XshbrN|NHL@0g7tgD3= zbEAo@44?f)G|Y!Fu(=qY3sJ@`4ZP@^!4+)yyO_MMB_-x&uvM(+0Nd+_@;>Z^`J~0? zBn!to54Fv|P#@se>lzPt2~d>tD|IgS#|4(d?t~wY2eK!YEDKOgkvD9zd%uC}2E1{H zG0gKZM494cTL#xjE6{+ed+A3@Z1~rAN6>D;Mo+}MZj=wPPCUx^o4>S?72;@3qxZ~{ zbVORC`^;6O_54WFLijx3?XY}vz>02ZPJd6%Hfpl3Y1$b;SNpx${V5`;3qysSi`KS_ zY@jbZ$Sf|%Ox8{Zzois+FPFBmtRy6mk*nKcmv@pc<8;I3tyTn`>^Y}&8t$iIBam=s zeV&&oP9KBM&@`&EUGB0g;pG?+AsdrI?x{1Zo>4U={9H~Ztb&4^@dPxm~ z(3KbPnHDS_NHA`Bl=PcM3oPgi>!FU|9jT^TEJ-ao5i!xh!~u5OS7c> z<`S}=YZw{17-hM{^>B}`-^iN98+Y80+Tw~&VVHH47Fn@NNy9E(4zn!T;@xjgUxh6& zTBSrTZ@%-mAwDFp4GaS8v+-^)K~J_&?vaI2b5^_?U0=Mr#Wq47F*w3?I^7Z zo!&^IP6)M}N9|-#z(<4hB+QCCG>3n1QgVS3^n$J# z^h>o@Tuu57W3l$Lwo;Z2!S2Yo&uTvn12%^lE$dvgQY-+@l#~oX6k`E&0b{s%bjmK} z>1wRq4c5X1OznRyh(%p|Wp_W)|IVq7Ufl_1IYCdL4$J87cZe({K#!{&BxFnx2IYb5 z29xB(n|K7{7~`_@v}Bcvv(hhlKpETQwY{sT(S#_e{=XK_-+$u9-cOF5ly=*%G}2JC z{1cLaVq-^8JgiCPDkQi~HSZTiH1t>RwZaCk^~(@F^RHY2k_}@$^b0N?M)7Qd+J4fyHB(NM>zX(vnB>wBIqy)A=!t7)?QFNH#WlXqZCa>ng$ZMX_g%)o((V2m|s=mAo{hh z$JA#Q7TXK+tEe=iSM6046pL=gw-ZS}B-6F?BY)%5x=`%M!{WeC@?;U(I(}&Py~?*` zf8|@Nkqp3l6xFdHJ4K2Q>+|b);v9bJX$;C7fiPN6A%l2o?0Nirn6%kq!#bV}-&kr| z@9!St*?x7JPG;6$>50LXR|No^P=*lc!gQ9qx|i5?1I5bX{cIk=wDJjzqk9JnpVc4W z5v&s0SxvtGF~LmheJPagDmqRqgIWC+|6Sm?^Zxacm83PB*Y2tygxvNh5!t#|=nS)M zX)enhlw_Jf+v#kuTC@OL=V*#|F;CQ%)|J#neMZM?+Cys=SjXQNRZ+9UzDy0P&eRe$ zk_YI;NYc;klQiP%U?~H|(84%2RJCLUut+2e|#1m#Uy<1_}Tf4-46&7_6O$^1AOs91vURL!q&jIB5V>Cr_ z>K$AB#T(;j(%)FbOhy)3YGSif*q2;E?XQf_Q>n#(YQKpJ(@L;s`gdD2x?F-$P-0|i zxpIw7RcL=_?%Z^-h$V=%bnU1A&%9J8<8cN)<+&nlttq3yDPdqA2HV=-fkgyCRBs!p zo#oGVf)#i%PVJTvj4~`teiF<5bX9khA8%FLQiBDrEr!K%)8b&qgdol1rMs>gYQWnS zIy@i4KT}ak+jrlaw_9zxtPvWB(O)fFK!Gt5zHfgPPhs|WQ5gKOsK=^Uw^NUMn8p1= z1Yq_mKTOc$P6OMS2%4GN0yV_cHv2?3QStLq)7Roh%F8ob##YI)__WgbW;YNKvH$!! zgOyW{X27h`#Q}Qa8$gy3;ZhdqUKkUmga&@^)6r63)sK{MFB(8nl`^Jqrx|E>MT5Sm z*?edniA?r<&<#z|QcPyZ(|3fyky72XPn)GE9*rl!cNAS{x|9e;o4M&DkqzQy5D2moTNSob_Qf zmu$`G>ub8sLf045%c1Zg?j?vL$$g=Rg z-4OF}Xx0}&T_ux@>jzTxqH^BDcH@bY4$1W$U`8l2_a=kb)yRU!e(UlFE4ufvzq{Q1 zm&C3TSf{_(j@9uag&FNo2U!^R-x^V*^MR8Zod=XM;Ss-_l=}~V0B7bgx2v zPRcyQc-kuM4=BVXdd(D^HFarM-EwqsT^jZHqwR#R?YM}-frNG`v^w?T$EUbG2rl5! z9Zpo$;hp+J!iu8_(EK0LMs!ygst*s9Pyr3a^m<}?deO&e78w_uNk19hS1Ip zW_cWe=Isx38`&dr)>F$VHoa`FOI1gft5DAoEfSs83gH?8^DvKPBdgk>xg+Cg18iX1 z=0W!EYHS?RV)BbTIThy>6))Jzv4+{9Z&TOjk6W=Q{cbz!EWSt7&LK0sak^PcG6MzQ$-(-~!pZiu%t<8Y@$FKthc!){=Hq!Kr@1I6C!;x%gi z%zA?->>Ljz;Yt4IWI{`N&}v||o$p%ZuNM>>ho#b;gY^|q9Y-_bghZdS?tH~g04tDy zbrzC7@TWn}gm1bF3%`!Vvr^xm+j>dHsr>ngYmYyP*wn}$dNaq%0g^T}s9Wi4e(ANh zj@r12e!n6A&O1P#^x6)6bi9`6k~ToI0mzoj(;6gGS06s3q0QY&8iHD2Q>0dG+s*D| znjJvZGT!^drOlD|O^29ssBRK!0t#X@aFz@GcT=6|vb!0cu8dDl<>aMkO(9}u^S|Sw zDRlS|Rr*$RTR%P-x`;SH6{A0ZYK^&36kw)2>w+T%d7T|Q6l6!)g4YZ6r7crHjRlpV zw|aGaEZqwq){~PJQg8| zkWUGh9;qnfFy#1DD$vv>f$~`+8H3p6H^0;3Et)@UCJEsBPr_%$b{!OGV9H!J68iIy zMdFH;)N)t9fLKlVaFE;f7iQ0xbIm^or+uKG0x&Ya`B0}Xt<7V`laOqNFk>5WtRU18 zBM%N!;@AtD5}XFfV%Ad+E?VK&+I;~C_fd2CR~r^r^z3`>^k!KUCg$n1o$h zZh{^aY^{q&V7SxWq<3;tKD8X}*wOu0ot;oSdN?O{iX(HV_MrJSAQT;!XoP7ARb@53 zfZ|;+j$^RA7iGAjTa80k7bfK)-U6eEP@)(vCp(xX?7J(;>A0$W&(mP6fnQVpoIt6I zS(C~S^Kl=(MCsX@E$XB;xqny$>tMvWvpPl4L!CwOY8-Q$`` zV<Q3ozW=0)a4+(LQH_lwOf&JCS{Lg2?Spt>tO_&6J(w`CSRh~f2Yl&O` zS~_akp!PGJk4vqFKW7tec#Tv~Up?7Qd6OE!yF;*L zyeLfn7SRUsYqS9_+ZEhFN}OP+&}Zl0V?MA{ z2cqGJ7K-kFrp5k#zDxA?0A3Q?e)e=f3#7;?MH<_5E`2bp+AmC&gcMS{+|L{G=>e3~ z0kMtv4!KH^Cd5u>xwFwB%>xAzs%$v!~h(?^Btv-hWmm*yuBMu+Q*YlLNhR*gQv z#Y4R$Dk9-aL<%P^XHAiRN+lC-#|4L6#8R{!U=w%(Co*i^tH>P;;vMLm!&;ef3WpibLOpO z`98PZrs3HqKj=!#N7mJ1$ZtJM1NHbF<4v6e9d3NJ1sSh61gM-J z7*&Jn>P*A@*u66&$*+$Or>wof_fQs z?u~rKlKRW6XFq%L>w!phQwR0?4{(hiUcXKrhuV!1s{aWB2MSZVc{oN zrwBhIlypye*#vQFn^9N+Twgf?4aD;#$H0@hgL$++>JcrU-`c}mK#Kk8NdFs3Ey#`e zV}_6uB~!RCEjRt5fInW62$`30$Yt_V5$}29GW@28K6Hmcxn;C|@G&tiD(L;2RbvJI zRu}r4oU3nPy2McLkMGk}!pH#+FR|Fj*H?jI7i!9Ztsuk!L16&zLiOp!_te=~w0!Z8 zE?r+wS>PRMSCoAw>i-IaMJS*{h{fBV7^4bk&tLzJ>2mw?&spbQe&=#YsI~6;(7319 zTxx4;$%iqe>lG@@$#l|@-T&d9N~&YxhnYZv8|LBP6K;#!0^mA{oejZamEKLwm#Jo( zxG?-$_&OqWsfot1?Y>=47NZ+vgHVq!fjf!i38w-(5$(Iyu9H`}h_y%l_e_>@TxLwx zWmm|3g2ENt`=mt27q$JyUuGUDiFL&`0hfFDee;ReMk6A!tb**|yD@njr(rzTbQOIw zaj;|@J7Kc1_uVE@UN(C2U`w>}+Osa#9 zq0fza*dp-cQ77=qlpg2)s2`Q@miU4tDrRPc`_v6_}74Pu2;dlPD8+A~>l50U# zneiK|S&2t~RCVQ0?lbV`eJgb`Op7b-I@+OrG|dUl9Gf#m!<}-@Ouw}|%CRhlPn^+X zkgk0Ui}((ZCy8B?ZTwbTpb{-374lcEcKj=1O`eQ-fa8j?B*}^9DSE;S8RgRk zPbx}M5rHN(*o%l^hWkNFyo^hkQg-DYD%beb?y@>)T}Jor{@wbgJmL zpbv^!4iq15Xpv~esc9(K8Q})zG*FV{hfO8luc!;eeIL zHb2l!dCp!OpX=*zd0(UEtw~noi7*Z8xl!M-phoY=I%Kh?bt`@5P{Ju)FQ?P7qy)`a zj6fGIb61FdWj;M!o427CwJ62>T=ylYZ9}Y8#UHzky zX6edqH-O76AO3f-d5=m6>QtpXgNv&(haBeE{5Nb5zkY&l;giy~2@lHxVBnjNeZhBe z}<)1S!HIt6)ph# zLPhd2Znl_cT2*8OJnZ5hBhHz{pYlqC%kx|0<*-vsS^FlEtTgwPToe^o`e#~4G1Lb> z2P&c(Q)|-KZh=x1X#3q{POoINRwasdPAWa}+<=5aDd~l1s{A=?YXqJ~dS6qiO_1&`>flWU`2R|muqa@3+j}&>LQw!me zksKd35wGU_a&&VkBSIs(>YSvjm?>FH2z8(i!EXtYUq-Ub&1dPFS3)V=<1Juo+}2m= zAi>1M3`k?|E$YD4b|%4i^-D6wi01NqbVG!Nwi1YgO0K_dYGm1#7qvV8C&B;UDB30aRT*8Y~q`=ue|%OZUKoJ20ft#eLM4Jce#NrE*R zL6+4@ID}13VWgW){nKt(&Df+i4h1~SjFwHs7zX?0=%lwZ&VeOJr7Z-2hh~@ItW~a5xy`a5O zY0>7*1>TSh9+gfWyFp)T&bHixr!R$eEnRwdl2Oeff8^*=RDmq?g>C;$*Q@&QFaB>o z0aCo`bIW@3iRb=nXyd!}jGq4KfRy!DW4kE>-=p^weiS~m+d2SahWhUK8gWiEE{#+I z9GLZ*3&=fXcTaWI2N7!Z4k-G5-O*5nU z)s5RoYi|#@l#PREA|d=WXolhQlBU!eVCfG~Kpag*iY#QR9VT)Dgp!8F|SG0u@G}@XzxO34-8v!In3JsT)Qb zUYotT)%m^hfw)*x_=)eQ^XSqJEj*kJx-t_*&Nlqx?*NC=ozESD)4iD*+aIK8>Buhk z)4J-f%cRf+6RXZnl(qU1D)@ttUS2#J)`*5sSO|uPvd}UXd$wPQYdCgcZufFP-%)%& zJ+{k-MxBhZ_CR5#KOHT24&gAOcPp3$oH+DKfC94^8SQNDXZUA1*W??H0p3ujhWjB< z7#7(XW(3hO*UJO&f^1n24fuemmJ z2mygYh{3h9VX{Sg-v3GhxYE`%IdFBvxEK!jV8!KpI6g9Jl^X)$d z?!5q*V{<%r&ctKZ`u>r}R8A#b2j{Z|lJ@o5xr(ZJ>G@=u_)5p9xjZquBNZR|=Mzg=l>Zk9g@_+V$pp;uhRz&9Ay_XhsHxMV} zY*$|=8P6GT8{O7(^opWmjCzE+OW!xA*ov$Ar>OfIV7uK3sXr8=xgHvAuU+nd##eEo z|GQ@EFsJAc>PHEy4QJh~%Fa7_&3vyvpQ#}*10+hh%c5F`(nD4j{7Im@R+X>A6}Sp-{MUaja_Yp$8$jN4tG8=n z;SJoii6l!jiTx+u<7c=Mvf}=58Q%oib{K)2E?bbX|9gS24{(QeY2|4S{MaP?Nj1Mj zapg>Z5X})iNJwcXRrJb}xP~TveCPd-TqsaE-W9W^>GLq2iPb6QH(Wo0E|)9UESyjT zYh{ny({f3i&DCD~HK^?&PNs~R@n+KMj9`i0G^yy!^siNB?|D`_Ol2P%Lg)!P-#N~d zqR$qE+-XI^=R7U3K%T+jj7in0t0&3BU9jh4wMVG_az>ZgEJ5PNQjNl~Grk8+R+0tZ zK|HE7`H_`r!_Df!0C`Oqth15QWqIEsQuZBS<#5NPo9gG55n|vj%xMUnTSK)i7m^zk zO8Ywy?wVY2cwTkaa4<<&wRX7cFuiU2sxL9)Z(w8w50Iv~JMB9|>Rip0C@k>b1nnS% zbH^OpY$4v1KWn!WFw{a6IGpUZQ7^Sp5k$G z%^4KrW~uKDJYikX{~ov?IP<8Oz5(cXcH#JrH-6tsay*ssI(Ew!dI?(h5$+Iz`{6 z_x#h0K|#f1G;LXMvK9FAlL<4BW`D$sdde`VKdH=S=^+Q!Xc!7Uog0XhFkwX#GK(0s zQcG_H63Pq}e<;F+MgrA(DFo#^ z4d8nwHvVV~f@u*C<7lW&XBQ@d>&XcAFB^{HTPk!3H)vc4{&!4#i_w!%bOU({jB!+v z1~yROi6dIs34Q@S6;&8MW?lZy1GLDFhG>fqvr0GN22{CgcybGq58>#J$CBK~Gr2-7 zIATf1buMtX6cm-6HVT;Ws1#3Ge0DvtuhQ{IeR}NAFhp&*Js1?`qof-^qWf+}3?`tA zn;O*Y729*4zd)&#)+zxn=45&VeStyowRT;xhD>`~Rc<gt^Ov3d2t@ zd@>$ z;a*5eJXp(nH5HnE!WPDQL;$B?t%?``EpMq)Rqmml830>*j&l%VAoMkQ*7YZo4(#x% z$bD8d-U+mQm|PuZD-Ja4rG37)5qf|=Au(|_j07AV%@0|_%Zzzx$V`TsnrBh*g=p6M z-35Kt9CLfj&yYMWvB04j3b4)c^)Cu5U+Jo}e{c$-RwHo*i~=&bnOM)`8e^M?2o_zfAJ2t@*9VOqhoM@@*~5b1E|mX{wu>>A z4bovA#N!QXy*SnP0u{#wn#Ef~Y0tiYXkQ2@386L?>z)U}(l2(aUo>%qh3WVh4ba}| zRB?V*9Mk{Ofy}ura%?4b?>`G=!!~C6&kMP)wL_QKTAr~OT1rP0PrZX4eEDeKP$8x7 zF!O@i!n?Aa*_|wsUf6oGo&)CbGpwLywt`dP%*owR>jIX9L*lh z($|k*tf9ugqf6}~-PCqk(vs)$-^lJ>6=t|mwsab^J`ISTy)@y}b!jdBgb~pD@y>sS z3OkPqIo^Wmz?(5v-sDH*gG{^E_e&lky~gv}91|qF6;a3w$}g-U(c{I$T^mfgYg=Wz zFc}fPj8DFwqW7`%j27X7ccFfqlqtlbHe83Z_!F{M)hSJDV7sJ^WG&CGKXgm`JvU8C!NiNlnW7@Qo>)%7 zpIOB6RxNBI9-vf)tnPwoBN}}Db@5(ytsQY*Vv&T)1U6OY$uJM&Irlt9D_lbxrC8*U z#wjGbv`*Y$;TfW)pGytzRFOXqT|wcd#|3Q1LDN4Vc*}}D0V$`zj6)?e!N}{(qR`XP zSw@1#x%zFEppzV}hrRF1=Mr4+65f+Rl201=8NkipKKFyH2o}ItCGB=Fzj9>;30jT$ z#9n8$NRCR?wTE1Z+}k}fmW<~Gq(<4JJUSFAicoqZ=Ai+ajybz$?`>@}XglRT`;+lW@)h!*ud z`tn<)0Em&^4{A1=9P7`wJ7a#vf33!Hs$qYwPT$ z)&Z^O($75**Jl}q5cK1N#P4qxgwJ^Rn!uq9iMDXI){Pg=+qO3!qK{vD7<5$t0Wd7h zb)duq0ppbnj@2|SRpk&40eL>!0Oj)b&?_?}QiLJ+?>^(HqEnJBC+S;}(jdKCF}TEj z-?f`(pq;%b`D#Kl)0SC6wi3vm*UahJ7Z5a6_(c2L*SHuZgDXH6mZ6*aol>x=R@isE zl26K~SQp5&lq~WK7eU;eM!6d`m)t+K41ba>B*6pZ(P*)Zx4ksz>PLPA3f1c?7knrv zi^k5YO;}>a%Ft4&4-pSZCJY%OW#_QX3rgrA=^-Ft`(>s1qT1RT8(s93}Fi9UPjK`?>M$DjJ(ds_mq@)cmcO9kL~H5u@BwQ`p;u5Gsy(l$fzjOAoy1qieq0 z2kI4E^~Fq6F7(X_NessZpD{hmf}Q*~b%wMx`iy_*OLTngc9~A3HLDpZ`~)hFsFUnu zY||px7^GIiA1p{n01x5fi*!Vq0h_n2?eV2CC*{F9WJ~j3Y~V1pb=4iU`tn#FE#dmZ z6%Lb4qyR5h608P8E#iCE#e2nmrlr;*@=A+%cWUltktyYAW~jyfN79T-qcen-UfqQF zvRrDDy|7YQQ;D{uw1#%WZpCa}B6*@i%nb3brr#KFs6x%&u>@C*7-x=B+Y0o#mf{cU z>7NIdGhlRWA3W)WV7NJrA_lbeAyuSZ>BOe@T!FR=qld{rLU^=?rSO6yHDwG zn;3UoR|(PYlZo5iE^nSO^b|*EheEuO)azdZiyf(vlIXhesz7tDE2+kVb?O*~o z*a}-It4#l$!FAtRx}>SQ%DbsSI^vRdaPb~Sh-1maSrnrT^t$1n&4>n@%ZG$Gh{WTe)Q-G@oB&mm6eWsG=NTmsF#@>Xn*~V1lStR;IUVxht^CNOR_?`I(uh2s4 z5Rchka+*)?_dDY6iTQKW?ARc4iX+qjA}C`tj1P$p=;o$2jZ$30HElu4eLvsip2ROd zE37rBYu~Umn}TG~by?XXCx7njMxFLIxO_7B;brG@Ak8R3SCEdQoOpOPFLVR(G|<7I z@TV3XX1Dp#S?dei8-c*V;+?>o?)~dLvGBG(_i?0H< zQ}kZD$EJLwlHcK7f{eGy_yX4sCI<(0l0olBY#~I*z)!aD5mGk21FEbmG)faSH3gwY zCd8JUz+G7_HA_M(1RS3*qLy)V6RoicP-C0MUMStmC%PTH3ORV`VcO$m0)$LMi5H|_ z-MdH#C%qi%c=59y&&Vw{6QueR^(Zj_4)}S_B(CpCOvcW15NES zTNYx<;#8=M_SdWJNw$dy!qe*MG0in?ctDB{ zF$F6-$ug3YtF1l+1WvRefyw8Xx{#tIX~2A&=aLVI-8st=2O>Y}Zo)!p=ehiI>`7@f z&WKn$r+7D&e<`LkuAJZc@d}Xk{odSUzq%qln}2Ub%;_p5%Yq zf!lc-LpXn_7DfMcFDP;4{C`Y~Q&qVEr@1FzhPu)E-XuwsQJ$WxAvB01N`ZO<(;^2+ z+Rl8y+&lJI;qn=k%Q%|oqj+4F*s3VbReldTu@emE3W5@j^{4q_q+Jg^Jt z4aQ!{yKE3bNXB1(t2*brY^iOa-!I5aHx@p=BE@6(#pVXlD)*%EpTk z6PEy)CXG#VS2VO}=4pY2=W(iqMx{Xc4v|ZnHRMXBtM4^#R@kQ8TO$$m_D9x8AYztJ zSdW4l?z*ea0R?CQA`QkANAJFS#|YcxGJrFjryRFVu251B*66ax#-Ws`B$Ouu?^p)orwc}m6WrsPwaX zLIi@G3Y1(yPswQVgJR>Ea5UrjaJ(#s8A!Awv8KgcQvc1~qp5p3>^?bou?63zk0}nC z1<|;LHxz&DUH8a0_riaK1S9xC>RZIgx&bT-$fFNf7kUV5ePo} zhr`#m*V(2R!f(EBI04e<2J#$R2nS_QVZ56bt3 zL__g)`77vyr4fc(#TTf91}!}N!m_n)sL8G$?X~T+4K*Pj(}G!=KjW4OS}`VNmAg>X zl(^BtE=INHH!wWn&s!8qz>6?`t-=2aVP@p(l(34#rF6r?e_QTg0=8HN3ir-eg=Y|{ z8(RHy{(dbc=Y4N&FH;f>EZr0FT@aUyoH(ho$*>W?~EC=^ac=s=rmdimia83w| zjx#=Ywdq(T{nWowizE=kome#shK_Ae)e(=AokP3+CEb2BX}}}ML&j>W>QE`c8=WUi z-WxH5jPPh_9vSu0qEi_7;;}9iKnW{uq6*1tLA(Ep*SX%4#7H@sFQdLhQn}m<|Jlj_ zbw?ng^fY2_&9KSxmLc9t1aoWG|N5qN34eVIO<^U=GbSh~NM^Z^%7N4l4<1&zG?=Z7 z5&I%s2D#!vYi02#tWYx8XI+38Z#PDA?Rwlrl#Fny3`>7GpF&V5)xwCjX9GUbbYozs zQMj~DFtrBjMeGu2DoEbOg5=~&ZsG2H#AuoQ3_o(ujTXMSL!lXZ1#RgXy-b5BR1!&0 z#W!=P@NoWcNx5eoj!p4t-R$HGT*8!(N&hMJTFg0?TYSj-5Z%3SFIv=!Fr);`vY29M z(8mZOk@SgGvzH7KuniMX!yG}O2*=C@manPjP!hN66z3{zkE7`3^~2&fh(gdchBkY^ z;x{yw^&La0o5O!7&*sNR0(6W$fSw2t5@y8U6Hcz9GK&fN0>qyuweO8$p$!4}Zc62R{nO{&2hj35w91|Nqcn=?rKwM7bADQdWj+1J!yzWT+}+e$~g%{(9iw0iypD zS3OPy+$$*4gMvH_>IQp*J0vW?J*=aY(tRv)5hw0$fYgNH7IRE{>72?DhC-kLI9cDI zZwD{Bo`M;tUJSIwN^2a%{x^uk5{-#vro%4AAul5qakPWQ*|Ze7+;f-)=AZ0Y*M)kx zA96Ym+4%^i=aLTXhfoLMjmxWh?eO1Tm zK_e4Wb3Gc$lSXnF{7=OwV*i3^B7*S5xf@Q-O#LBty-*03za||jCzQavwB+$mr)_zxMWx*PfoqFX#ffI;F z#DHf-HJ%=(ri+m#CyDc~yjumYt}x=qy?(ZI6E3f`I# z_qD_3gz}4%j-Gm%Na%J$S|d;e9igzy%<{VDsDlmSZ4Q!`GbI>{GG=T+WTj*@TA;LD zs`0`>OoI+`K?d+&1at#X)y~LS<4HE&gJtEaUKT}_1WsqrLV7MIg;tsZ#^Q-atzX)I zeCy?HllF&AywArZsxg&5h8uCJL0750xU`=F;%i^g)p&6rs|kdczW=O0Ry2tyvzL2U z?}q`F-U}?aoP1h_N^SQ@1x}c10>P#4X`kwqYZ?>LRkYx#rIkjJ(7y{!e=}G3T(ibR zt4{l;1bBFSUKLN132(UJx8@K1?}LYsdxJc~p+*$p)Y%HBrj@N3sp9l?X8uYV8O$mc zm^`nzjmY$*tbHp`d9p*Sha?_8U<^pM00QdekrX0mJ3`78{Rq!(@)FQ#c79{_%z;b7 zkW_5r6Li1jM{25xw@{dGuYJsPXiQ~v_L5QcV8orH#lZ; zNC~bQNm3Ddf+%K-cjr5?2W6`Xq8?gopXonHb$@h^<&nUL-F9IO^JPJ?JBULQrk|a3 z^BLw>EjFihhtqCq$-%>;X9XJ!D#vKmhtH!?y&><)NF^@brbi0S50=9arQfn)oo$pJ zN#ao7WEg$vXT)+9Mg$&XgbyWyLp0TXRuU7a`_CABlKv7e$2YCrOwoJlSsXnZGG7)t z3nWIfi#zBHAAS1dH<`lwi_0{WTj=X|@jBJ$5D+Jn=1q;_&(mIMCC-?O z8ka!UPpJg$DAV=Y&QY~Lh9MD<$2AE@dlMcWRrik+#-QUnv+SUf{EZ>l!48F&a2iWl zPQ~QYe0x%UKUHkrBUd3RmlrI{A>EdG)E5!*%OyaEl#EDc$0Gmo&&5^hD3(^C)-8l}$eI-Tk-wqfcAv_DgHgbOUIH*n=8$KbFstKtX za|x@9SzdLwl^shLp?sq-`^_J8hNHlV@r>s~W%{br5aI1km;?Y#$BR50M-==P;Cb7=+7rlVxT^v49Zg+GaN zG!#hG?)>s;QLuZQ2@%Mfy8iVAu^_P6mK544_vh@uqCn*$i~!R3(Xq%ow9vW^Ew$M! z4TWTCUfw4LWs;%%^aO-&Fa1$nQROGL?WaPDK>8xpo9DnwnI{xfp~T;Uv>$3)7XrVA zI>yN;wCB@lv}&od3FrdS#wzIHEqlV{-%+xH1A#KB+rgX-A%OF8-7r8ttUzc>y59Fk zx2b6~BFo<*e_vU=NV`B|1<=Pw#!%>L3t(ZoEXrCah!u(>YRXGyactoRa*$KS{IM$d z83f3H4JpKou0Y`{09?Zc>_!|o$D7?Wf!i*~fz3zsuUK+0d7XUa4HyWR+NQ7}XL^E# z5)VV!W0ReveUnY15ewE;Ay3ik=Rk18Ra5ag$;#1ICLnmpvc5>K7whan1Im<5X> zldFx@5|zycFwMQR!@*lWCsoeF%7%_l zlnp!UonBhsNwW82w2~b83QzT?w5kL$2=f3D-WB7fjwA(LoKPJ0UN@mvUg{yC6OhnLB z6Vdnu>(m+^g`K|L7pHcSEnkqRW5KNe0pO5G24atoi4Z?7V|ut552qCbB+LFBUL9%|+=h!3x1!u&3qciY0R z-Tk$9aU3sv5fiL%e7=6chw+!Q2O(RpWNwPbf88&iK_ryb&7DVDnE^zD2b$fqmOkQ* z18(fcleFShc!lYjVI6U~on6OhFON%&OIwqF{sf7A>*!j0>pf#9@uivk^asE9k$r=VhcLejen`+eC8lVSd(Z`_G2_lnYYK)7D84ilVVUZb9QSH3|mWbSsK zo{`)s(Q-PqElnbtzn_;9BmM`t0zaquN;mfFQ*-gy2M(bJb&od;FA_nQfeFCxQ^-c8 zk%4h~7MGug=TZKa5ufmmngg<2T(ogtL*VddyyF08iQ(KGcr}A>3eY{0)u7kE)W^Shg(r3n_66GV@td1>Wv=dK{`eJae?K-5-6`NH{iA9OlvDGy$m;!6h2Q+Q zzxI2c!*)J`f4D7ALnrNaub}YWUMg9j{TDQf#Q&KW7TP#1H|81 z*8`9dIG*92uI0xk^Z9I>Z@oh=U3Pwp6``SJ^37rQ^87=qQOUyna{G`5 ze3^-J5DxFe<|4yNwUoE3(O)G?D)(dYkdh~z4*kQQ zGNhRn=zOns#?qr>>DH^%oh^T)5qMgXzl=eDk^`u|Sp_fr+%>m>)&E_Uq6m8AcnLPI zw%6|fPN&W@X3d^~NIS?_tdzNkL(-V+>v8_dl1TBzjwTcwvV(g%m>k)~~ycq+H=9!z+cq7eJETvaRdVSaNQd z2ie>KF`0icn{GZ=W@om%sOebZZL!a?r=qmO zsH3>Jgkkpe+nO;c$m;2`+#i01P&zej{lsJ|K^yUrp_|3tpd4+UzRp3T1eg2mdtS|w z)!Rj-oJN!GSLP?4vm}J)XlLH{2qLo|OpY`;b)xX(oNpER{I;_ZCKCard|o0lUMyD2 z0gaA!?)+D(79YEX{-U9De!xq=@2vBUVH{diQ|9? zDTF;N;pP`wy@u(0q?~9jM5El{Dsm zS+M8~-n3VHXxIRNOn%{2y3;K%(MjOd14|9Pz6nXpCe%+8gwjlnvOz4jJ^< zFNw!yt5O8{eAVLdHdppk0OmjH^m3B_7^UmsBV9gp_B?NP_7gof$Wf=t6lNk;_znn` zw-pGO-gFlIBSH-Gc|eZ$E=vmJzCU>|_~|2HfYkZ~@&tK)3H=-YMa0TuBNVCTCye&m zGUE;(vXo8;)%FBo#Kq`C(}%2Y<%D0RC?RpV#MIq;@DPj?4c=?Ra{4oH#$;RZDK`8_ zl_m3HA+vPk5WolIB}qh@SZ|0s2BdE#*6|wl7p!~sQe?jd0JF8{&Bmi7cQLc4mZzK- zk5;E(w66|i6o+av{6K!D<|KWV0=xib z^ST8}FXTgqvP;7ZPFPCyj0d0=LfhTogr~{sioU8UY;)K-;Z&W~=$NP6YMX*H)%K>U zdmqTHjmt9Y--WyOQYil8Ye})s@7DH5v)IlfUq0bN%RlcL72Y+<)Vz%d3_nf(PL+-2 zsNBAno{x$){LWLw{?sS=l|i*%%Pjn;lQC%fH`Cdt%)+~O3(;0BUkaP*&VZ0ik|2V= z=F{lO7}WaiXRU(3|!zW7_r|JB()xS<_U_-~cd6SsX z{lL>OWI(eN|^>8^s+$U@P`-9l-@@3N~EeNWS|NjLtAI;!7h8XkHiAj9NtZZm> zIRp5DvsEuhm1Vdj@*ZF@Mv^1cu}xh`+ugHiCND{VEWdN%+#tCCpN}Pxmu%Cy{%YK5 z2cMrT@5M{536S2(_}K7_n$HWEH2LKy-zdk=l1zGrwS~r1fBra|^vo_qP*LaeUA-Bh zOjn6RPPorR9p@NAw7W-0pu;&c)LnSaCOs3MPeI>;DuNtC2)3&D9VDdw^ReXdoQ--$ z9?v6>w5b%6~paHVV{?P@2;|tDKO&p|kA6~G&QD7UvWha)cZnjnNrDoB2Xd1x+8B}HC z80zERf7$=q1;BVQyclDd?{O1ecX<7^N!Q{>2!!|0J%&CVjJ^NjH|_0IAlCl)t}4wN zL)h@IH8-xI4=LJLN3L!gzo8m$+`-NtOH;8eAj1t%f1VUxA&t>Jz`FR~`!D-vf;~<2 zA&FcKH?El80dL$R)FM<;WCRAPsH(g%1mJex%`{|vOG1R-esD1eXvIq|D{vaTmf;6B zn{O`QA6LBXNh5U!0x^!pz2G^82C04^N!SlDU4Ci4!gldTgBFL*urfI7Udj!W<+p(K z&_&VYEuO~Euy*wUHG2nKQZJn7q9TSw>rWs!6TNvErcG*Zpf)p>RPd?UND=taxkc|i z4*Y1NG3O^Gpks`P47rNYR^V)7fqtf>~(c4UX^j;d_ zAh`|?c3g_5*Kei^PwxZ*ai(eDxoYl>GrZ!J#kVzZu~#(k!3M8K>1N?V_{_sCZQwNA zhV!c~-~fmyzhg9;$+RwLh^dlo4{;oxDhn`bu)>TQY=vLFkY6q4^Q!AHtW^!SoQu`3 zy23A2mB`y)X1`W`$$tQM0Hg)}8{CwB;9BALtrNZqu~SU%J^S@zNPHJ!+ikeXytnc@ zZ;=-SgVvK8FEs8#kje3H(%sb>FrF21uh;4Fp1~uNGJ7ZPk{);=E~axRoCPd8%{BE5VeP(LGcYY(gQPJ)&iByPF%fC z$7>p=xRNPmYH|BqoH^dOdnR6F#rQ%%x| zb|NP~^Sps8{DNT6W*OQu^#(``7d-}pV4 zd$#hgwCha216dYK`);3`6=%;xi>!ZN2#Bn@4mIGZpHN)T%K~$-sv}EmnOsAz^Nrtw z8A@&WtXAT8z9(N8WI~l-->_jf%e|y81h$A9VBF0LTg1g9(-f?R3zTAELo80V;hN21 z%g4g0 zlY0Q`blg5SYs?DUS9ZZ&2;_udaB=s_c^K1q8N?$zb=5Z5%rfRQ~rGBTT!=K?XcOID`cn zPT&%2772jwFL-~9qShYLpD@ffD*Yo^o78XCDj&S#3Niy zwFNeynNV#Ws3z}ZU3|f>x}f8%EWZmohj zJCQLX;zjNs>P=NiwHdaDnNV$JnI-MZhH7&@h2{0E$6ya_s5S#3DxsRXn=0vfg5=}t zy<}uH(UNL2FyaxerrMl+c~J?~>OeL5lwD}TubOM(mb=?W|7eD1bto%SQn!$MgdWcD ztHQpJ)u;=B%%N(nJB@kESC%a~gR9q5B)=-`2|SBialr7arbHoF#Ci<&(1u?XSdhaT zE(C^b_*H=ih46sM&+T(*1j@)0hW6VvPJ^i3;t@hF@cav%Glq z0PKkkxe8E#U8bQv*!j63R{;{Rvu&tZmgEZHz$08uu7Jx)5OT#pF6mhALNxxEek#;e z?%p{4qZx9IrNv6>t#gmi!x?e~>;zdgzYxeAs?@%Tr$)0IvwA&~Bv-&L5R6>vR;ofT zrr){CUtYO-0QSU&TmclA2)QOfF4{l#gFFEVCNj&cDSG={l4}AEJi^uFLh*hgu)l-^81J)B5)W*Q~-ZmVJu(~#_)QAR^zk$aP_ZZeJi?C!@% zzaKWnU2_T3$7gcYV41`dZT0#=&AR|g_MZ!c%+T(u2jtkUE-zEvpO3#>Q)kbXPC~18 zppJ>+0AYPcTi?OfcSW5&oBGe-By~VSCqY&FKpmxX?|9%D3jZAMXNTjSiC-E!!hP3jF)@YU@@MKj4TJvJQF#=8a z+BJdJ>^$9Z!`WcNG2tg`w)0$kVH%4sd_K{E7N9MYh?8{%K;<0+K{=OQn4s*!)U~w) z;((A$LN)r_s(+;H56Ust8|>mzyJypjPR>;4ajhbv!UR;T5Za5zjZsS`f?&y8!-By- z7*BEqLFcIiIr?XCIzDO1+X3-?EZsrx9~u0)^zrGV?e#IS-BaoKlf?zp-sl~c4mtw$ zcR@ozCB=jqfb2^m0?@m)sN)!jy+4EF#cb1<*XItEL5{hxWk;5 z<0NIR@B(ISvvXdFiR?bZ<%Bl6`vB&Zn8@uj*xamKsdHY5e+I`Bi>IM4S2uFPoBKDa z_J~eK{WIrqU+yA)yXVq-?3^Ooa>VdGMhB-C^_thp87+5)GXU*r_5sYRC6T!+Y}r+< za1}zYe+0)9gr}S^evX{*w*I{;i>sK5JFFr({un~6^$8Mm(j3K{1_Wrm%7aXr6XvHe zVgB=pF`&GZR@}WYBD|;rKZv3~g1ba#c;BFfl0e`-iT=JaKnfDZjtyMN;n5HQZqm1M zwV51bodyJGy$66mniJxuF(Ll*i8i2;7utebI|i)t&I?n{JpVBq&w!kA`uTLHt@n7f zf8Wa6S%#?mfH!?dXm|c>BlEn{J5CYa_ZZ#JG~RKLV9>5{UvYvrNH0Xvfel^@un_q2 zBRCVEm+^=&)VmaP$_eJ&4s(~|#ZiIRb6C_X%hO2=Ai%idzpiNU?*Si>*p!x2R2{n z5A1#^y`SWsf*awS1S+r|U_J$XUw_A7eg`%;*PR1-9;djlDOR|AJ3erMMJez6MnGhdcYXkagp%{lF9bHl>|%c4Mtb0%=A9q7 zdTma5=aKWy@4sib{Dj+Z_sqJScYY%vvWV?JfWPLQUkL1xnTO%P&9wVz-uZ#6H-SFy zyiM`UrWjK~kI&5lv}fMsr1KkrOtD!pUDEmWx8nj#ZvY2a=9*19Kfv6xrDOkAf3qKr zzMA86v)JsJV>#vgMnGhda()1tl=JIv#~GlBg$-=Wys|0h2bf{gmNv1K*d|FgKR!3h z$_ATQ68~-lwssrfuj%F&0()fUI6iQJOg~IFKXA>S0O{s+W+qG0&5zHGWo@vJk)@EO zo43ym7hJme^|#5&*lp(k17f*!^8?HrW45$o?aXAwAx0>p4R?hdYsIabrJA?>*x-Im zH9rB^iCx`Q##HkgA^ASUxmKi_AHc~$x~;#-^RjI7vCb#Q}+Cn;*Equt&Jm#dZJ}045i?A={jWGag_*+x*WSIR+mb*oF%^*}OwI@2zl2 zRB7f1;0`~RTx!(aL+Gvt^4BzT`-*6q`2oDbBmXeX{P?n=SWYv~Zh;t0}g?zB3bw4O!;9Ja>J`GXJxu7u*v?lKBCc zoMIiqNwF0H4aH_Sa?OMXB)zx!?9Qy z#oRr%i)Y9PC&lpUB|-%bK-dy0p%}?9Z=Vb0_(1-eVQya$%`iWJVL$k3hWYVjL$Mq= zkyo%Df<3dLSOp>!LNRq46A9)AGEkuqDv(U$<8w)|3QTx}t0^`FRooAefd`7or?d&? zkrT`hWJ9o$JX=1&{D3p`DzF_CLNAhF-aZ$4`+@v5!Q8$cnqYna!(Q;y1oPv|hF)EC z1zo**2=>f|UIj=HgkD5AKR!3~DnNrEG$2u0$LG>;16c3~SJNxtViJU2L$t##ok%vt zJaUTpfo$kCrkKZ<6!Qbl&?{go2tqHCV%|O%Ddq?A*A#R6dT5II0Sx=VPgBf~FB^J| zDdu_Y>H*jj8*&9uU?SuqDdxxLhFlYnU?Q_j(u$AICAlWxz$08uE)?e{Largje3t^v z4^qs7rkEdt7@kc@X8duA`GIUGhjKmhO^_7x_va$T`~U`_F{hZjcP1ks#uW1&Vw?-5 zV2Tv;13LL_bBg&5Kpt504dne7vh4*?8zRNL0cJM5kPI)lh|m=C2A4VTGdM}ASH6S1 zkWxd6`Od;Y8`75)^W!aTuxE+X@&;McJKh+RNM3ov)kJ#bec**Odckfe^2!@vz;7ov z43byga20)CdFlT_UU~bPrp=gFp4X=C&&OY``Lbv8Ch<}`@Wn)FfN;K}f$w18@{Y1+ z`~Df6F@c>OiVQD^j!^>xV5{oh9lgo%o(1urZCBQBtlF1uhzUtze#V9NjDzQS4 zE?P9kA(_B{CGP_Zs-YMqLX*fFTxQ45;B?f{lJ@}O-58R{X|uP6VKZ&(w|FsC)xCXqKRZL@P;eu=6+!%ajakvG7+{1P>N2AiWvnlfn)`N#6)1!yh_e!vomb@B7M98=5>HmTzcSg-9MfL65T z3dUn%3qbd*xMyQrH%%RHaC!aw5gbn)f8&A5NrHNZ)N$J9xFLN>9UsD+yI*ROu9C{h zyu&P8yus?l9@Nf)=3U_YDYC^IU|vxR?%^0~PP4@uTwYLr21m2S8(ubPBJK{^;xGAR zU$VvT0PD^*Z4{f^_Go~1&K7SEY8>65rl2VoIDIb;-ZR+-odByumstHUDH51_aOP#E}g6lbCzyhW;Bcnjrp0 z1Aj4q4av3Y1TPcBbC7v=e3$c;;%9S+dQKC6gX#4>FPmt-a11pkg1j%{5e@7@EpyMI zXqtF4uxt{zBy}&5$_M}7|M)*zmCiqV|NSoi9MOlU==Z-foz6e}vzsxdcDQqgyARDu z{@||JN7mtzO{aq`{o@YTjQ77a>`FM%!A=A0E5T;9xO-Z*4qUjU6ExGKobU-sJUl!1 zccTpNaHwi^VdT7_4t(%}m5jZxUog{O0Le+e?m(_POTEKB2sU8!j*0G5a!sS;HFi> z@MR^ph7NWkGrrJCS+qefYt*Zo&9mi-Yb8CHg2cYqFPW)I8h=cZ&Z z^vv;23{Ag}43h8FzwU@iIun62(YtQCPsypY!x>g+;J9Yt^{_u!M2zo0;>C1+c@>Mb)zsQ z&EmHha~c-)%%qJmX;>Z;QeqOiXgX}N9nEyFckhBG!*ql6G+SQuqykZ;9s2BMXJx0M z$``YWX9;>w@6d-+&=B=_{}lSr=ij8BeP1D4eZWMNkDSV|V`uzBXQ=P>5ehm~p^cgAa)nZ|k2x_hc2KjX-voP8& z*W&I|OWTE6`{ONkvevkaw*K>lYqCe1WjHZS=}rsL#2u_X+RSVbpZL)R0^_^?vZuY7 zou4LtB$)InFfp%FHwt6wM44*dt!L0oc*^e@kbK_#mtAmXc7B@piVUy)7tiAA(8->% zCli&cSkPea4xG>{#Hr&Y-$A?v_ds4}W^!>)8k z8J`6y;XrwRpT-b&V-NluwIKiO)kR(nUC*lCLUO0l-jue%Nnor376 zVC7TbeK!-KAwTL>y@gk!te$nU@eklpX{=laL`lcDP`x))yUq1gveVy?8PVHZb)KBQ zVs`f@Vui)SuX7TgRkJ@fu`!$2jYxLZ#vUg*=<9L|a9<1CpWCqbP&b65R$mqS+wl`? z`gH&0&Q`&ccV%_j3H;^m7)*f|KSbZSy!WIpot<7Hp4O$T610eJHwYyuU+$nRPB)5u z@l2Z!94?36z*_xC8$3}s%rPpuRgOl}{m({vx}iTq*{YzO3i z@6gWm2()9D_zz!!a8##azK@fDo@>GT`jrJ}E&J+8CJExi)a zh|_Ki#w~s@cLcTvf?z^^1SK#yD7z_ibH1%G=P!C7@a~6TVtsJ;Ki0+R{>!faOsfy> z{ts~f|GJAD(uqK2C?>ks(lRq0&=`t1$~MkJMT^XIxNP&AsKtTz=zh2ExUnJsHo97R zZ6lbTA6$6A>NWSH4%<%2V-WtmsJVeq_UkU~#Ae}>Bz>%6b)u`7J7ceeQ7kav{0zQuf7;z%(a%fzTQRt&q|ULAE+BLFnz27O?4tfD*?m zzvn@r)O$H}#9#2V*;gUCL9DYWSop)BbDR&akL;-#5WG%u(A?-@7PO9Ew;P8}LBSn_ zMOV<4Hyz9n`7l#aQ15YG>4}99a-+t)NlRPZ1~Q|QWn&uvtsm3D5YlbbX$qZi?*DNT zY_K>7o`e872)}sU#SZ(Y0iw_!=Kdc{LhkU%_V^UvMF;f7#m6VY5%8oL##wsS79SuO zNxVE0EJk98x4ZLe*pK%lVX+ZBy93&=T>rCJlHgf$Wh9{`Pi~k>_P&*pL?=tEmLx3R zVxc5~ZYjU+OC+0uy1^7I%nlaozpP`T){7cI0`$kiN~p!7MRgj47o38HXDpPyI%i~d z=2eknGrQK(elr~m;TRXLK)1U8$D0?6-lpaSokD(nb0~Eo6L)T1KF`YOwI1a{STWPX z6f~pwb$h7Tn=8nQ$}XX#<3tBSb|0?u==->ji0=!TkIw`{RB6Jr>O?mLVF{N%wCHFf z?}G)6RLVopSAN}eicux--jUY?URqv&nE# zwJ)-{(v`1}mTVUaRc;?g+{|XacbxB1m`ki!jhU&hB78y1qJ}9uc_o!C!R2m{@t)5N zLo?v`q1YtA8QUQO>CSelJ0+5UY$OJVUK4k-L~TfEN+j0qEC-g+t57ajh>>5cGbCT`3<)>ZlKk@_n%rh>6ChdFdOIYkOKaYG_D$gMrU^; z_$;+B*0832jQjkXtCF*huba&=W*tsJbjNm1F`^un!gkwI`u!=HBgssTm2Fd_9-dK1 z=ov>bTjj0)DOVJ!etrLS8Q)fyZUn1?p_R#~iqLbIBEjwV@F9?YagmRQ~I#0`bM4i__CaXX7 zExjb06J;S0wUyq}s@XGWN~203(3hxc6(w5PffxOl^zLNqyHGFp<1xI)pVnO9p7cph zm5Va!z1@X6DZH}J;=e_hu6jI2T9&WKIV*qtV&Iu24sxkaku#o7Jh4~isdDZvqFgcv zbEF{t$&J$W(w*qJpDpaqGQr)NMU!gzz04%lIwNI?5ENhU^;c!k|NhT^{NMl2fBcXC U>%ae}|MZ{!f1IN*gBJc10DdvkX8-^I diff --git a/tests/b15_2ig.sa_nf.stil.gz b/tests/b15_2ig.sa_nf.stil.gz new file mode 100644 index 0000000000000000000000000000000000000000..b0159f3bf2e7ca84f823f2293fcdd3f842cd6580 GIT binary patch literal 44314 zcmYJYWmFtpv@J?-cMZ_EyGw9)5AH6(t#P*?jRkji4I11Xf!0VJ?=I~x zhYMPdmxk~6lM5yp4&ALUOUh60;fu{~zvow@T>p;< zu#>y{+n|Z5XLnZ4`%Y#pS~t-Bqi1>h0>;Nsc+B;4cG#~>y(A%g zKL4ksH5}1xM4Y2v-``D~^Zw86cKI+U%B;)l>FVLnaG^i=WU`XPunRZ#ZGZcG;Zf`l z_;C8{!D>h4<7E9cq6LipRcNesv!m_hFrcITWj*r};19e%sAZY>SZ-t(`Z)J~X*t#V zyScCs@RoWx@3`UbdB397{r+$=9zo*od;fARbn&4B%g-y7ES01!WqYmHOn|tAp?$^tJ>)rl8c$VVBwfif=!maDz>sa2m{amBX zB+pBb(YBH29P!_GxauIIjsS~OuypzRbS=%v1;Jr=C-~#~cAV#O;W?mZE_ZFc?GXI( zuNHi3hV}X8y=u0W0tUJrF3SEq-n@eX{PMp(4L&>A@?0%RpM6}rwY={3`&>RYkEm{R z_TMFc-1uLw_h&vjlE5+l9k`Z%KAd(e`{OAD-k!d^*!;-W*m}AIKA4bC)e=8nl57Z@ zQpTLd3$&%@w0eSl=Sco;Up_h-ef;u&63G#K-)}qx_rnQX!{)5N-_SYxpYJD;BH!GP zKYsevr9;rU>77PTnz8$7s8u~r%tb4L-hJL-y{y4uue!IKx zAHNizhH&@3eFZ=C6F_dP3k#vwZh+0a_B*`aFJfjcyL?_xhGBluQO%#ifZKmE9R9w0 zTY2sW`#(QS?lKV7zSfbh{nHBQ4sgBi?|-y{+1GkF*zhCGX&klL^XBKdfyZ)$o+3!<( zi=w%{)Z6ZWXQK(YL*H-yz~_r=wc3sM^97@gKl~rtJezL^dXGgn26eERwY>9ul73Hr+pOaogB<)%`Y4Ntu{UY|~|zm$$STWn@Y(rgCli zA97Z0cJ_Me?PpW~=M$0=vqLxNYU>uydwY@S$NC=;Eq2Zm6twLxu5 zJtKC;7hJ55U(%ys^5dKv&wMP7Ov@lMB2y0!pK9LqecJq)H%oKvKr`ov9z?Ki2uQ8DYI~;lJao!FsIErotu2s zI_u(>F5wSt++MHxnM-~(iqBgnINXni?e~x{)AL>!~68$GtA@y9V%Svf}8bEve` zLwq`B{Usvgb2ZmKm-4Ukes9k&xLv;&6-g_oN&AyMoi7kKQ=SyqRa1~eU`4>;t@In|u z>Y_9!vyjh6jTML3W8`$}nH^BWvQk9mzFrA(#9CL}hrKP>b=Y6+Xo-5sn?cLi22FMjjNI_G>kTu4`aYP^-zGRnp{H`;(o z7q|j#LxD2|uDZj}1je91^Fgy}Z-)!A0I!#w6Dyu4tt%p>tbU5ai2**>y)4Hl*RH1N zAiOEz+O9>%$7u(LZU2w6hmHbQaz_)FyLnC26<<)Ml&n)F>RTpHn)p0)F-RXOOaKcdn z$h}>B{+Kk{1fQRTulwcOzpoRTaY=5vmmnT#c6qICex}85>b5j~x2cb{M%{m2&OR%q z)bY^E!GEvaA2*qsez2JXMb{nIgLlCjQv)8>b1QyVSsv#r{#Xtdi>|9!(+_`~T$Ke& z1#b<`upK~bn^9e_Jv9_e8&67i>xd7Ovpd$ZlAuBJf!NV;e4 z_I>*JXnDLOskRM;>YN-jGlv{pnZ7F3+Uy2r78bNc==cEcc4LM1lwOjd+U_&fjcN<>8e$s4^ieYk@UmT0Wvx42Cqc59%VpSbGJ z!uv#mpbVyOD$NFHpsu$9*>_90vVAI6wC$aP`0JC(QEB$c6hf_`gtH`S!Nw zf7wsydSc@b0A}R=2X|~f&QH-UlP4R0d{)bzm(!Y?OK9>CxzmREsB?NH4_LMCCv~SX z90T$o{xj}bWRou{5$lo}WE-G)lWFov6)dKk)@8+#7@E&Nd&{WD^g#zs3%i8jK?g4R zjwMEqM!LBe0p=G8nvFB@GfVXpA}{?jOA<`S2eQvg{U8BmkH%Uo$A>1rM({M+BgqB$ z>OJ~4hz#E)E}DDqc`7q-ctWg0?P9b3m|YA3(wio_+a>YU*UrX8}aTshqM2_PKn`78}imNn3YZ8@Zv(AF@Xb0f|=~!k+?3Gg=>%+g<^f?N2nHw#=WlNCs~P zpSJSAjmuA2yqB@dPuV{+gTg3&`^ulrl;%eVe0>?qr53nU7RLi~-u)8(PdAMDL+4XB znz?iJu#$I)iSo3W3m_@ot?XT&8WyMcY_R})y|B)}t_MfEUC)p2Lhb0O6 z_n+naa`iKO=f#krudHMS4VPoy)e)A(?>x?RH){(mY6@5c7hIXec z(}|*Gdwn2}Jgyyy=Z3Q*)2U1S(H60#FLGO!7`Lr_z5&Tyd#{;&N3~wITiVpMI)fI62&X@l?NOm*JRT$vL*uaBjRMPw?lIv#gXgKT9D)=g*a&GP+ zuhdctzPozxIYgY-+<}}|YR|WGIYnvv1?-rgqS>SK+*>T&)7qW zC87P$md304j@W9?LhTZ&DXGKIj9A%Ec<+}QM6E|6o-b+lJd{^4wrOSd9t_C{%Y%p^ z9Zc`Z3I)q@;6F6V4PW@}NjOYLp?KhJ&_E^{S;w=;&i_Y{h4PSCe-gWsa9wWEy=5IDxYy!IlhL>d8-y6Uap%J0~riDBF9zSORcmYD-p`)l_zuQXB!z$0* z&Oq#?*3)YZuM5YI&DYC41PL>vtUq3_SL^SOKYxJJ34P0W9|I7fX?DW=ycXq1qcfi6N9-ee{Eh*R4Q2Z+{|&M@JGv!K(cXBj9%+xSKOKebm>o=wkew{bF{O-LgVhTA))~ zPA-yYH#g{~)?8b(D`@eS+DJYKkeuH|1lPT}qg9Z{w*hmqTs zKFyH|jzPNiYzFCLG&aS9^)8V`OP|u|8UyP4fLV)Sb(r{uB+VC+l z)ZR&Eu+is^Dx4FZ^)f1E;?34 z*xni|+}kdZ3Md+F)sfdD$4Wwm`E~xaiId$ib2-3;M{F1PC(2_`Fn)8`#cS1qFg9qT zlps!6&>!z=H`E-?TinSccd3*FL zlQmpizsKBbQMbOdLMngUZkPHHKa|Zh$cB9|!Up2p^XuQbUFhiyX8jM|-QXtmBm&Uk z+ECo7z#p@mHts%?5f>t&o#7wI-tWL`?@(vA4Y$P~DN9a^*wed>ei(-dp1SBaq2Pfn z@h`p!udagv!w~dg#(+8~cguNY+I$j|4eni636@*f*9%@eO)5SsKIVQuuY!RzJY`{I zTRZd|xXI@rI2k@fb99!Qqd*h7#otF#uc_qY==#Wkg{{Gb_#}e4HobD(9YENWm zC|TSP;cPZo^)O0PEuyy8)?c-JGALOGN!dug6?mTdqVRh_llYqcE-yt3_~V+A$#}-g z)bD2~^%euA5Mx~J8P}Pe;z=#AWr`iy%bWW))(4CtGBzaokjG$l!X2to31~x9F^Ca^ z!O{QN<4?p-gY>a_*2!RM4D7hIS1}5y_Ltc9QdQUH+NSXHi16M?_dcUBS<883E_ua3 z0lNO!!Z+WkhJ{j%;F+cWXO|o9Tk9hE5?NG#ip4}U0#*j9oHQ2#@8x$_G(M^X>d|1%+p13E zwj;<-H!`72r;JM{SXmOWA|(f$U%ad@B6s(5T{4Oc_ld%VAg7Ma(@BV8P%o{AUWNOK zc_*1apr?#hMa1KtrY5HWXS@~8ZlU>v*nSXEQE~VOk=VQt=?`+b)U0oVB3S(-Y(4eM zgIgHgG}mV7Xj>E3&vd_VbR$g|8OivnK*kj5fS)#rT0u&PD|DRvL4OO=aj^JCqAfDw zY}s*Bei$7utu7pMa6X9*_{lbZ3$|>3@LgHHrbPNS&&%ICgYvT`9rz9@u*KrXPO-Uz z|62}BGUjX?(n#YA0(mdt%{T1NY0EbS&gNT zNg)TmV1^D`Qb>okaY-M>8sttdDi?6OzVEu64~HtJ^)`-!9$r{l;kOB-qu92|pemCu z5Rqem@5yqg7b)<|0g@8i-kHQhfiiXIJ@jzpaf*9Ub&-pUf?QaSMFGthXG=a;)8=<5 zo-OzqvRnRiq>|_5Z7qy%jc>%W-LTWuU>m)Sv2l(GCfALjxIc_WA=r#5`%R;XRJlC_ zCT~5piNiO8FNoxo5Y?viaS1s+>z?(z8I>ACkx?}ffsAfXsJ&cJnm+5g z6o?1MPiI;u-V#>j#ev-hC4Ag_DHKT*!UFpkZ2i^27e#{k_2sCU;APeheYXZ3S{&h4_9P9?`xlFcrm>v15+Rp)iiJXE<7?&x9ViK2U&pn~L?wL!!79T;S zT1>FwisLKa__eiJ#DnoJ%j>?BP{FE41YydEN>MM=xz+Il=b$si)YT$#T!FfA`XC@& zLLPR@=~G-HESkJgm+AXff0&j;ArM-UsbQJPOuo(3kgBGChg0>>Zk(l%RXP451cWK{ zS362xR_8SvP@btO8w5r-d}-;_WJaK(OK3pe@ZU5rx<~LJ`)yt#G^gbcsK@*Pv_b~1 zUehCg3t-B|@5R!qe!xl*hbZ+MSFbM5kA!NO&-Ev1myJt&N>D^a3W-SL&*_$yMg5i! z)t_bM>K7VWigX5a&|S;Q`duerN!JmXZ{bFl5lxvjj!|wF*lbI#DJEuiQ^ZE#*BtmGjLq?9TW^RQ=1gmSEJdMM=A+ZAa$C`WYWB*@Ps>h16FF;*c%6L6s*zwC z=@&E3CC9z+2qv`S+yT`h6smW@^B7h0paLTa{>KH{K|#~mX$6jfC#2>|B@mVqm(wp} zv5DY%I74-lr`@+9?UMAFZwz%OWqBf=0f?ZA_A%L-76@v<-%{r+S}+Y$^QGo`lbI8j z_HGPL?eC-aXyMd^KY|Rfi0+A)`72ckhg#s$P>YbJ&SK8|2m?M#Vv?Yp7*xB25D&M8vz}e5dk?%B=lh zB;y@~?5{JHiM&R6?c=RZRldWp#zTx~u>fjKA*b`?QE4T?Ad$yFo>F|JItd?%wHfRE zp|c)fMqwA^=!x1#n3|5Jo|8?uix*Kok3~=<7qR>EqCIax$Q?X{#XT-gK9pGTi?TjL z$iKF=S;#Au$A7ROgmZeqqA;jIh_%QY;Vhh2l38@1xwE|TSK%M9fM(0eXVInWp0XQQBN#nN7J77-2heBiu z?$ZWGGbM%x)tSweKvIl$6>Uk{$=5Zq`yl5~^RPjdVnsJ39#|SkCRWHOR@KC5fl2KxF%0i4=-Zkb4XKOsdxo4rQV=wwWH8%bHUu~1U&Sz=T}1WokWBpgU6H;2FyYGh*(0=vBGt&2 z`$w07;=dqZ@d?X@PJp!CrJBB;EU{A0e&T^L2kYOZ#J=_#M(ZzBWm!|VtYRXJ&T$b~ zarhkv820v9x!Z-hT21vWQk^OyGYf zFO=VUl>Hys2zPX2BiWW9QMY}}AWNCZVCd?3gycQ@^v4dE2IIZjx^MF+G#5rUzo|$s z>M|*TBU~D%?m0g^Sq}@Pq=Zca1yAxz?i66VSQD#iG=aF9QR2uf7j7iBS5dMNOqeh<3^?VVz;1U-(&-K$etUPJO#Q`;K2+m=p*e zy??R|f?5c+FaVe}qAZ%&*CWmxk>n5$)u?l9U(`_r9v>UD$t<>TTdTe-c53y_Xp%}tG$LGgY}=xX_s7(1UdDd zc^X8C?W!em!!Hsh)s3*cj8i^?WO7JO%(w{{v{|{wCxJB4EYnt~z99(cxL~rlaT1yQ z0kG~e)E51<&4h6|cN@(mXO-@PIUOw8B#eM8D(Z~EQi}kp4)UBe9!Ha^Pb*uQJZMNK zPXA%#jc}xI_8rN^CA6EtA#w)w3@djinw&2Mj?J;q%CRy^I5k3abGAU2+H4k?mNZ8m zSVz__;WY63TzZ5EJLj4b9!KgBAmJy~&^h#ojvj_oEu;!%uZGS0mNdGL{|5Em4h$R; zOG=ll+ove99naWF4vZM!i7=t}*kfMcPuRu35F8gKz8W!2J4u2hnz>1RNviC(l!e%} zF=fsrgmi?j**?mt48;h~q3!k9ziYtblc zKUhqSP$lyisD$YUcuFp>2az%MJ#NJ{74`5we@>g+@;m!}g-9e$>;-?#<&hZ%WOczoGzu5>M<{2vnjZH$H?X~K%!kz)O@)0P$Zey{+s|*41H83 zk*(Dal^5%R#;B96HpSr!P*`b|eQ4LU52`o!k;}eS5Qm#eknfOI==*WMU|vuMfqq(t{Z9o^ z#x!Lay2T7F;y6Fv1QEoZTDw8%V^G~3XxdzgEg>xauE9Zc51?5hI+GgPTMK)tr|2cT zXgkpuhw>LcAWq_wt!W;K)NlOaH6W3}h#*$9Ne0Rj62GyOOka|D(4BF@KH*Lkl{JlE z3@^W>-E~Ce3ynR?`ix=P02g%aE3y^~qaqg3L_g?zf(D-PrM4_|+HA=7hg+L?PyHo2 zXuy~WM&r@>u!`#Fd=g#mjI7+pR@TzB&0qz6TTtC9Mjs~S zW*tgi31r4-d*N41s`VTAf>R%iix7S&LCOx4m1Y_g`5D5aEawYwnJV2b57l!P*J}AM zfMf)O@U@=pC5J*h&litD`$8!odq$A2j}5f3i2wTy+~O|KH&(Qt&Og zjYpY@BZT>#sbx%3KG)ApRQF*&j?UJ76XdYQR;Zub+UjRa_&j`D7`Vr8@f_|YiYv}l zr?<3W$g@mi=wdNo0*Bn0#^9z3^g!fDg+=WTHB0FvJ+fls)dJ;>A_#mW>|+RkJF>cU zE-X5bn2@^J31nV=CK$?a2*(2+b{4yr4tTyN=Of>NZd}(Md_O2h_t`NK>Vgh9?q+Hn< zC^1t)K{JuK;yo`L5K@23XTL06zik8BfQw|D%y&R3A zltmg!FwScW`^;`Kk3E8q@b^DoMVxBT)VXB1X4F<9zat<`A_p}% z^R7c)!Sf0vGNLJR$@M>3*y2@HI~3~yehNYtA}2bOFEyquvFQDpL!TA{i$(_(B(x`Y z#y^sy0T>hDc=CeGD2jH*%3;!F#ZE$FFxHI|Tp8+#Y&X8Y)>tUq{w!e%Z|TR)H%0}@ zjOz#7yV9zX4#hzMypYxH#%i6J+*V<#-DiFVl!BctkBISR`ln2e58KMjuhU56ZtIq&kfwiV zZ6flwQoo6n4#a?Zoe0a5sOH`7!ExQF$!X~~P7!T#!dcb1L06yqsKab5GaY1g1L&sS zRtr1DGOHAbQ#5){0BG4?T}W}d#BisOi)UPPHI10+r8 z704XSj+LF$(x1W%DXQkcag;DIzzi8^u_?HQK~0C4u{G<^_-;#(PA4yE8tgr97cb9; zTR~yJx7SSF%5)KorbOHm?2N<qS7txebSjs`3 ztHag?gwp&a$j2HlMqZ)XW`Q7qs&^NgC=N006u5npnx~9#7HYkB+ zl)ySmN;Wi2-T}Oe;WAfsRTdGm9*2tbN<(u0J_fM)tOXdqQZ? zP{L7q;W_Uj3a&7M86@V*uKSS*zbTvEl$HTQb^a2nwlNu9k)8r~j^{+uUls2Qmsuk7 z^s?t}j3_;k+yo@g;$Y0O=i+~$TKGkV*(R@0{&mno~W{;(z8j+BVI!d{YmHnTwwv)LX>8qvz@ z-+@v^q6a$J2{p0FN_}vV%yIN$Ls{!=5!PSg2+I8{IAPJYIp}Nu1)~*3KJbnrQlSF( z*c23{jf|VoCUFueOmD}g+ zZ*SWIeE~W_&NI=ndm`cxc#7M_aeJIG*`VLv65Moug-D4t+~Ez2e)O!148t=B{?4s7 zR~g?eNi?C)(aQ*ueHCD5L`%;Z<~5Pxkd9$`Rx=8=Qo{dsa4BI{<~rtA5wBFMOH5j% zO<`n%4S3goO=mQ*IMLKQ8x6ws0HydW#$QG8U1^m0QNUK_P@#wIZ85kAG#KJgm?yFx z&^AREugZ*Lor)@VmyelLtZdoJikXROzuQfm45%i$A}9WF1D0XL38?x}jP`2n0nIy4 z$Y_3|lV}LjmUCpz7bqiJQA|9GDkz(4*nb744Ml%JMUbz?Ax{O;gb*p|kz6lQTWfr^ zMfuKE9C)~`!=c4EhbjQd{QQK{U`8ORIFxp|eAXh{^FYcwUdFW9tLA~?eQI`LBUBqJ z5d1G;B*ZK6_21WFUz-PT)Se9mJ&7EA2xO6S_z_5^uD#|`U=wdWw8+0;D8dow^OW=b zb%WM3TKz;qn3=$-fB?${Sa6I!@9vrd zw<_S(Wb3DPaGR!Pc#v#e!P^*H@K7|Z)ofFp1VP-`To~Q}3%sinpm$C4UL#h`9gYcc z%b9%ivQ7KLkU_6lrpIfaZr1()jW$`u@aV<5NnSmiH|>^|ahQ_jhF#)0F)2pRiD17S z0j7VHASYb7DVsErW0`fCy&7>(lWHy+^$qU83o?@lJ0O61KD>%NA0^-Il3Z1|>N#Yv z(KZ2y+^)={;cx_PH4+j2{=0ztIebBr;dBx(l^hGxqvIu$^<92n2H;5Mrj7ZBj-RCY zy8B&O_p{!MMEVF6)wBMdLsN7reW^!y7}nI=Gih|QAH(-{^Al6i|4QNkg<1h8>f#5m zVPs1YS1yG#a)E8opAF+$lO#z;aJu39F>jQ1Kr8#tZ=@_m+5lC3K@w9wCKofJaHZAX z`=x2eH>Ce7vp=r%q)tSID+W|POc99405BkHj14Xf!Z`$vwE~q)ldEJwHh!5MgOa`)SLl__ciw`l@2^OY7 zi%7Rm47xJ?M;i`mfW&D^^{{Pd*wHuQo?<^#oWL?1{xY{>(u6j?jnQv2n{QFz0qbafQ)1^r z_tmkyxs-pf$m)N1+RjAJFbzSBzp%;*;_I~a91?~i#)LxSDL8PYRu8df5xm2#b*i?g zTLtzo6QvuMpWD^kUOONJ;xSXqy@~=O9A#9gHj~Ne4ZLlr*&6*u1V78d;Re&}226{Q zvMtp?*6*$;N{p+pskVNXN~}sFUnGC|s{agR(VXqSy-ZpW+5_P5hq;}%Yy5djCfW#3 zfjdi&y#5zXX$hBbY~%g4h$Q)(U7tuyUW&qx^wA8MD=k*|xg_)vHXGzeg#Lz zeSVb!h1lC60)Ms;zkYi9H`&BCeB_xCbY~+UC&~g^2$ZluExf;vl2XoXVo#${V6jAS zZ?67M;e6Se9}g!`wdG9GCP(-k{aZyJe+i|u`A*&(aS7`pjgL>cF{HVfgOrSz*doQ; z$YoO2Wm=G{+MYxtL9UuP%GC%;43;eGng+gZ10$Tk4SvZQGJm0hm(A>{YoZwg5s==V=t5}NpibKS!t;XKX<3*ebENi>|IwN z;GtZa;EDMFBfqe;kQUvhtm2nf48Cv@uVuj9ZJI_<#AS|Koq#Pt$;lV$w3CZ#0s*vB zjUYJQH}cpC8dcI+6`Y+J%qhI5XfkG)RS$HRK{^b94jtyVE!B(L8Pk6jI+TB-S8~@J zZK61ZZCc#&O}CF#vfccGz&k8+O#)L`a3Ltfov&8BjpPs1(gFZ#mzlz6gFe@Gp5Lg zm+!K9ycBB!}QA807 zUd+nn!Zm(qj07izP*h3Q$sQp8690A7uV6@zRW$3zF?h?GQCHiTs67k(U@zOhE<))~ zc1sNh0ubm4-~J{I9mb=EVpWYX8oROk;YyHL-l(OM{=(EJ>GewSHx=TgRVm_PL03)* z+~W)#A0S0fKuf4{DPJV>9nM*cF;ncFFe*Mb3*|3l4Uv*jmX+c#l!H}- zy`uz~*iQc*B)zlvz-63{hz_+jOr9M!y(CqP% zj#JVASrYMp+d0WU7hLwPV)|y7CF)3GMJ+vrevw8CIWzsV=b-X-rm_C~-4W*kav*oI zYVT)EFE14i#ITJxxMl4qp1lzoq%$6H&+f6O>m-+CV_thBJw7%uX!(LB?$lOl+hlQ* z4uGMozsct_5$Y3THR+=Z%3@!t3#Q!=oV1sM0cj$ucYfn*U)%lu;HJM#dBEJB+Td$G z#eOeMkQT@T$7kVhz*CxsJ2xj7igx&PQH&QebxVFkb;&7nb7TfA@=&YOIE~D~g+cVG zMFo6ERN;L5@ z2YngBgxdWY>7rPMv&5JQ$AmWadE9nx)*?^>5CGA9=lkq!%;;~DE+tW;*_iZyHLwTc zZl(+li;Yv1oF1e^BsLJ0q;w}Ek2+c(MVF~>>X!2uiI9h~GREnYnrjibug-Etl<%`p zefAkpyR;aUX)daG8+(9#>{l)fF>~*G>UM6-*Eqej6lF|xfMmC%<1fQ2m2uh=Xvnya zaeY&F4>*6lOv)A0V1H_i)ISVH)`{3G3pPyn$_l^qpHK{9jsS zYWG}da|+(c)o?PTy#fd`Tai`S4zV@33)e@gPScS9ZIE**ql#W<;vj^bqxG!OG-p3q zxs!qrSc=em3Z8K+1pg(P1c;S`>oMVUT%uNP;M(`k_HO^|41Eh@3n+7^t>GDby2nLV zq=p;OzjPy!H#&(a4)3oO9$?O*mKlCUOJx60;+|qVKGZtpBK-9W*hM&7;cpyS+(S{t znp9qcE^K!58rO))$>{>IkE<-?-jk?>nf~F=+?c?Cw#{9WCa>oD9M%VJ#9z))YtBa0 zY-SE(g@K;Qj5XsF)UPk013#BD#e7c9RlHve0R8h>nKQH^9Ho3!UO8Xe#HBGAOdXpa zS3MWn?dlJ>wB=d>X-PZti{jC?cfoRO5qf?Z;z1w+f%c0B}%P7knCH8p=LM|E;A zn;S2p_R}#h)cxE;bH?1vtB0zlUVCkT#Suoxr{k?O9e2|3 z7EZDWKz%XeeY6F0v^^OnB;Ro5GA^1|AL3|k+=E6(E^i-lJobdlf z^3I)^nyJne@E@KYCz>|xkTzGuqA4VV@*0YD2~o3lCl_#mGD~idN2{`oreqpwLLlWn zlb_rCu(2L$&*`AgkqcG-yiUCnh(}Xzpg9=-k-Qh$irzHrY+i<=?kD;AKoH37>gpRL zgFFlGF_=^b?{;o71UqhYlnNKuo;2ziu0-$>(%pjnU5U?KY#akZM&w{@7rZViwcpl|(Qlyrw) zGUOMb`2jbCXAu8=ewTIWe0&{2=LBAb{npT?*6WFK!6G^|ar@a@xJLxY_5!zgnjFas{;6!+#J&?Z)SZS<36KlC2l zAwc}$Hmx^eiPPdFh+G5;qX)))`K1sE$3M*uFR=vr_S)y$nNWJg?T}iEV)1{iN;hSV zl9;V33nWa)G`P}Prr9RproTnHXEqB|e|FlQz`c-&hp+DUsPId2`GLhI8o@HQ;;&JD zmkfI-lzkHhu_h-_Xi(vO6cY7+qv1T|Uh07#bMLZjKm~2f@KFUDVkS^&CqaqO6|0ow zldVP@HbF9bWw|V@cIf?O76qf?7=B4gs29L31&xAr%Bmv&yz~a|;Xoki* z#%Rrv_J}$P-P`ScT_p$GOkIjxX%xYA&fa3)E!8nrCKouy;~se|q<+q#OcF80(BKFQ zCh$;Eb2;v_z^WA{)}4#&$KonZHo-$&4uVb>?1t~%ak@)p)&)4P;-bz^mW&v zklVxQ&_H=|Dl0l61-V-G&Ex4Svz~qapl}90Bcf$2-VfBO6aN&ry9mQCo*u6k{|#?ucSw-+EivVnjJP8lVmYZ!!YH}|r9#Dd2PLtN zKkv%k8iUc3H?T)wS>zu+G{+42fw^ji96|t>weD+;t#&^ApbQH{Ci@Hl?#Od|9onZv zEJt`zm9%e^c9P6mY=z1i$h>b=O*yHStgB#wQZfVS>jUMndVyub_Q_hOMWSUUx5+`$HLNm$9dzE-7l>BNEWP|`+|Tf9bQKA*(rBcT zS*~!~sMWgj%Vf{)sOm3&U3&|Enq*W*j*z7!vUD^vbRSiUKGbRDQ}j9LevsccR)`>o z@J(vNt7%4`$a9T5rFlo0{t9xoLxPP9N5foz>lwzFY(2R|YQqXcq5Q8HejzF`EJ&ka zW!Bnnf{qBd!cUMzHhRqewJ&3on4;#^glqLUM-nl;WHGAx*kZ2;;q=tFx*<`zdUe0GS@?#NUTc&dqJuFZj z`YplmvUj@4>%aJX?k{W+_iI3MR#adAA*hO?+@J~upe}qR5<%rn+AtmQHjFhN_pJa* zgP#-H#$5S8_SFWdQm5ix&>Iz~@tNXaQf>Keq;X<38YT{th<2K?$s%!5GPClJ)D@QA zuT<1iZ7FsDn>~kQ(QN5?Geq%eF2J3J(a=b;wA-o{VNUvx7lEAb`>ZHcv)-w;gMYFZ zDkT*(df1}8x*)f{5CDIuSPO-=A@{}}tzroTHyc_Q6TJwo5fd&|NXj-ym_zQ#99_W( zVCM4PmqG!UK6@R6$5#P!>iQAZvNl6qP8InE30y8KrrAN7PL3#yVEUAh2*CLP(I%9@ z#BXjGGd;;6HnUO9S#InQGvNyZvch2LaiWqZA{uP~4bD@zD0ZukUT7!qxqRsiuAKKu1cXVC9?k4q#&Zc=b(hrhTsP!bB(Ld`N_$^EUYC{ z#{PC_q;}x^1U3~bNlP3 zo7U<5^K4>kyXtt{R+n%$TZuMP1$hVlWO^Q0k@!gs>914233vLAX46aAFOx=<}rDn%r!+@oVP7w@JnV)oQQ9R0t{&1tU+HV+7-@xHTvR0l_8PJ!& zlMX7al9B}H1oP9@3ElOGKh6#H4ww-qrELh=-$wEhoIxOo$C*}jLq*+6P3i99pYn&pmtTCB67;h=zuV0XzK`}wFei*g%H+k-D! zkArPr)W48nQIcVGQ<55}P$q#Yd9Tpj$2?gI!392g%_rmZ&9sZL9{Ya$42#!l{ zQ^VEAY&4&lfL;;#Gcg zJhf#0P#Cg@TZgm69so| z7e;ie&lPTicPkkEsacv*7T(WeXlmP{p~qZmI83GHs`+Ych*5?OK3T1&;m44zl^Ww6!XC0lEX!6UL~ zJN$M5(Q=~gJ_BOGjD2=RjH&#qB5`$|Vk9qhH|XEhI$q-Qm)SRhZ;AY_&H$siuoI%% zYeBK8k;RY-8BE0@1KBT|{kU$5)j;R>g84J!^5nocKx!%sUoGMS_V4Td(0iU-oc<_IS? zQNL{2ebEzhpE~|em13npxKXZR^w6#tf}7-A%h|}XHp%2G!Bv3CF8LM2vIySVm6F=#@_o{1-EgP>ho-X*X!3j8xJXM$NXqE$7y>%!W=Jx#>^#po_kG=;>pt5ESV@LWgqP%xo{eo? zF>k39VlJ}Qog*NGjLCXT3S{JD$fQhSSGHw~; z8D)kh#KN&)h=*vevLkGlxZnBBN32-!Z(nysC+z(OIuT49vew444yhk(4il2k$IV68 z2(_6~q12a}b&qFP%I{bIkmwU9vYP|?$a7}rXjt|vyw#rNVBxZgEGd3%cF@{v+9Rj? zqPsTB`-LdEDjTi1orpF1w%WZsuB(APw1DA=lZJI5MF)+nj(0`y5ykmGv6VJ zE%@Lksns%Ka3pAAsYmvrgmb{Jm!;|#t9Ez))2=o{QAl>mNUZp9SGbM!I|XDS{a(*m zD-yN*Ar^F68T`d%)Q10M;Y&{9pCpV`BSLqR7;f&2&as}S(Y+57AHG+?TVoFI~_GvNI%V&urtM|rS3_Y;_*^43Wqx|`j|Wtvwi?G)+l@XmU0F= zoTmiz^zTn9B6+$!MoV8ye6ggQbmK_=8)Tvtw(&{fd&UngB|`+d4rHlE5?T4GMix5ViiA}` z3I(4l?*u+<^Max`zfUc?j$dDy~EYQdVi7)O+QgIeiY0GBSOW|*r_0k*)gx;Bu-@Z_RIoOfP zfVQ`K49A1ui21<9gAceZ29~p|?)>^JX-|ksd@itS^Q9~+QkxDCtT-|m-ql$-jpU@W%%0Dg;sWrKNg6#&+ z9`yN5HPstpmi90n@Aq~>9##gr<8oai;DKA0LS4CHLj>XZ0t)`$hu&r79xgJzlC{9A zo~*lWD?jrp_px{VzHI8}9H_bQ)dqKF*-<*Ik7g!qTC8_P*Xz?PHNQ$T1l8^Z6>#^0 zBNQIbJ{Ynp7{6|)@ux`pBsPe{mCVkw%QBH+Fdgx*bzteq3#%FWx1j;dNfGW;VQZ54 zCl)vR%Wt~IgLvk8*Lfa^ppo|&VWV{|D*h;EFM^Q{oSO6-_HT1D ze%q|>6?YkiM#QqH*}N(%%JY~>*AtVx;>LPcS`GAaX#aN`UxJulQApn~n7*fP@p)58 zszw-LopT{y0X>@eO77lv;&vKiW#T5cS4iVb+~%yl8sPgP-ro2EGXl0~QezFJ8K+zM zp-{&!F_-ua+Su?5v?=_Tx0z&_1-7&lT43AzOo07i*`i|pq;TZ>O(=ya-3i2Y9IBY{R2a z_#CGoW^>XtUbN_2_t-3>$HBF932_3*z+CT8AV+`VxWkj+;&d0Rl)NEAQ6KQDMD_wletc`yN4uPR8 zsls8|tgi-O^Y87`YQjKzWEVp_hzZ%31d#OL^yIwRSGINXRAAN#9P0NW z5~HpM42i6Lk+gzuFA{E+o~s$kwpB0}Vi7uGS@>_$E=Mg=X%V2|c{1Gf<+MKWaQVa~sfI8qb2@d)PG0RpmN4U0@cGA*>ic`Ca;rn9fqnJ@ zuVdUc#FXUyRit4Azod#cBKDuc6E?OILGOQ>&(bwKXP=U|SPu05IB5EZbouELw;#Tw zD?mhI*B&u4T)FG`XDmVLHcE`&s$%JGmxwnvTQzW2d`pj#sSrIF+i8(mY}(Xu?umNA z>MBJ$FZ@n26T7rjX+Av;>46uo<%sc=qd&ziwL}W(-Fzr^oVAl_i4iJWGO3PMHIoIwFAnFDvLz(4BO!GQGs0z9zW_T7SUf&5S;un4wlbsa0d_P#=()$Zzd5L{a2lSINp4A zEt_ap!N?@=ngF%5!l?cbOVb3YjF-@Bxp`dntt$l1i>lDG73jbE94Qngss1PK=aj)h z{?of5WbYILhC_KAE#4448%<5;aCN{xgS(o@D zhZTiY2b)}N zs;w{?>3ZV$EigUTgoT5zB_hMi#7-sz?of<&NW1TXCB>Xbo0h^hu#sQld9`D@o^ULu z2CULAi2SbF!sRQQd}gGAYbz98oXa&H`lE+=uRQ1ZBBS*f>9oT0)cwuBvL9pWK~1aX z%JR`l@Hb}<~I94(h@Z<3Z0PhFs$@)}poX)3yRR zk=V0&gFc;wF}_YyqiXY^t~``}tdH(DQjdMDrz8OKKQJ26u}i@jrU(9PI+B<-M3Q?y z7XK4r_|aWR{9~uV27;+kJJ63FqzIPh=&A@m>|)Y&<&^t+?%p5C`AjleebA4YmVm|; zF!y22Qqui%!7j!3HLXYvB1h*zlMRJt;j}GDbOuhZ(ZbVANVJ@JK0j87(OOrSi8F0qSBt7U@X}G#j$Ez-%--L{s$hM>@PXi5>d!deI*Z+jvuk4f7doWjidf=j1qgt5KSfUJ|oC zrDb#Xk*^ZGoum~R3i^}y*c}V|`kSC9&P015e*AliGE&a@PEAol*#NV4-zl8ZI}qke ziV5ucKbKPOgob=yLqQBLq5Jm4jGC3w+Me!HOR42sr6KR$d&`mWw6@aoPcIagm^zR4 zVc6dM1||2EW5X`?5b8$ZlV_)0Dr!kd^qX{Gn=4jaOp)W%y^iy!h&1Euatmaa_e_aX zPXSBBpf=u6xm$fZFUr~KSD>7grR6?{Bd4VUZ(Aeyj_+gF%J8Hka znLhPrVp;x3zn$@gG2Hcl&VRj9&OTn;J#ooYfVkh0pTv}eSI^L!3$y)|y8iuV{7@U8 z$l%DT{>#coX*x!3U+H(j&^cvkwqg6EfXs^{&FSTJ0h<^7FySRR77Ks7+{9Rr3YVNn zaPFYfTPp))Ll3L5I!JX1dAXmx3dybR0aUjrpC~(#%(qgLgnOv>?Nknt)oU*uDg&Dh zvgavT9iE)mOAW^5uwxgiR6pOiBBbWQ4#fUrVRO(>MD_}heg(HtUf>$n2J!~0k-&J= zd!|)NwoQ#1#vX`+Sg3dBAdb1QGxQ6EB3qP`^q5uIFRWCFXzEp>F9YXZLbpIzHgDrL zY3I=!$y<_Zj*Si29-`vYYVXb(wji_=a!fAYokUt5uo1b%OP4pC2NFDs!A(UE48ugL zkEaV<5x)AqnT2hSK3)VCPDM7xdg_+Q<@;3G9v(f@_~1#dtE>cn2h35`ATyHI%>R+U z@{v+%natuvImd?c)U(cN@__d(R3(F=*g1AMh1`GRIPN?y9Q0^KatZv@s>7P-u8q@R z#qdMD5uBqR4E1J8abfof{jZYE#<<2tqz0_UmG~-^7rd7hP2hDMa*wWyq*?LT(&BhV-X+IPleLt!Qo7>wwK0^!~YzS)a`RA%Ss>nZEpi33<`i5YI zrN4wKoXE48_j?klrm<9>U)TON{%t1s7Wn~t4yt;I7*U1Xv>206v@2LR-J39*ug~)n z_odUmy^ny9Bx`zomjY$WAbrlNvpkB>(2dZzt2>y(x@9?X@PS|8i#}&vW$Ki!!{h@| zewbSq*nNyTM+q2_pW-QG@B18Qs5|bDK?Y^A{Ey+){=vH42HTJLvbepnms=^j2vMnT zOL-aM|6W+8oZYmGWhPPgbm}ln(?8bRlZ3@=k@2UYe=NwRM{Ia{T|V3DHF2ettDK-= ztAswWG$I=+`4yFzKA1aAhy(A>}7xD5kGM$g#4MbgxFP1`GD@$Tv?+!I%s(*nR zGl!Zu;w4G0fuy8DMBd%d>ZkiDyU8u+u(=goc9wBFh2%x?20ZEWj_d>hR#ECjt&zc& zCO4Zl%c&AYTK*_;cYUy+JCV?yZAQ{4u=C4DTum#WmpTbn`=j!~JuXg3>CAh!Tn(hK zSnX=63#UljA_rQcnDd4b;%{WipBJeY?I)O`HUC(kjuI1hl!YQ_+=c-cti6%M6St!$-wKbAhEE*ilk-yf~fH zuFd-wFJ$o@4f%*|-Jn~MIyxpSB&*FLG>+sBi z+(`u!CdC!xILtrr2``C%{c2Imw$6ruI~y%jJJ^M(f3PTS;b`K!;$1#wbChQ9ks{NX zY^Yjj=U}?hMTc=b#2!wXDtS*!M>4)o^oDIv)w)B&p+_kk1H^)r({cmFNvGCdWnWo6 z*;~V@dYX5E5?R<>i$pyk|Eb)6sDQ(ZH`9O*dJF91$t89 z*j<-4uvR5<4A8LTN*|Wo7QZH?>&qiLp?R{+rtIi~)AuM$WqdVr&bB^{&=qOL<5c!? zj8@s(uk}CTEPjLrAC{=RMmclWID3+>rYAW@G3Zb67meON8k2d~w)H}DUE2KDpsgWh z+EbkO?t`}=4nR7rRR*q7LSqvtl?|+~t$wxcEYUsTIo3C~SZIOBpJ(PRf!amFMcJ7k z7uC+J8HhT0;iBQ&I;{^(GH%B2gB4BPCejA=RyMyjS^rx98gBuqtXq^`6q9o8E8S9boJY_z+|sA+zbUNnAotiSnP zj9y}D)-#UW=jkGzSrVC zsJh;UkZqY`D7@&RQ}go1PPmQ4J`#_9FW*G8l?;jV9CZezxoFo{KKpcT=RgLMNcZ{) zA}=iUyJ;6jxvtZ$KE-r1f92*I^XEN83lFv#8UD#9hHrJX3@QgerJQTIpD9Ev-lURP z+?R<5kT!&dKa*j`SLIX6w<(2*80IHeLtP$Szqi%GCVAh#!oJ5loY zHMN8(GEEEhi+FCm#7BX0WCv;_x3Ey$YLb$Fe3r2B8~c5pl^@iZBO)pMvY)&i1s{;^ z;PX!N6(=q`jA)W2jmeV9xX(eFqBctA;3?~5RITF5(wo?7PK1;5sgE9yK7`uof5hk8 zL?DFLWe1)*(k#eU09C@Zh?mk22)_j(lPc_i+pudhA{n}6;pLZO@nhI;Yuvm%30aZZ zXx)#Ft$)$0lI;6B8{JBllK|S<17AuMDXkba7X@clsc~}Rx!1wjNh6K$z z`o(i?jg=uuTb|YKVt}CNvxlHAR5?mN{gZvARay~tUTYj(nA&2WX1}M`pp#3aNped( zu1f83cmT~c!82BK-O#@zc!r>F_e2#^s4r|WN=B0@TYu}G$E`2-Y|2yw*+zT0a>G_S-C<0LwOG6uy;iRFHF(9a*tTm!K;Sr*fB4N z)g)zpc-O*_*TfzFHY3wdR^*F;eyW-6>~M7}Zt?Ia+K_O)n_q(aB&PDIXHUyLCAkQt ztS8RmB`vG8zLW(DdFK>~tMXD^2X-({jAvqw%-{!EUGnF-nn^)@Qu9Q1joBJHrB9t0 zh|?1!C`6hB$**G+fDqeTawaVpJEv0XY=IRQTLhMm43UOmmV+g{OX9l)3VZRH7kC6` zF7*8MFIrC~!BB|$laTZa#(r(x%T!E zE^}j@7Lp|#(>+E@3#GSIR0PBoFN%j#;&Qq|)g4xXuPzv$tKfoJn=pLBgrQf((XF}J z#gONsX}1#hD^L>UJfn(=pfo-*DnZRb3$$TO-fijj#yLLBhs4&w8<+L$<&0u)PXlbu zOzdNI45-~?Bvy)@Gvy|Ti%-`1zilk-9cF1$VwmW}!XH;pZMpEg-hyTFrCIh>v1&A+ zro9$3R0dmrfH3tYma|i$%7zh3q*?`9tl&Yl8w+-`rN_+;5#TH*g}9sGL5`Zw18#7h zkM~*_yt0;MWuu!R13L($gXwq1tS>W(E1*4>tn7ifL$;B}GVG6);c;qr`z!z4Z;w#( z<5hvQZ-b#(%rLi{MSX{rY30<{XuL_C{e{IQvLn)twv!=w6G{lhAj4t$V+cDTrmm_k z&w9G#@uIj?Sp7EKgI$cjRk;buJG!dj=N8CK2zS%b#LCiNXQSz55%xB5vKbhQ(mdDk z#jODl_Y!lhb0hDP^~ZNFVewC5^{-_~o2dT&^b?SBv5;0W%HEhNQF}~x7vkic@i$$B zJvt?!xyQZ%zQe{-Qam^gB$ZBsGK)O#GJ`*Q1o@j!kca(OOA*|L*+WoTd;*=4kpz*5 z#(rb6i}GDW^qI%cCNRI3(;y=tBo%N!`|8ecRzG z^VoOyEy$+d@zBA3$j;Jhonfunqn*I^&(=77?Yq z4p(qNeW%RqTmqTy3UeRqLRL)`JnwLSe?S&QW|9)NI{@-;KDbrCUEuL-^h*J3-d83; zj%Kdhq<40-JS8PHMJD>wLcv%E4%I4I6>=W{*+AK@1rl{jtVvv6Jx)C?7ioC}MI-%= z+VmPs{YcRb(C*~z6OsHiSGv;+`zq`IFSIP zdcW!gRr~A|2`Vcuns{Gnb+a7~g5aKZO*+LK$v&kaIsdw%`EP3iL8k~zGdzCq`R5(H zwt{Iv!=g?t+KNM5NDCGDOENW$=?&}IF`vgcSymD=S*ZXk!#CI4T2D&5&) z#3HUWZujei#J74(0plS+Z{Sn#sc=@y15%fLlARGUT;8C5mVG`x)(hn`rWRsaC<+vJazoZF1WMX%Ag8 zP?fLDdxD2j$FmQ9w#1x1b=!VUKmqZ4Vu5V&^7#C=OW??QCDb>ALYLOcui_1IUc1gkWN<22-LKzLn| zp&YYSfYb9GP=(e0ZrRmMKLlY0&8ka{^nCBWM;Hf$G52%fr+V3;1+}CrXDrsyL zxT?(PTwQG$E_n{Ab$%saE9t+ZWd}%Z3!qG+u>YJ#6abCDYRT$AMm6MblrW&%{nXh? z&U2h*Re&{oVm^!1;O$Q0{jLystgYGt_ymc3tCe@uWqbN*uXui9u+q2&gdb{0QuK5{ z?TSzSLAHuGjflJ4qRP}&dVIa*u|hM88_UYDl0nik{DS7@?GpSn3|5!tM4V4*p7j8mFagLjF z!RXLBb}>O@1=Y=7qnVOfRMjQz4wSR_b~JRE0*3wI%X#z9VOVP&Nynyq?^!1}a1Su3 zdG!~af~$jQ@z-Uev|w(=X5&vJb#@}V_+qlkmxxckdkD@OmPw5wo^uL=5zEMSWIoL? z6F(vaDfGAnA_1@Wg(sf&FD&Q}m$wXBJXrKeI_+0=f0e3W{Ya#R(0K5ftB}l#7lCg1 zi{f$LP)tXBmW<{sGc+ltE=-x$?zes#1IPd=dvA*o{=oOEjhkKr4QTCS(h&h$BE_R^gHMK!bb@TqiVxVvMm zvbpk`)OOclaPvC$hlrKFr55T{2BIR#*6yre5f*ii?;yqx6-@VWj6f_10yGM+I)}i!Sjt2i(RUxXZBZ-zx&xUk4(tfw@HTLk z{?M|)mYQ29r&3T#{MftV3qqMQ0iWO zahg3+tcH=xDRdu57?l+J*Kn<`e89ka-tHO(*zVmI7ir~ttxCZX6H3w|VWMI&qDy^a z{WCz(0I@UCkODnWe+7>yJ=i0oGSV~_rCDXu3CGl9EsFPiZ1D9Ec-W;@9QnP~q`qJN zHqDSsk7>es!}LPAaRA#7rR%hgAh7DowKnQMBD z_h+~9t62O3#Q}K?SdT!T&YXFnyYjStQ=O6%mm9ngwN;GYDZKe`UK#&8&r}igbYHbr1bLlu} zx`kl=tbj$e=S7^Tva~^I8Oi&}>9Yq+JT*L?_`vS`87G%LV6ZO(Fh=;5BGI$=6sQ}8 z*!{V+xw{8!S@Fb!j1*|(HZqY~=cwH@{EJ~v?mTLw!lUHnZ_?C}v zSN{e1xt2QjS?A|p92HZZ&x4ZQ-Xh|KJl8hM>~!tz!0w+t1S=VO;=F<9h?Sw_8>x4E z!4*cL|RY5S{W)*cb)*5?T(dD@!%;Qqo#O&&M z4Zm4TD9c=FsbOeU1o>K4^$v(it@;gf2|jHQCYWJ1bbw48>e_Y|f6O0Y)z8$re9f5A zt-d7aB?o_ymARN^^C3oapFWmw{NB7nPd!M)9zti|FR`G{jAuCa_M8o;Bbeixt+%L3 zIPt;v)_jQxS45$=wha^)w$-S4wzW(qi$fBy-W~_^jCDCtT@VmZe%5xBA-MRqkh01U z0tm(5=Lm@>yxgj%mCo2xy=E#NFNhQ$8+#8waBH4-qCWpiq}NGwOFTGh(c7fzPd;Q+ zQF6-N5R9m$hq=Q6^QyrS;cHr@)73)SP{+|Fz%O?D5;4m_jU; zr>`{e^SI5Hpzhe||Nlu{9!wAZ3OBlt6HyLvc?6vLZhiy2+v*M&I&ZSearuzmB4Au!JAY3@ znloKjI4jwqo`%z*1b>INkg4B;uO;J?Ui}wWOT1>ERoQt5QK!V?%Q>LqR0_|@z13_d zRGRlYuf-eQ&Ud-oKja10LnuUAYJP+K%)FxJMd%{mgm2eIW@e96XsP*UIfW;>1l9pm z(L_3?-$NtG?;WmniIx2K$V?W(_OIH*PD*btuGXGK%aMJQ9io{_9A(-abxDXlZ^n7V zpG~x=-DwOYx;H?JV^Q$|r?GU_y}sc*FFuj-bC!27ph>5HCKv}}O@!B3Ry@4C85S2t z^D$mnxrN1xedOQvi_eejH!9+g;9|33xF_1LH@)rVW9709ln{W?Jz6ex{9~R!R_Y?W^{U1lgja;NPYlf94I+ly@$?ev6+N$AJSY5Wia1% zH6}EX_a@OM@-2hV5;0HULSk7!(;A@M<6?i}Tt8X?gA%;uXom8CA%PaD4**+zwaHa& z%T4ZB_`1YGnF-{qeH(xC8^+XVtNXN>@6_Q?buy=dh*3q`1RBz=eEBRuX8GQMybHOzFY1q)OvX&9&>SN&iEZ17rW1NK4!BEh#%H@l`x z?!yr+q?j=@VEWa#QgiJ$==V(T;D6bfM+EdBhVm~8Bm1D$1HJoY5y(=`Bwa45LaV)I zuavnP4gYe8^8SNiXWAlJN*jfX`hEFh-j7y+bD%145M{cfhGatvpHWx3szJ9S$xeK(?n=$&_$t;=iO5(Ch4Wy!m!z%nCT3| z_4135%ABC6Yp5r|BmX;7-%NH%L$%*dWXGBgnYIiz6+AGbdilIn$9MEsZ0yu~N74D; z`2LQbGgnrDW7`Gfx2$nODAWIyO_#AnX-7+iQ$;H~*7P*kZ3{K+WgxzB&! zem(jLT_ydTe*axEFV$HF9uKPon+yO`1nwdx4ERs)$B0+G!N?W;xk)wh3jOTnjQ^(uMvB~-2xZ3Y6DYa!BFVj*VUYU)|nzsjzbe{FLT)=~C z`hsZdygm!W$v$x0hZ6jZwe!6oh{?D3@38@`N*i0`r-1|)+>(F|%p*(oD2(Yhcjg4{ zRE~*{m)dJqE5E&`mU;`a@Ctlb(-xwQ@K;bw+4dppJ@(K?Vty`sn{OGxexDI~Ib+m* z``-&qBc5Y@<IsY#wgwo4<1*xtY^q1o2?wqLK5Y;@Ik%Bs z@T1X0ncz)C_NcB-ph-2koL$a+DT@E#8xOG+X{|?j;GHiAi9IZ{*DL5b`_~U=D*lDa zXC^PhM1<%?#ANcP+sR-e0i2SUAfbfgl4`I*3HYvNCOEB`^P(N7W< z8tw0jchs@*DjoABzWx_rcf!K>m7QDZ^*isL>;A}BIav0puYOprNcc}plPeX@R6Rf3 z+dmvlnx*Qo7R01*%KeMW)4f}c7tw%Nsiqz^exgm^yllmbGEew78wj8Zb?rJK?G~q-);=^`fz@Cb(KdZ zFdXQfwnCLu zz^X{-Kt87Tz#>me#j)`Bkvc4lv3l*%X)|i<#G}OcD2^N5+|Acz*qoOKTSiB@`R>#g%!mAIyGvh_>m<_q<{;I^{KsHC@Tq&_Z zQw=dJJ>>S+5tsiooBl!FGSbSvnHxp^IxF_&HX_F_LjVF5oG*+<*c7E3Mc5Q4uYK8< zYEZ+&1>M~CaL*3J;s2yaX-M>ulX>5XC_A>CQW%LAqbZ+2tVt=>i%%`MSl>Hp^bdp= z+pCDtIJN>)Q!xk3dD{2dD?DiXdWy9kSC?jC{Hp+jh5<}z)z<7{Xqc3pxaCu>oBsU~JC_)onOBx`LfdhhdZ@llQ?J4((#{EsTFNy8kq`v_4N%h}Z{ zpc{rUO~Z2b?(&*zywrRanI-E2ZGOt{Tbz*wI0*}>=eJHmQ#^49tKs-%NDt7Q0>T%2 zOwFSV?^hz;UU4R5vZN4=4`!UQ#YQ<7FZv7^Q?=s!>THQ!Y721u_Tn};Z%u@MO)GU6 zw^y6v-gO-$3?9o{FwY0tB7Dkho;{p}d*y=EzNn>0iP`8>62XW~W>60yb^{A#jOM^k zKHV-rcX8fs;m^|YO}W3SbL{OHmp#*S1vLe_rPiutoWJSZ~@-QE#uuHW5S4-&iFf&kf5^%O(Nq;geBBt{l-%)$8 zIJb4C_|1Mt-Nbv@gTN*3aUXn%kWqgEz$EU6Yj5PZ8(;%K^#&>fJJL z`5gEv@T>;s{AYS3==Sm{g!#2F81&BYXdK+PTP&(GSq9kIX1Oi?&1nGj2|`uu+MfEv zX1`B#|DrEKr~0Y@_^p{bbos*rwD=c?;Yciz#tYe9NOP ziklu;fOep;7U#%8^mWpUbL+!=(br0XLGWk% z9|yyiXqVWW-Ze}E4$gB_#WOo4&L7Qgy}|Pb`@e&#&1d6SWpVhus-#!jQW;Xd=Du$F z0op;7%hU&z$xTujoOFqNW2>m5im`Ok@;T=@&KT9HqwvOQlA zok*O#Tgy{_O*i|Mh4X2C9(5o;FL5l>kTM(EhNi{%){vw`IcH8}y9fTJy1n>TFLD0!l;ZDPj%P0Y-_y*!@c;(9Zxy9I6yoAs1ya7DUubQ zQ^@iad^Kucf6!u6gDwwo2Pn(5V&P9y{jgb%h>-^`zqHJ>|7)+Ux;-%Sc`PcI^uDws z5t5`*@qwg8sWR#9Ji&HqvjI;WYUjJ+YmCg8vyNQmZ*MAKx8|UYrH%Y0&+S7UC(R|< zB`=%#XyEkR%c=lU(`F=MQsRxzg$o}ptxyl?7`U#*{g+6<3Um83*Z2DPs!_@DtO;>; zbM=>)>$t)}l-ut*O@8U`%Lb|&|Byjjbb<{yJ3xOu^8TL?AcQErT%def9>e)R&oLlSqAIk|iIvt3l@2MZwk&yrrT&(Bl@}b(#$4%-H|^Lt zx3Am@^Pf0UWtxZ#_@#;R*umYbOKv8u%}V8~Z?`j`Eb>F8-J^u6&o7Rd#j4RqLrt+G zDy_RV8oC2L5|~Yr6lbU})Bij+0}dWKqO>VCRFzCF*KnjpmdKPX;%5 zY;BJg%9?%}0L9u8{-SuPH>OCdaXAf0iFrHNY$y5gH!?0N8pCy1$yN)w6m~z&x1!XOt?v!*AEp5Hm($mqOwbp*`su57|aT)|G>f`E`cTHP=yvC|(zHt$tx%i>zRT=0S zTO|`%ILH|QHDe9U+pJoS{rk$hGD~$QULe2yPnT8!`bY%;?N3+3T@lzIt)M3ov+`Ll zClhuW`B-84ewgp4&5nOLC|~H0NL`2UcDIpiI{YgeomX=Y8h_I`FpV3GWqRM6FODZ> zFy-GWjG2dR!QMA#&e(K`PqW`L@PCY5DSxryle;@4OOeJ?jP4GkO}}5zbi00-g%7in z0=R4?9Y{Lhq?1bw#h<6@OEwZxc8u%Xz_=#78sF(?p+HtK>ko?MNE+1sW#czAQqZw! z{Uy|tRf{{3h8ZEDl$CE#M@YX%TP1pv1_;M^*Gif16^i)p@A>iSZL{iBD3ZxhykqL` zMAJj7E+4b_C}ud(_~@_!$Y;LcFsz_agI}JO1HuX>W8k zfRP%dyxdx(YsN*rd8B{}fDUMl=W7e(urtj)F16Q*ISrt%;)P_u0Z0eHUn)LPV;huy z6DB@$&eX>-(ab?m#KON%m5~;rJI%5(fn$KVcr$mV);ThM%Mm8PzK(+1sfq#kHM|D* zV(Xg9{!xl1d7?^--g;5z-{wct_ye(kaK=hz2Di z=PwGBq>5A{U#&5~KniP{ zDV7+<;yDBHP>0J=tdKE&Nu*`$@@;%n_DT{x0D0=&E%iJCS-usru10v%euiq}*t*$k z-6;S9xv|1h{;ab8%RZ!40;T~d;&qapDxwFTj(*ud;g(MUL` zF@g^D?^Q^)(aMlF4OZAi$ECTTEZ!wL0B+}->lKlJ*Btg$U;3g>PWu`wpO+A1ti{EZ z02ro${%*X#AaCgi9V4eT3a19X@O$C*F#+wZ6YE|V6F$s44SjXvN>TcotnXl5Kf18Z zzMBjBKA;N{+Kvm!(Sx%4Ww4+$CbM&LLKA(XC*j?JaUNy<5}k2Dd~RUIjZ=Tk=n zds3_-xac!sVhFatY2>yZ-NU&UdZ~nZAi(7gUkdZ&j#Ok}f5l!S!xfv#k3|e z_g|WqGWNYC2s<&I+=+Gvnv~5_tUVvU40?0ZN%`~GulmWny7DOksb7!Eh{lWExLGNW z*y^&JojymPM%4v?lUA;LUxMA4u?tm{V|JF)yjx2xUvVFxRe_lB?Hr+;Q#q7>)VGw1 zPsdmjPb%^=c8bkNKU&^TQ_SP&u%5Qc>kzbds< zV(unL4eYSZFscGy@Dwc8c`s+Q_l0fHPguGj%aX+dy(*pXy|2yk&qUQZ=*lumi z=7ilRXJb?v)8NOrIu*NYf|^RP1A4$vTZm}bY8s?{9N?rdsbQo*=0PA{`DoUmJlwAa|yxgAnFqxs`}9vh)hEL zVsEd;gz6!3)ImK{pulY#K9IP>-lNU&Phy$0<0gj31shmnS}O|=lQ4GJg)t|bCe5r7 z&5Q|_C)3)uX5^6TLDe1wNs5TlBwn41QuM91El*i+3J)QR11+%gL8826{`2`N$$Vba zg#U^*D74 z6{Wi;HCpN@--vC}7rEQ8o65~{(F%CW)Xugy5Vtg2%TGTA+U|`uAid+wmBQ>*IP4wO~GgPM)viUL08Z7KkE6k=<-;>-Qd6)0A*tdw)k4QyUf+DwYFL#|K| zKRG1!(Y42UV5xpLH9o6qw(~Q8OWbzgaDpdvIvRfE#=#fu9W(MZGF9xiGK>PPqZD7H~G39^8KiKfNX^Q#P zLqO9d|4wOca?;PCq3Zm`OV~&{*El#67OYhLzP%yp=@wi`@K)gb8wO>M6!li8Xy7G# zVa7CBiP=n2@lp7~G@*7dL@mv(mX?mpE4v37t5vcH{O9Ybmma2WLnrO9Xsj!#ZAGJE zsAK$iu~$GgVa*m>Q=bHx*$rX)hte%9-LW7o zv2;kYw77tjG)uRnND2r7OG!v~C@dxN&ieU%-#>Te?982e?|IJioHO?hFe}w|TR5pm zD)u*UXBI(!K&5mIJ%0SxHW6J5Y5$?g!t`A`&5ioBLZ+8@{qDu1i2tG$EP*P)545XV ztfu+L?q=Y#7Q4YB@1U$a;E$WSXLQ!hy4D8&y{<}zaOBo4d@BL0@nGrSRVJpc)l>Hx zNcXQ%AHu%U3+&q9IEbGvBr7p>;Zqj@8RW2rpVxBX=|FG?hu4^2b0_LeKDL=uPHpn? zRqN5hTvf_*!I9feLtVNWQ7J+K&-caF1~-g#8im91v*YY}Wq2MP|&5bvfu0?(QdvH4phx zi7>A~A3nX`bKSUQsQ4*d7?_Cq};FZcyT=E}Ki*_aj@co>$ z@6zsp6vIb9BN&^@<=Zn2=*Gr58zXhfxKyYjnKnkXwd2z?^$tC=9c?Dxe@*#Ee_15vWo0`Y3z(*s-?w;&2 zk5~Uh0hReFo?_A_-B#>25l{~`yKJzc!8)XBoORL|WZ`= z>BLQEJI`#=nqsYYfI>hQ&OUD`?KLh%LRZ!uH)nfC2E5^|vF|qI*M|kmE2AUL40N$; zc&)V}q3Y10WP!LoJ6o>sg0d!?R%&+H$w>z#Z@yfPqrh_X{OH}J_0h$S$O-vqiEAb* z+RH?iUAU-&n#PsJ?1&eU5t}~($swNX(^FEapIY->vN7aLm;Z-$z?vz`SJ1A#nnvBJ zHo@PqSHrylPI!lgjr_WM_A;2Ut0&%PO`$?*e72oWIDEyZt!J%pQKeJ4i%l1xBF9YI zgTMM)f&7gr_o}_>`bne0l6eQ5&XOm#iw{WXysOve+7oRML|$qm@rR0PU-Z}HJe0yA zwFRgJ74`RP?aOnmvv_zLQ);n@{L~%GTT-GWA4 zMLq%31wWED!UYG65u@Z=K5OF9QpGLPUCCLR&@Rk=xSad+EMIt zW)d$vt_l|Oq|E7O3>2b$Ka)Z9d;XKfM2I$F?bi@WXlJCq#wPIo0_m?gw(hev`X$je!6(q{E? zhd@i2^+k5FZ;7BHwMBkdqZYb==N?hK2CQ7iFiyFCAU7IX% zz!uS!^WhsXNIIyYU`eD53_4SgPNuBY1-c9gHIt*KHK*Vv0}bAH%*{ujM0)Zbc_vf! zcCyV_g`z#RgA9`PHzw|u2oAPXn2=>cKu#so!C}OhqaE@#SL1a;kQLVMUNoe#qjB*i z?k07Re3h}2b*&O_)|0YI2iP=+>teWeyZPfQBLUIxZC&_fUTU39_G-TMWu<%H?GK&O z8e*W+^$ok!PpUM_HZdO2qib>AL42cIUGry&Tb9>5$h*U1O{pfZrPjoFc-%B{kwzqc zScmL{^g0z~RGNTWQBtOwH{wPnt0J`eV$AWSWC^C`dhsKeEd7t_sfX{I1FKjXz4f`K zq5Jf;x9TKDPD-}@8w^VDY&>1BpY~)^*LL(PO(8dGRASL5MdQL((4)S+Bw64GA;|4= zhW?fzW(KO`vV8~T&@7=ZWn_|Qj(AHugBbRwOMR{B*nk^C4fvxeEJl2YNw-nW<97aq zN%cgz!fDxy`;+~fDZxJ_;YemE_LD!sgA(taBybTt$Db09tE46h&wvZhvfJi_lw>+2L-G@fvD&%!o6lP;EV)@1EXzNYjK9 zw<775yhF!^FAE__z>CCF2(rHD4PTt=PmM$Rsp#52gYl1Ee1Qz*zT|mD@USl|oAdX9 zyHXf0yh3MM_wsSah{1Ak2mk1vyzgf>imzzYSqAP4lRn+E=G_RqMD(c8GeY3OS}M1R z2K9u}hwwh~ukKK~HMJ7_^GsEI3-^G8U5P=aIjC&}w{Dju_z<}C@OLC}$tNx9juDjR z%6UxLfbbvljfvX;;Dq1~yyMJKl3+w=awzvbj_OVZTzPdDx#LV%K^CgHORZ5FD9M)1 z>0%@fug8f6S6+bP9Mxo5r;q{Y0Z54@D}TV&bI2kY^;0rp(&!88=o z=$L$~71es(y;Q*Or;+aVR^=b>zE62ulNv5rfAksTk<5-$*y^xrOL0$dHlVzBAd>B5o?*lOwt7%9o}#d&xRu)qvQ&ZER8zSs3`>AI5VL ztb$ZlRE1`>rPP%$1CH+1TKK>TW7rUvK4VenhrJrbT%kRo>PUVPf8g_e0}U@idEunf zNL#&KhT!V{t|=E4kdgJu!i#c-@=jbuSQU$?>BU_Kyrvp|+#P5>~Y$_dE#s2R+(f2RueWiNB?FiHY z+0Z$4L##Jz#R-)R`po`2@^Wx@o5G}=aWVq)-IDQJ!d|y48xfNXFTFu75~5pEa3w|d z=`a0f5RbiD&;5Xrm2@tq0OikJwg?J$EBN}YohsFB7_coi(3P?#6RMakl&LrCuFuxg z0Q&&ZNgQ1B>IEY6(tMQkYh1F?%pevms_XLg7i}AWh#iI#{j{GnoQaL6wbjWgwyYTW6U!6{7CUy^ z-@@g#v=74%j76=r85d2hm8=ZciGbjh0|YOjonUfKUz-6Qa_+L*Pv;!MatutW=Y_6E zZL**hr;n#mr6Bt4irt1R#ye%=jo5!+n7vFzU?+@5u5au1|7Q@pG;xJjqr}In2R+>o zr|`-^_sW0|j(uTj?S*7|$n&5XdvE#XhTSGI!J(Bm5gBz5uRG3l#2jkE!pHi#UKNpOI(IqVrSXR~9ZA<-?iE(3h9*+P0; zg#8z;TfWa$%8P5uyyi%vZq=Zge&s(h>5#Y6;P^6eFR81d=Kj*>WG%;9tDwjH0I-`& ze_I>{u@<%#u$n|a1%=OAwV@}M5Pik)t_^Ktei;#XcxmhMzpK zKEZ8Yr1HdKcB-nOKnim4cNZw8_dbd!W>I_9~s!2C1E+}zaIDdmbFAVx^MM0 z?5b>i^SW!m4lxi}CZ(_NB=b#FRzW8on}7qiyVLdiVHfgejWOlid2{_3m3Cm64(mha zGL7GiUI&_9f0M;}a?XX7=WdGROuw&X8{ZW%EO7qdMeUHaROC0$?|sYYd+! zNn-+OWSK*ZgRU!UTj{_hh^?V0#@St#j_}9Wkg#$fni4!>QgZBzN74_e7uPIX;xr|I zE+6nu!?qoPIi#?IbYCbOX_64s4OA!#B(22bTb{e5Ist`GS}HOd#hd${l8a4afuRJp zbNY&Seb$1PT?<7kayg@jC7sbwwBUyz1BD+5cD1JgRG7KgH$C{ zCajS?_&s-KPHUq2J$EyN8}83k1*U`2TFr{r0atO`J+0+`_^E!?j) z{BR{MA9#7Hs=DEFpdA?1$Bt_429a*0U2$;2jVG~&@*pkUA8c6orVnnlQ^7Qw9j!9` zQWaUWybnM(0F`lYAEEHzk#=0KZ)n_vX9VOxRzZp4K`s58mP4v$58q}8r`@!P7jWsm zX)xK38Fv>IOWVOg+nMzarR7m}d*I6FVZUH5*^zxHaD@A^qTN^#fY- zjd_15&Q1*4*M8tD^3};B3+&UDEX&VZf}uJH8ze6$poi|8O#`^$PfWAvge)jxlRL7s zCH1S0{Gs5q*+rAL^{(MQT-ujP5>7ga95VSLBCI6#`rdWz(@PNE-5-*?CANbBKwphK zd&BGbzyPPEGhX~jOLKE5T~~37&(fHyy&D1i0j}?5z;W$eI{po~0WfH{QC#YDdzP*! zG|t9GnaId>`}2#e<8-iuQs%B(Th8M+ace}`XeuYVZzthrp8`PT{)zJ12%e`pP-QPy zO-Jd9gZuo&yxkxapFPz{?m7Ksh##jgqY~7^PW8~*=ue~Ag%e(b~u(4qRufyWz$<7(ghO0{cCaB&)5wDXzakXh1peR zW`uTjRm1(f7d?Hp2*hN6dP~B0p=QK>69E4o0-UI%j=hil-?mk`#aW&6g|C!g*-X#1F&SCOq1Cgpp#28Z zH$SYHG~A$wo@-H=~0E1eK+})#R(;s-s{PY|9 z&6`<@v7Pta6{s%^d$`WV+2O}!20!FHxHs*z=iV?{h`rUOn(i%$05EJ4No>qlC-y$%--lbX z4PmL3<$SlgQr%&QSN>1#ady2l&nu8gUei;L%c;++5tE`mo0e261V%8KG)N%e@)56{ z;$3BPkUK+W%j%s_pXU|e25d3`e^2WR!?cwu`|J**@zS=>@mK9gIzXOwRF z+1JsOpQ2Ji8w~?MEEWG_`S=49n^#%-{&c@2O$Dsp$KL|(kyp9R^qSN^|4NKbfW;(! zV~e|UrJe|2peJ8g%dbH#74E%|WuI*|{#YP=2e6F3f+AUbbTMOU32x9v?GVk`i`mxM z0s`%EHKyq!Q@dA+g7nzX0iEbpKHV*%@nQa+!h;zYNcKPo|E^|%ubt-g{>C?O$ne4i z%m$$oq?zDfmt!m2(y5DVY|K8!Idk`DttrAIzQW=gpwF5Gxq&gO0xLYwYjjmW)oe&M zn)^;m&g{8CbY1rIDs9RD0`dPXj$AQg+I7h^^VK+TgHHkaM|u9gnfq)GTqWoYF$PVQ zr492O*+jJoY_AVG-ziRyJf|S3RyyDAJF`N(^-n2ye(=-m`{cYXasJ-%DU1HJ=&7hX z&H6M+VFy9rt55zFLm(zf$oSe)3vW`m3J_snYE(*jEN}7$pYCj}NFVhc2;QqxdZ9-1 zSLeY9s3E{y8nykI1v-7Q8&U|4FDZQh^U-hTe@>;~%zQr&%(pY331NxezBb{fK? z6U3R?b9-XOyvN`4G!*jKUM;EBoKAqZ^Jo)A9xtrCIQ0uUv&Z_$nOaT)o^h%?qt|=d z+`03Zt*X@G3{rQz{E zIqJ0GP(Bv8e_`Kbb2*4F;<(c??^XB3Z^-L+>hPjCkPnVWCQKt&;y=F}h(Ar`i9*s= z4N=W1SSbofh+LCe6e57E7=SQjr*P#xGYS%V4o^< zGTE|yivw@&vQ2p<)3e&)6Ovtlj-Uj*XJ)dsh^oKP-_l9Umqt|d1XPW6f#BYU8-u-U zPs=TQMuKV2gaRnGu17!@00a!T7X1|e)|Wuq8UYrl$UFGvaRp*E z2C{C_5g!vBX)P2vhFtGv=|(wY2INw)ch|cSe{k@`Z3n4LTxv`8+A}{6C}6O`LVN6^ z$F$%>y3gqBL#(>fNdYng^>W054!dCyBli+C4}j9 zvuBO!Q=rA2mD}_&-d|?IJW>-#xWc!%?01#S5pErUbg>iU~8!Aa==FP1k= zWI@lZzmA4Xg-B|QA=B#!-f6|vL^8$@l#GB7KZ_sTX2~-CqL%E%!1RwEMC`HlGOsS+ zfIdM@@A>ZG;zQUTs>%X9;1l;R5;oxzDRBq2?p(Vs&wSdI3%GxIyi(|p9y~i|;ds|| z`fIDTC}%0ZvNUSWGRNtSiB3G7TigVEKs3$qPU2N@XS%3RP||hmLQ7VcI6&8?kRS4` z6%!bPm;FkxCd-%HT;S>?tGmD74F~e)f@vHRD|2qPdBsK9FLQh5EcbA)uP^q5%wP=R zQ7Jp3^`>Lq-O*H~4(_}U?#UPiLz1bvwCt{byM6p+tbcp9St$iwKZNAP49p}I-}TaG zO!hIm;>Y{UV9(M8D)nlk3l~UHyAwH7gL*N_7l1eqDbNNIC-Qwq z7vo|kKe5G@0bXTt^t;0J5<|D9=i6|iDT=N#4 zYSugGp9#woc;@H=Y-E#Rw=3)W=k{z5Z|qzi0yUA~ewNIm0HA<9P|I|p6LpGtg#7Kf zWra=7SlwYkQz<>70TfBXn=_#feyFopxN%$R1{yeYaCb$%?S)#rjoe~$DY7#ZV?h*<$e2SEFkA&1}o zoX?6yKZz7)e$~{BwKJD}cBkPL$6oMPlKm7FiTu5q1oY(jlm2LA8Ph22YUYD0P=f?W z5?dF@y{Fhf;emQW4{;)ta$%!yHXB=HHHC3Ec}lxGfDHW5xBc9wV!21TV_1ANL5^5h zbGdavvm1UZ1a~TgUs%GR=>!P{<^doY@jCjqKitW0Vf)2{Cc?i(&}t6HRw|-bS()A% zqp8Fjl_sx!qJEFy%zI#SWoR%B+LZ~6FEw^i*Ps2B3(jk;q}3b0PwydxRgU_>X%m@D zjAWag@#Jy;o0BHy8z;6Vr6sRBctqE)arUT&V}9Q`9rftG_WGZR8lg?Eua!G-apO8( zf$dQzF5J3C&hM@~Cio9^J^t4JDWvmz^m~b5C3nrgPDez91TI~mmZ)0Q{zX0SC-^Sv z|N0>22{FD-kUNS621-ixsJ-;uFMob{?Y@Ij(Mu+%HZ3X z>n1ussdZCss}B_UM|Aqxow1OrH`L1b|EZ%Xd|ubVLY&>!{gY=e$jL=JXcVgnvSey! z3-10ixJ0&`)c&-kh>{S4>lTDS$e#r4X`Z$bpWP;3oAWysm2znBhiW08l%Q#^LaTti z@*n-7{pJw1AS2HTi{6f&`1A1uv~V@E!P4>N^eZ$E;ml)TAM#mOqc-$It*pb+0>rJ75TNBO^c@)p$j+g+}f}*8$GXoNAqfpHDP6ubdLUu zIP5@%$J?*nvV(6o8|p1!XhCyF_pvekzksH*C)w!TKCwJuY!aV7%D)3&dod@%Z=-(Z zUYOEZ%K|T9ft~F&!yvwSJ7yRB#xp>nszPh}gr9b2-idTPn%ksqwes|fEb#gOY!?{H z@FQ`CnLEEAlSIm{{bn~tF){$rUu!KQ=5F{{`c%_?)DCa{=!M?e2x!5=^!B^zfmol4 zXLMJAzOM+l=zs#)e zerzq;@Wwqb$gkYqJ_jWNlTMN4onH8^Tk($E=f)Axdw>f7Hn*w`DvFJH-|00=7JLLQ zrFH9ZD7jJ-XIYlM?chtPFFY}A29sfe@ZoaU<)!8($Ul>{ZRLG&#l-}B40mzbP$TyB z1n8Kb_VI>DtBedQVjsQ+$cU63q$C?FLV2m+^yA8ioo84K_N@nM)y3$^r4~Yq_FvMu z38eF91Bw8+0HKbb3&=zJT##(ejzw!*&v17;4g)^S-h~0*`YrXyBfN)c`s)mdIF_wf zz!+ph4ny`~w>Rh^yOfQPMpNqk*9}Z!Kcv5^IGpx4A zQAB+ zODcC1v!HbR5Lj)ZC|mqZmV?>NeY_)*na8G9XI&dBPclltr>ls*^e+b7-zc}%*wp#w z&Z4mDfg^*ma+7Bo|JegY?O5h#3tAJmw4NqpA z*S;?)KRbw@<#GkW%N6%KSomtzK@v6-#&v1&-@t4*sF}Fdr7Kcks`Ec?LvG3zNNXZ( zfNT@%fOXRJ;O1zuh-u@Y@#P#8V4yYfCKJyRD68q2-t+!?dXW_<&bb7%Hh^`S4+D8f zR(Y1KA_-JAG+;X>!Efv+*blf*A=&_fa%R6+>%{1n!FL&crZkZA1IcMJ)HXe#ViAY- zMOAy+Kf*g4{L{dI_sdB@8 zjxco04RQ3-rXh?3j5$BP3hKyMO#v3zxa*wDk0nWu!Y<@PSSsg)KRTJjNdG;Z=nTBv zb_u#VIsC=8g3!46b8IH{_hR*IDbWAY|IeT6i6H;W)o-0Q7pp-*fBtSV-(a?xr2=n` sv4@sAZ&oKZ4?F!OB!m2}H~*f@-&|v)r2d|7-{5L~s7XovNP_eK0FH(yu>b%7 literal 0 HcmV?d00001 diff --git a/tests/b15_2ig.sdf.gz b/tests/b15_2ig.sdf.gz new file mode 100644 index 0000000000000000000000000000000000000000..52da6cfcd366aeb26137ec0ea8eb6a05976c873c GIT binary patch literal 466042 zcmV(}K+wM*iwFn~_L^Y;17a~XUokFoWM%-g{acePw~;Lfzw1}f^dvsaA>g?8+ve8p zGqhn-7c!5AXY8{yN#vQ9S&uXyMYUP~`vKtY03tJx6>yYf-HfWNT_^;uep$ZOuYUdM z>kr@m>sMcY`o*ul{q?{8k59k<_N(7~^Vu(d^FO}&4E~$`<>$Zn)vrH&`_+HXAN@(Y z`t67BK7IDffBoq%pMCSU|NKm+&$Rv*6aEFlXP}_|!vFa6&98s+`_F#)_dovlgMpv^ z^XL1|KkNTjx&H+B=koL4{{A=r{r4aK?XzEg`(JGz*~@%b;l_~NtQ{PxrDKYaJqH~;;!kH7im z*I#{Cf2aSYfBBc;ujW>N^YwQh{`;rT^nX+CU;d^17kl#Y)3@J#_RIWz{$Kq(|F8V5 z?>_xoZU6TBPoIJNZ{>&eQGWE*zkT}lQLt|@|PdJ{Ps6r-@f~V-+lGXcb|TrU*eljAHVzT*MI!^kAL~U zApZY8yV+>c7a#Ozzf%8AX{G<=U&=Ft&*=k0ANbEdf7#9-fB514!(aaMZmhcBbo}B2 zU;~<3bedaq^nqGw5%hca5uo+a`Pu-0p%!hR5A;flezS{4)#(7o?Wjb*=I!V70S%rv zZ?toq4*l*e_QA6j$4c?L8XC>K_!n9Xn_UD`2QS$7Xh%oe*PDs>YY}*LP{Z-9cG?S? znAh7obnMWe*_;CH%ZMc~ec2}LN8-K8n>W4MtF@gx7@_sYKTvWs~!5>Vtnrwt$K&iJGQ7d$1&B7;KJrw zo7dA*i)r^x#+ypP?oEtWmFZ`(r;VW5^7CX@=~sqYY3FG9*}g+*$ao3&9s1HTdTP{h#2QY+Ub`MiXk6}}@8yA0SaX0Av++x^`i$Auwn_e(zvTP?644N#)zDIxbe(=ZW zNB{4=8#KF9iB^T*wFXK%$Bx^g6VV{hoCe zPD1wEJv+Ae9`AZ=arc1JfY-xz&(I`ryQxhLE%>|rUe(r4yS6r3J!<92^tyRnG=O6$6^ZoBXV))lb!{Of$ zIQ*M%Em~gGkg!Fo&yOf>@qhoEHf83o4a5ofE$_uhio^}yeq*f}>2j|h8ht{-J zaJL+qb~N}=Q{SAn^uhV44Xu^;%^NoN%}C!A=b)kog5F&b3bVqy>r*w)bZfV$x?8rF zxvI-Ny;C!Nx5yk^g}cSccMBO97>uynK0r80Z9Imf>|;3UC0OC9#rN;ywx9CYqTjV3 z(JqMFP$g&(l)V>($FSvl^z7K;Hi+u6#qGeO!vJPGzl3lb-LLySlnq{ukb*c1Fus@% zyDx^AKfi0JM}&v$ns^aMdDjt%6f$(1mTSb2;kHGNNItjgL1H_}yZ2}ZQ8;@Z0^M9g zj7aDqD1?XXzL-G|VK=CX*uk_L;zj77vzs=LsWy+^jawVUb-c$*8SrM)<}nnTbz+<6 z_n$ue`umcUHBt5T7xB!4c2x&DrtNwDZD>XJq2VlE;=XVYJ)N&&Zsn`HE=M3*=^BUA zFkGO2@Wu=26wP*5^607|{YPm513WG?PzK)UjMLihz7b`;H@i|=@$b<}XqFU1h9D_= zBRXbag47vWiFUh{n=ugfr^vf*-sniEC}3+MrkAad-GxCBziUmDcAg@;@7$t)_ZGvh zQHR#gc1}>o207Zw=IM9>Iofh9pIaQTX=!%lzxA%iIP7lL@VP~J?{Q3nF2)o`7wv+63*2FZl&7OTvR+`%N zqwvLi*p2!ib~nV$lL9MU(k_bJH)nP0l=0P~hWF@AZYFFR=AJ_LX7k4I=sNGB(KSY~ zcai#7SD|;uST~Pu?_NQ)&F(VRb;jd+yi{&xci~W}h?6gzFTd5EpuX&KD6)ZbpFV&1 z;QXAxOv+!BQlEYJ^|$xG-hcY>|Ni3Jukd#Ro`PDD|E&Twrp{;UfB((bzvc{2H0ln; z&aS^{Xs9j6r3_sZd6CVV%AVbbjameM*Cwi4*CW%bl?K>Gsv#ud9m-8aIgFi~7*2;( z`=#auA!1sv_&?u&_Th&ge){q6f3JnO)eEDm3CwvD^zX|GxySn#ziSQf??-xzbiV9s z7c6%nPs;aoC;Y9JG+gOG{nz!+>s0{eFQ6ua04EXB-2)Fb3eM3$DL{ zqTgrp&7a2!4`W!oi|;@C^3(mV|CeM0L*CbI3ex%rz+n}@(kkFN48uykxR!!a#ytZx#Zs1k^zrO6lLg#tJ zqZv;heeKU5md#<~z{QPom;!s40=qs1Ud6r$1Tzq`uiHiuR<H;g%t#({_s|Cb1nVF{A3?vc3;<{b zY(EOLTi;6>4(|`^JHf%w1JNbY&myf>8gP7qo7?~z^M5PGd~U%fSB=B~>3j^zorg=X zynnp`SKghcwf|91cCHy5vu!|Fe*J-E2`#ug3@tenL(cLDlY4l6cLyMFuJT|7Sq zLpBbE>_t2JFnoul3m2#F(88es!QtT!o5u+jkTt^zx?;ldDPAIX+`x({V1aFk!HN}l z0$Lr$KnV;2gI&0Pyts!BS5S7M*@Rs=JlY2K-GaXA3?A)AHCmv86BrJQ2v!zR>w_OG z(xpYzR^j*(dSx7%DPa3Ly6RD$9OHku|Ni1C=m$yY=g86-XxJX@TTrjK5Zr*NOR&x0 z(jAs~0^-ob#VI^&!-GezFS_K1i#VxaE36Dd;CC1<@)b*fSD~+#MBU0}HUj0ppa0_B zN$tMMfB$#?`0*b<@|lK)VgY?ShB>6{)N1`ZcfvufU#gndaTDZJ}_aR&R+i%S$C z#kAqjHJt+5oQJL-7QegWiHcW@V}Ca^G7Dbyjm&}(&aa&%2NCktDsTPUfB&y?RQ>jE zfBEarKmOFBBESFkyDw{#!Qxg6jARN4Um;DlMoH1SVD5P!a`XK=`2^*b0^raFD{ke} zhyQc1Ra?I{3W_KWV@%X}aw7IHD)FW$za6NRf7Z?q@}2*akD*}2i;+uTcie%BM5ChH zN~a9-q}ruSfXSBcO&g$fLf>lf6t}mmVZDCZWxJSiN!qBEXrVdgRLuDt(~FwaUwWu| zRed)fk9c#AJE9iq0m@%{`Rif}4ti^jYneD3s3U_`fv(JWJ|DM$Gy72|(^HeHteH2f z>LF;A7BAp(51MSr+-h8|f2YK2?FJt23Gf458!)(nGiWVedYhb!#{e3&1$T7glIgWCiAh2kmVK>NT=# zvLQupn*x3C%C_}^U7VomtA`HsUp_0_vaoSnB!d~Sx=8Tcbb(*p_E+zQqIPsg1TLiV zbVp2pG}M6aZ8`CNZ*S@B_|6W*=0<(z6giDoH(WW1)%~Zx{q5&_`4hT-X`;PD*_Lf3 z0L_w#T;m9qJ~)2`{DYduIH@)+8o0+6wf+OJ{*gO~2<4 zjB@T?)@*4-8_a#@(ZK1;XTHG?U~&p&>#V%*(Z=)llEM8o%^#frnood0iLCADrw#l)! z9ObX)F0Q%M)(9j|Z^jFmwvv78l8R>BixI@ToAx4bH~po7u?@Fl2=io(~C{Al2M#Xb0P>;C#InZFi()JT zT2P_auL;j}rJD}`_}1OOT|T+XQiNAaG0=K_`Hy_ZwhqYaqwhK)VkB=1qA}U!vejWX zol@8W4tg+_&$>h(oDX{WZ45yeJ79f_sdt zonJ$It!bC;xEJyyxpq{8=l#mjKTB5f*g?)PikpG`+n&KgZ9m zN}7nS_y7b&w8X5MuXM6r-1*CY`|}@v{t@aPDT%aiKKtkgc7j#NCf=g*qf}M9@o1FB``w9+Qm)a+-6}hSqdUV#@(9nZ0PatNmf2#J zcDR)GM843WQP$YDJZaN#ZJtWHeISpr!d8^^$%%07ShsZT#~_XqXD>CF7QIbn<#JQ4 zvW~5#_QCmJv_PvJqrpbJ0@xSJ=Ul#U>As2G*~1`awh5_!mNu@R++Ty$ns;lIGx)Z# zvg5!FoHrb4^c<0+t*Kw7g%j|G_hD?epL5H3rcOkijlg;)w@7J$^L_mK|ESGmi>5TA zn_V2ymeI3i-}-j!=@9T(y*gSOH9yn83*u{XaHwj;ImPUKXZP~K3mtwIKw8s)#|gVH z6{(s}nob$EY}F4j@DAxl*9xz&C9v5>+lEX`{M@W+2p6%v2$?K z=T@E*hnHQrplZ~d;5)um1Mp;9YSHm#HMGmzq>S>0#SAyMk+c1eKm6^dA3Bt>f9rbw zf?v$?A)=t!POtnErHYfUuEZ3K>H(iXA%w)kKxT`b@J8{~2YF#a#S#^YMI#PKo!py7 zbN;Tb{+>TsE{S=2By_qoU_%;g*`V>sYaAv!#O?Ub;=l%;#d(+}7EHEQXjcsEFpcht zBV}x7&jUhe0qH`; z)KZ=P-LNPY^TBM)TCMYO*x@Cmb|y!L zqRQ`vxrwUXMOO=Dk}dP6845t=!|w&m(r`=@FSEQS(@^EU$nNm=C@FVol!6!AxCh`R zIAfl+^q{)8THm(;43`uLJ8Lj=3rvvPAex6ILvN?ChljW8z z_qKQ~9UY!ccT0AWpM-UBmSgkgH2BBfI(d>g_f0$Oqn5+0o(`i{n4#nc(JdSM2p1d` zaaTPbCfEfVa{ny8YBwtltrMqdzzhwTo7qb~8S0gh)4;N^n_?cYgqY2N?{nFTV?3Gm z*%9aCS$7v~@W!l;_kcya<-51+Yu+n%U!%%Y9R1dnktR##k>o! zLqpI&;&;EC2J5d(R_BLVACef4Ln04$_UMlV#JGI=^8akGPY(VBOo=M!JKjObO&Kl4>uuHp@wNzMa?0jKO~(snR7MSdXEY?Kq!dlF-iHTso2VgV+V~!8Y=5#y5A`Y9@ zlPbkG7D3ur1W#kab}4Mc!EPp1z(9F|Ja!urMw_A@Xlz&A2o!_(zEZ_LQ%+tzWC{Dy zLH{SWHq^U-!3CNOM$J_mc~#=HTQxB$LaOQi{Ju_}t}GQLm{v~%yBtA#pjrL2cfe2j z;!EJ5w$h{T0`sOs-RszUd4wFtKC!61#g6GxoyPtH)fYK-ne!0S2UEOXV!U5|#SPVw zJXx4yQW!0zL&7WPvinv&FRtq=RbCBG6C(~* z;>DVb!#yyuq7NOk@Yv-Jw^)X8+-K)0ZU69`j1DN?Kukg&~Iku7yo|Cl2MX=~UTH4)UO?T&JT`oHp zVftO??X`-`#Yo#X{}UR>iSZn?p&>9&$`dQm@5d~@Q{8`B&f>rQ%KB0k*X>8Qt&2Xd zT`VWI@&a~5+F8rjFx3^Lu38M5_!c79-cfcCCZ(pO{v0#rX}ERU3WJ9e@-@?earSQD z+IlliY{=$yfm#0il!w2FK}AQ-j+kp5@9_>xn>r}Q*;5~UdX;uFRJ(yZg0~RlJnC=v z_L}$ToV?U2ubhaXpcFSkK@RKG@|-3k4%)q03SuseMmMdPlEYZC6&lugf)a23LpjFz z8`GgwmcuptMhx+uESc{6S7(k2}n4*pZx+`1qt7*!pN3YS{}Lk^Ur^~ z|LGt9>;A(}f6h*AO^cqTO~U9z)6y~YCnBoOX+6p6-E^wVJ>@Ask9!6|^P-_|H$1RV zmv-MW@~qwoYO_vFhXO8N=lvDU@r})sg6d@}syQb5KscfYSOO=nI6RmyB>G_H#wKrZ zdcBcf+U%HT^HPZ&^Zr6E9fr(ppdmqFxJIV|D<-St=csL7!|3^^)PvHi2n%8eBXc3? z5qMXNTKZYKEG}?p$Job~J91I9k9*mT^^Z+&c(p(Iqzf+Si|_f%4d7vIz^T@jm1C%H z5pDYsXTRKrA*aVE)eWFki8?fDBNM$V)8B7^k+Qa+X$z4*cwJkh?-~oDjq2HJl{g~D z$pQ}4yn-chy-=yOeq13@epcbD#{Sk}V^)o-zD#+_V<>OF?QlT6J5U)}sxSPrUUIlx zN$T*O%G1bWL(X<%u%!w0lcI&GN;)>R2bq`0~BicaLxuY#t4;uc8{+o6BIFm zD3Dk95OUp6I?R$ArZ;FoIlYrFODJ>=<1GB)r+Zn89L4FW9^!6I9F0zR7-R=~nvg-T z3n(XFF!@$w?zBp}MSR<>pDrV^Xo9Q2}wWp=N8^j1ru zD(LPlxBNFlHaswqXmrn~^Qp?j%$8^}{KN*v*pO>14!Ez1UDKI7=vaGZ@_1cBd&j~9 zt@PIGKJZZIDd-h2%Q0?hFXRIV5gWNDR_w$)v04LWK#h^((F(S~W6w6QyB!>q$BoE< z2Nik)OxMlDWCYB$lhWUt(ZpiCL%^DyntG)tCpWQ90k^-acwCTsg28wiB){P@C9GIY zUcTTTUe6Fw$!$<`Uw=EJ5yfD1c(27?dWNe#MJ4Z@Gj$3_%>wvC&q}+G15{ zHRMzmQEX`3A$@SPdaIoclu^gFdoZ|>%7KiX|% z8S}-9CHlI@)wf~!)UROXeGYOC-U&UFoBy%6mz_KLV`2Wsl9Dne&M2*+kg|gnQ(A{Y z^k1QLN*>>DkhK^KuS2!$p!<{(V&lhXZ?N5ITStEcif!em9G%)i>a#Jms;z zMy!L*wKW^5{D#5ltjEBm=%AZeN+75%Fc~XTiA}2ACpHyqC~t5i(G>5X3bCX#z)`t} zT#v8m5QE9a#=4TIrk4pQIfLZP#(VL{#DGA2k!0s>pAkb}d=YAVZU6yn6y)fLV6D8Y zS{H$Wzj>R{x*&hV#y3go4o1$r%SKM9le>z^Uw|F71^^x=wY4o2mt%FCWKiWOCFB&A zrj#2WV#@nWVTrKmwD`+;R_c*ey>}f>FVKg=)=Y2B%Hv2APePsTCH|Q_S~yvaac(>p zRxoK;rjujV;8>}xH$85rsWs*n>+pw{7vVr+|ETST1~O0xD>$6cQg@0Uyr7Ob8D@Lt zzVOdLyk)4E&=DVcEi4oVLZ|`V+md^6x4;z^PRorbHh)aM!_o9L8>yJ*z`Mt6ha2cp zWEV=!1=5%D4MnP1Obus|f+8P&oj%er$bw^#mY}9nL!I(|`MI0;f9}|D@GW;NRyU;Y z>H?bH6GckK-V^#+VBdv-bljzkR_t!VXNUa3fY!wJCZv6G3l0XSt1)l4@}R zS*d%%YIYb1HCI#R1OCBM&T0TBucoZ5RT%WNN=~(r{R4y!Yb{SdlQuTzMF637+4t&f zK+BwsmgocWbMuaPgAGF0%%+?T;w1iV2TJeN_6sQokxkD6Ok6td<76DY zLtI9FdTDqxPbJaG8Y{Ob&aGUd=f11aI}}HjasswfHolY9{#cGZb{RGpLA=A8BR_+5 zt}d_uU7~R_&zS4WTHn?N+PgtMfe#`0vwhpunnNSBpv4%d2tQ50S(M9FEkuPLxf#$6 zs}(J852?OZ)Z0;et0Hjyf}#5*$srNM#m8l0Mvn!u6+Y0xC95oxEZsJDwLv{|09}nN zu{0u0ZnBpaeAg3P4nlGID4))ScBP3W`7S;j9jhOb*pN|-VI$|;s5eDI$MLagK6Z8^ z5^pTh%NeWWDnz#w-1Ck#TWpCD(A)`LZ*)u>WH!$0S#C5Q+0ZUI^v1`>{x#c0P_a^Cx)_x(*2~SdJdx<}=I2IX zU9Cl_qqS$pU|!AZyv#6WQE*FA2O&R<#L7t+$NFoC}EHbz*2tm6(F_!$Da&>OqZ>-h_Z9-n-SmSOSQ#(0t@hGamp`CB&9wy7|(zjLpr z@yYl_`GuMLhGYuH!H%644C1Q7kO^Fln>kf089r*|hUoli$zy&MORr=%Jj@WVtRg?< ziasycQS=ZWqTnC|z5vN~gqcQ22xNhVAg>`|ES9(S&V^JV%1M6nZzmeEBzJI2C?^{S z*?;)r`~FRMc5p8eogVE=bsy|wAp5uiCl3KH9eE}UEwx_mDK{_z7 zqKep`5$ZEYy)0j0ZOCilvV8{|MOj6K2KzPC;d4Dl2Wo`&!K>h0_VS7U1*!_IaIo5o z)taaNofRin@+v~v3vV`LFRTwVhuuIH)W~}jOUX}pz^V;OwO{*p8>J)JNJbmW4P~FJ z_7Fl&M)c1P=}sVcoc9~!Z2&Vq;5t@dnRN%b<>-Y*Rr(=3Uw`->JM-boZ-4Xk?YmE>HhR?bf}4!X_=0YH!Tqu^pUvp(i=ZzV!H92_)~DgH zF~~A1rjw0GCmW)XM;eg9OQUsWypgMr=6zJ}^z!Tht5rz&(K`yvTM^>G_$R5GAr9bi z><7YJ5r)Br*sn`}9tqL0Ll`~R60!NksSkLWv7=~Jh=1 zhZ83B6Gq6}U|e3GwK(^~pm=4wG!?$RE(qt^Zt@glokY}I-_n6`dZ4sguO7iI^abn}#X<>YnUzr3ISB;C=GgPHk`00VHXca(7uLzxsQ)~Gw_b#<_k-jzJHZgb_ z+l^dPWIuiS>bEC)MXUK3dSes%+nfCg>`Cs?9*}6OkyPrQ8`kAe)w>$)Kx7qHSf~T@ z_9~kqwPjjcW58_i8oUP;G7R4V%LAZhu#O1UAvo{6|Njc;q^@S&oGSM8&GB=0dKRR_~! zBrI&yC}j^A<*&gHdQ+>O`I}xF=u0BgFo=h($6^EV{sb!@+rrU-a^M@p&~2%N!^Z2H z!ONHVTe6_O0vE-qp!uz_ywAG5penw#AK!Wd-h{@74NOp55v6$04ux)xZum@dASY4u5n$pash*xW0>O@kAh z_?CYAt^KvZ*-$h;y|bbf-&q&l=@LF1ygW}g-kVrrXpXIbYOVO} z%=;?R{)+Ny+DYl|gBdgaXd}86QlfE8VXMdJI=S%0km*%UmcQckXI^@Uq z40hl08$Iva0u7dz2(dlFqzdLltfy0^xSiz2g+lXdCH`uI2ize?yEEH_RXSTycl0mw zk}!QqtcsiD1&S!a{FLj`wz+qQOqY|h(>ztn9N5|9^)d+e%WvDc%9qLE^N1r`OMc3g zJ}}SjEpvzJM}~|U$3)j*TrF=XEax6yf1^5k{q)#D^VmQ4 zOj>*yI*>h5)a6to-{&&XlXp*dgqK75241Iz=DwyS~=LYsjvTfBWIb zfBo_2KUbB(EnruF>Bmvn;PWUJ0TM4p3-7ab(o_F7(l(ErxpfsedCrF0)Ao*92c@IB zJGq0uYjw<~=B>w`mm{Y=#F%Hgn*PHg^FwrwV~LSvtvrS6W@c$Al}n_7M=S=I#FTopmP8Ia02^C)Ia-Y8zPj(p;$^#cEiDd=!oQD zL&D{)JPpZPiW4)!GKD+2wwqIdmg`37JHI0dRm8BZ#(N0a4)UMtAzK>}{paJJ4ASe>=C3Wv zEh%x#!6UPiLH&-=4BU^>nCldmqH|d|2*)nbKvY~6ivG@+NWw{q|mNQ=X zGbs%I*-i-Nq`h#K2E?BkC6$uIJU(tblayRrf&<22bB~adBXD-+YAes}mfyy}f!<(V z?39)IUX4J$9!u(v9dazS)tsm*ihB6L6wU%QD{+EK74kQ6ue9$_v_^#Iq>O^c7*4fL zvlF#VFg?=PL_H8QuF}}huFadU5Iv%QuY38ts2cc$@f35hCMCZe<1n@q2#xWv`pMpD z+nO;?4I4R-Ay4&ldlsY}ZG;wDGn}5jl}7+>fl4HTRvYSIK?4?AYrTC?KvMp0POMa{ zCY6$>7yN5|Q%D^Qx?I-M2i+X!z--I`Bpki`lv`$W5~|S3hV>Pj$aRO?_{Ys2m_q!O zi=VGIK7H}F4n0H4C{|+`5loy4W=br?CHv+b=#H>JarjogmkB~-6XVxOgVu?&S8q=Z zT%+Cx*pv{qF8aGhkaOr6_4fzl+iOd51D;W6ioZY(e`HiB9*Mi{3L!Nznu^594)8% ziCoUk%V`B?I{>2Sz{VnEug_WLr77?8u?IS+cugTZsv~ zNxv&QjY{lN9TWME8+&Up~Nq))^3>+FxF5NMDP_%}2#fA(S+}@2xORaJkG>vI6TS%P;6V#RR z75h{`VdP-=Lp#qc+f5h5GjS0>W#i-33!Sk$QdwZ)5MH3WF-`1LG`{o2(Y7g!E$egT%-0e7b5n}hNmt6#s0^}7|Jv!{B??soZY0{Daa2V*3V^GiP zooWpyvlMj_J(_?n8%tDG>ilSGvs&Y;?K>;43%EIiF_xznhg6R{@^ROsez>hxlMRrI z)EVhDGjsKTCZS&xTpLIP_rERW?lYXFatr;+bq-DTG(sFj~`ZbzaA>U+d*Fwa!3r?P1`G6tn$23c`c*g&K> zjpv8c@1S0$Oha!;_R!j+t^)CQ&=nbxE9WCC7p>+D?V z7evAKaQwt{;Z?e7fF~sB_Q82nNTEPse(vy!YmG>YQbY63ez5LDtIK{c+Dd?YEIVX+ zH|sPqYYmml>-kjcfX2zmf#RKQuq*~nngHBQFAZ%(#k|(q2eX?!IU=~FFZaO6XNAfL zyezsy(w4uvn`)`&)fzTJ#8yWH!ssZ!^*MB&U6+#AYXjF&++bNNt5JG5`e3>|Jgxb( zAuOYz?wn)t%v4~46cCzwtT@@OW3wn-OJxQ!MS){-TzCqT(>7lLbta8g@;Gw&?Le)_ z_jVhoKm2qr2krnvbTBwFL`zVRxby*?XK@PfP4&#kt;iiMhSlVyaj(hb?k=R}P$z6v zx8lEqK`ULNbm!;3!Tp$agpdsK#FXbowj~+tiIE#!WjRq#%nnrzAhCoQ#Os%_ZH2l? zQ7eP!sYuv>RsRU&{ZS7(A~adg`JeL>o*LvpCm>msmbQy}4Glj4SFVsxa188tnpa^C+iEHlP}A!%blw zlX5au0u{gbsTpFi?j~AerFhpuD2pg_y@Bw@g=HVYE~B~ID?vrE|K}Esp><;AW$(l; z<8css?fUZJe}qS3*aY;T9k`)KGmav_e1tbBR63W1R*`QJHQB!#=x)f#+L)2XxhF{SPV z1G$)nHzUxyn~4rxepRgg$xpd{>G|~mdT#UD_zZu zGUOdDPkE94UqGf5^p5QTv zsHxpGa+*@ECTy=hV7yk*V;^-Hl^Q!#M(@FCPHJ2qNgxkDlV{Rt!tC5EHOmLlg%ug8?Q!p2dKXZmP#BDn=UXMY(knRR;^5D!6yIZ7DKqR9go7`*CdMiORIpNDQ zw0sEc=nZT+_O?Z65le53m6t|66K)J;#`JewY8(3r0YxK`H~2IYijEU(RB9J)M_Q;G zS~$q7YT5q+lLF_CBe|TFOM`A&VoYUi6P8SwLBU_nx!ss^PPB$#?m(F+(`AVyOlNZ{ zWxd6vJXxMm-q}K3h$*~5^<3gbVqY|*jkGdR1Z%Zf*1^oa0kgW+4i(M24|$#5G?c)q)xuLHlR9qG`L2YSC^`Ga&7Svx5Nxj76=K{FL7|7hdFAxp|W3lCj3EJmqwfTt||};>ayqKajI$(v{`% zB?H$+q6^oU79eM&1to;t#4LNW?R2TedxM$m;S@Ee*{SlemJ>7`IcSEdLJFF9>boLt zshh45YhlpmP0~NJDG(b0gUrG2i0ctgNfES?yBtr670l`f5AtNqnLl7b&U}nSYj(~T z2V>HC^+W|gEdf15{Fmccw^Y?Bp4W-r;F9$Sx5>{P#{z|Z%ky2BUB_WhXV)zMtjp3a zQ+Mj0rF8_G99fJch5V~d2l#1gmt-~ zH%p{Xn(XMXby*SX47&IZo|7(@RTsdJZ?bVaf+gIf-Jrv|fu%;|zA6SPb@SFeJFZV9 zYCDD6CSb*c_f9_Wm{50(2u;_U5zFy<0c>;d)kCYVHq|4y!9Nb+W+}VD&Br($PWjcF zJ$J0E$ny>x%QzC>?~cfYBc&r3xt$uQ>|_w-+)fo#&& z&l!aG7R4p8wz?h5Jt&JMdd|uDtR_}d9)JoM;nj{%hdsG zGt{tm|ISJwX9eMnmcQ%LOzVIc@BD#bcsS{n>p-lcp?A9_PgroxU(3}f+G~Zws0aC| zgCnIBHeh^KYS@)8eA=-~re6^;WphETF@f|6|mRWTL)98Y2c z=FO12egtOD{@K1lKz7*>wA)`(%Y&J8IG8Ax8F}-qL0`(1X|KhOXTBN|f`a`iMLQ&KyLvX>*5WoNljisg^dxOW7w$HLH@a;@R>_w z>sXd1iNf4gxRhPfgAAjHYBCRG^IKE5YznHb;i(K+R$g;#k1h+ZXLJQb1(3iD(Glv7 z=YVnxcF$X}*h&Y_X#dX$ML9@8`ex z{1?Ca`04Af^VjwH-S@x!^w}@}q}?yekMp1W_PYq8v7IGMWWfi^<2TEkBS;ERSj)xJV~UlxH+voC9I!90(C5 z^73=Df<3#RT(6-6M{jZT20(||S6cnO+QXBS0PU+VstHlBEJ2@_} zDcEbc!(##9%&TwH%eY6&=8~awpmi@LQSyc=M9F<*!*H&wO85APzI%S|dw8{fHh6|6!|9#_`)L>)a0L;4LKI@ z=rqD$*2=7tlJ>-Ke$|A+71}B6fgDfcn24FoiR@Cou$7m!=b$GRA+T>smo3mfx3kN( zRL{`7iU*@@gk;BU(eYh^68?xbK-|y{10QLk2f?p**RjW1zYG6NiCtm}TLsSnaAe{O zJ*>N4sRo`f_de}G7hf{!x00vV|4L5xbrz*66gt5B@T#Jl>UlA}nD_MtzBVKzCd^NHWT3ngz1u*lv>Njw-5ubZ zx9_X3I}!OBCex5vL#S_d>VXBkvP^)j984)bNxe%}i;Z?d&nAvlD5ZyX`>PUO!X~cL zj;stjAxJ@an8U4FV+lg}5u)@W5Q1;YVqK6&KbeS^V^T+>HCF8PqbVCvdst}7Qrv>q zlTuIJ-;fGB(}}1wuxCGr8|YjctF?IG{)B?gr=_-tf*~v>%j4*Q^|z5q*QO06we#Oc z{@{En24U3S^=@^wY#ntAThU+O59T#^wGHjU z_@@07lx0^PANNoY14CG~$jiyO8e0GSp|^g(X9^G-wT%{6#_#0tQ%1VX8MuOxa)wS^ zfT-kX&=#F;Mmol-JA0+=#MH+SajplzZ zwXnK{=(B}H910^sT=Gr$$kwsSo#}Z8;(fx^fSXj9^{tV9ID*1bQ0V;gXe?*Z_Pi28 zK8E1J^g>}QUXf*%Hc>pRCzRP>n_DsL=tZ%A`yD^VAj!c{Bx@36QzOre0W#|4qQ6IU ztQ+~La><^><7(tbBLObkWqjWvEwiKIa6L(8&IV!BF_sI8wgB8jmM$y_<^Y!`hNRMD zA609iQSfcx`2M^FN5wLUd!;6EKl(TmPX`tq%B`otDjSDo0;d5OYC>qKOtNGHgIUAl zdV}~GdUL=2v;7~w=UJO2qhp^~J>4b8#GALc*Z<`FhV&X-g!mGKGP%WKH7c*{>M30X zzOKTEzMn>OzjfL>ic`iTGUNnQGL0|S1T~y_er{O2-KG!o27nJhEy_4voL^_d$3!mQ z=@r^Gx$Aw?d5lCUm8qMt;Uyas;3frV^y77>(R}>))qtrsNb*Y0mNJhY1Q%>9 z!t*p1S#n!={F+2Lr~ajK0tYNq*GzJQlOBJ1KV{t;@Qq@{ME1&%N*o8Nehg0VF_>i# z@a>hq+fZf_cHwtBezhRx$f(EJPbdceC#&sebHzHg5w?KeTVA3zOoE`4)1i$?+3q}7 zC-oY+Yf@n48Er0^Ylwy4WqYzbvzkpne05pf6ahJc;e7&?c>?WBfE_(LikUAXAfl+k zngJ0g6~C-?w$6<8R+K}Um{eO-(CAP& zNcK%rO3fkZHI>+w-=RSXu$zBQ3gxMH-Iw6Qu#P9Hc1xYF>KZ*|4af=(LfxjAK&AECQ}!$V11!p0rRN4O+Z?w(R>#+ zY;xS6%u!XKxa9t#wbe<#Rs<#*roYnhPw(Ib`AY#f6&XKwSHwC*6lQvJ^12GFz~7mJ zML{KqCtOU;E1_7=mWnvTX-Bk3XL59q6mj@E*TXd*_)apWB%*VU#P1?aua`-G@kZ@= zIIrP?ceAjz{u)A5vIFUPJDv3ts6~7fXudPrtr){4-T&BwVIrCy8xUN^5bPvK(U%Q#cfY zXcZEwL#^AtK!N$A6X(p-8O^3II>z?{?6l{Z(HEqcmGYJ?mu8O+t?$eTcvO52ye*mt z-LGTaz0iM&1Gs%{CK*Z?`X-%%$o8Tad$5LE9^U&hxJxhpY`Aqx47wOVE7s=$vwkXO z=lT##xO~NM)P*Rt=k7PKjBdL45AztTmQVDn=G=#GLS+B& zI+Jrn#+5)hrFL=bH1*hN?R-6Tn9}2pK&n6u8GyF=4RR2upGhAu4P2SoyuqnK7!9?* zNn~WetCXnuHMz-oq;e4>4xN3fj$kl`J|0E#Ug4cTpW9Gd%t#w@GH+dx>t64WxDBPs z8C@6e+HLq+0m$DV-hINfaPf`}sX{vtBTu89MaU+p1VMq9o@}oR2WdBTJl_zISq(D` zai4AIHtB?jG!th_m&~Ts>g0Qg*-D|O@`*4x`ZFph!F_NOYRAPIZcc>^Ny5GnBE6dr zl|8mBCZPgfN8q5Ff$vPRme=Bao#;;?OIgfiv_CfuN>v|8_cLty2c>uQhCKjg+B!Tc z-$|b-vE5e|Ms3~&_8#4*x=DZ&zbiR8?;V3Rnl=ryYl&p47 za&Y!sz0iaRkBBx;ebv>l>&s}4GmhvDd!*u&?%gq{L2$vQg=Eposf;H*w|l_hjk{mt zE_-ovGWFLE45o~(<7!o#Ojx;sLNmXTFZDiNs6dFJF)Uy}*2{N7lx(!3cvNGX8(Kbc z5WNDBI>B##ZRe!!A&Aqlau7W@TN0cfbnU@FM{~}UylX17c?|kAG_Cyz#?Y(z*rjr3F++N!?NIAZur)5 zNz7LQf?b%u=8X~gQS5^$U%`GH*6XTPJs_;CLjl!+r*QrcDI0fCDvBZ@=s4kTh$T*q zREr4p-&(#F#1|Xq8k?lgHue3S8sRv|H{}bIZg`0U5I5Sh30Z3&RRgQ+bL+38MOjyv z6ciI|V?ihDT#_#O90lo^wFA~5P(|W2|B@ux>Za>~tK@M09Kdrum-raMfo0r3RnVfi zJ@3U?Mwin;G33t9C)S!h>IyJCWfj$A=`?dhX)psQ-b_Q|ET$Zw&P}`Aw}t%;=buSY zVTY60i#wBJpmyJhSkUo*mYQtnSYT-sqDMlEn`=JCw0Sld#9OTO*!?~fg zK&($gO@;awu|$#)QYeE{1_xKXW=@l5F^Dkg(`F>1m}d|yWTkJzzg&*NM=Qo&i1Ahy z;9$Y8aMhCH7)&DiGnfrA)siuQMRPm@4LRvGsJKS;Fx(NY?b0YX5Qku7(rrc;R+GaH zQ%!)`ZXu%hXDm4a^QZfD5y>$TzmTSe2XX8+e0Qc2;lUIfT!6-KGls%gpVHItSYd0u z5leVn0^ikL3P?+3$B5(Ki#(8R!pfHVZDTbY^h9l~H+cypk&mkDeCBul>hRYnmRZ$8I7Hxx)eX(Tdwaz|WULXfmO2iisY{-oOh*{d$HN)J?IB-PK*RInj-Z(qlzhZKBp zf}*xjLSbIZW`kDF)9nEzI67^F>+w%I7dPdkzPrEDvx1TtJ3;b$+duI$lcQ(xW>BLx z^LTCx3jf-a+{H|~2y=Vf-!Yj_RlA@}&C1y2trN%7O&kTI7n-7EKie{9c-=R<`N2%*RsO~p z`JDZ&m6drD!l!x4xe8l!u(ZOI#7!)^A#8Tdg3wFwqZ~gA2)d6VT^oWLXC>rAEF|uGjd~ks#~;W5bwGo20O^>rYy$0C7Fv5IBoO?ET_$z9Db?$q0OEK7WkP^Q~8vuj# zPE_uHIkC>HKr)ds((Jx86J>1=lRuZc>XF}dEj+Su8`5MZ)}F2<$n!KYx&^;E7FlY7 z9UIE6Apol`Bk^>g3}v{lvJ!sV*|QpJMFUGgkmn2YNAp&ErsCp)Xxjjh*XlXaGd=5? zOY46mjaaLX$hCu)EqFIcCX7M&#?&1gWqX2CgH{|9Dz~ybkR}!<381XTAzFxgwP&ge zv1(>)8%TI<-hTC6dYieiE@hc5+k}FCNG+5UvQKa*{;*KD-Ka8SjP^|B8*krrkg`#l zd>Wq7w;qC4ZB_gC`>EeG_li9M10T00ZEaS+e@Z+B zpZ=~ft*^JojRp2Sp?OptY{~O?!rfBk9W`Xx9l$fn$!Lh_9ItcxQFvi06}56mcR*4i zY_vNm_AejMavxN~>y6ioA!V*-NiSPAma5@_%2Wld;&Jn%F>hxv3AI0Y2p};PujrO|DLfJdf^ReM^%?;sPHG zUoK9lpc)f3G*iSdI=n~554)>J`0W8+7nyz)eQo$Z4~lf4j}dXVg*GG9R}&rk3-NMt z@q(xGh!YZ7mf{&H*J4WvwxDd!MQ_6$F1kQk%C2`ZzMh-wbxXs+!%`H1j#*7ZamV1s*%p(S+GP?^~K0HQwTfqj=|S#G?QaQ z)+Ap5c_!xpTL@LXWiE0Hw2fO(GJ~B#Z7+l@NjtopEx(!?)JyoiZ!Jv}b^0(9$^h}g zEP&4~YICIJsJBrPYlb1Lsf)k^`QON;oom_=!v&q>ZvoMp(5k9AGO3aM)88f4%)!O2iG@KUy?=OKFMRiESUCxAzLscR z0+UPg5P5jhIAQXgqHrcsejgaAgrZ)#ig>eCQo`!Rr1}PCI%eXL%lNwBX-(c}6auljI9gGM zh2Jy#5BwU@a6lK*AWFk*+BJQ~6chM1;*e2*lC%LXw9*{|x+f-3kv<@c#UO9WD*fD& z`D7Q^*+_H;hPWYJsdOijJk<$t++pt3nC(yATnNd#`inn zh0py7syX>SFN;XJhU@?xr4(1dm7C4+4lB|cjHy-)(0EujsD&F~^L7=zs79?RqMWE_ z$$E1-eDug`1k61)tHp7{bCD%RXqi}T>%L7K(?8>iFD-!4Z@J`%j8WVtOf1aplBH_d zbKxuI{C1=+{uc*~RemBqzS7Yw%i~^x4%+FJDSnMU8*T|6ok_>ops5K=`lga;erIQCbwlIM+)EI-T7B(DUk{Jty7 zje$+0bP(Y&G78>`YpF{hA^_dk`GTB5YJG5Hr!1rW?3d%XZ`?%ksr^dM5E!0I+~A=g ze1{eXCbO>r*LY(9(g}E&DD%6S)s%piv6Cf?F(}-7FHz4nvHYx4;8)xo9%%IHiOAYd ztb1@3YjWEmiFZvLFKiyNSPKCw(C0*ZNi*-XRZ$HtSnpg|$G>c2L+beH=*EF(#3uQN zwZK!`s`Ytu>xv%%sFJ*IdNAvTaC2Jt?ndhE$S}6G^xDH5W$f4Ua-%hGL%@njoMHTyhAKa)1UtI3jIs{KlHgOHNmXs45@rIa^KWQyypS4#5IVIk=PMDFZZeS;%!)*N;27ZSQ{pyd5k zJ_*X+&Dn#M+f?OtPbI6c?+N~>m%%nRbxq=&KSaR4&6_o;RZor0I`m5DZSV?nG_H7w zhLsRv4#|Nx$2i{s?Z_1wFskH<@Ge|&#VM55Bf($Pk5KDq;s(ObU`ptUs$mHH!-hG( z(b@Cjnh@lB9PC3%dNdbRmUnyZ!M5&3bye(#G*{guAz#JD0|j0&c*!c{4L*~)NdhW2~Al9{cOlCr9wAVE@H{ zxlgLl=pLP36Ks+kZi<%bBczn{=LqWV3h)1R113VN{Vz)8h+Tf5HB&C^>@xf}3+zbb z1&(|rB0I`P*+i`Z#~^!oC|th(W9w9~TM2Wj75;d=dgyK@f_V!Y6{NFXlQ}NXZ1;H* zgA4Hv+4eBdsz_tKbtN(*QN!bFl=_yp;oiE)?p|>k9D=ILk`NJ-`gAG z(IuOFs7%7+FkQ-MC>e|MC<0Afiw~YM+&}n=(Df!0K@9+KrER1pQr|7fhp*%i*1`$C zQDGR{U36wi)Q$aaK3GXVT(~v}_(fJA<$c8N5#k$@2gWaA&aNJEq%GYO8g*DEX-urytE-Zok?B_y_w@ zUZR)kF5d#@r)nD8PYbN1y*(2miv#mgZi()aE7P8P1XFASQ1fYjwj#yk5j zqrk2v%cH%2Zx4IFULSJlo?Zy+q}>93y|e`%2%&W%x{N-CF{$NID-~5`k%Oop(8Kw` z-!An~dZ_UXRO8zphEK(w%c>n4f$E=STqB6w-n+uJ zxdH-fIqM@GjyexN1L^IZWKsY4*(qWhO`R9!ast_ZiGk!Su|@R(#q1Ts-6m!MBF#e1 zlpm!st`8XZ=|qm;TMP#6OwYg0Kez%(5P(LVMttz3Y!}P2ptJ2;XZx)B{Lnks z2OQRM+hy#tBMhVh*0^;$l}}P8aa`%*vGOzi2wEcs-SP7C=lpqmWFv()V7{9M?ORa` zNByviM=KRFXck9jR8gOE*wE6z-%UgAfmo587N-5aK-L)pDaV%Ym&W8ZTqGz8A$LmzLyqw{2jkiEpx%@<7t|O9jcR zfXB1F1P?r2%fK$pVs<;2uP}HHSuBZj3?(SFLQn{rzH;f+V zF3!PvP*Z}7KyV$Ieh$inbSpc-k%vniAKndNm#02t9j{!iaZi#^gzCHXqJ78X$6dgC`^agUisp-}K|}ad=@L4IP%eRaWuD z*1l4(!GQd(!#_wx;^n`DH~DLIc6SFLrl{>m2}UXxDkcIb0QKlRD45tds_%u+83YYk za3T#Rc~=pKgtbLp^DvMffp-uKsn`f6{WLSe?c~VkJ{G<;X!zvUXwO0 z-zco?eS4@{3z^UAvv`+K`MEGX$5M~Wvn%M`kc{R*o)DdPB$kl2ubP#t+$^7uP;;)) za_mb(_yduBmL?>ut#9G^yB4SW7 z7|tLaEeb{`+tZ=8IC&47Wui1blbWBOBKwPFV5;b6>CE57zPHD#9B>1$95SwKdD}1= z9TI?Jbt8(=HJ(=?BOjP1K{;fpYcef@o8{45l&E>RnqiQKM5$0=GYRLXny=&|rV9Ll zHr+SpME1#vo^^XQxc~m%umWd3X6P`KGHa|2-cOOgb1^=&pLLFI1vCmpxRv=g2HdL* z+Wg)%PPS~*tezZZ%NYrY6>MRTSh9wfoTNVx$8#rDVz7p6-Oc&$@1O259&kE@>+NwI zUDf}oB9>K`*qVxM?r|y$p(|P0#feq}z0-w!)ET?TDo3{9XpB*;t5`pD5T0Zh)O-fo zqiiq_XK;3qV_ZB!0`e6UGoZw>DtKU(&>nrL?~ajnrf{Yr3z>?ax>G*vArJzTux+3? z{KX-MJ~!o6=(e{l+LQ>FL-Bm%*!488w<$i0Z_>!~Oks0UXcUz|rwaH$7469SLO1oA zAnV!XTtuRP(!rD**K*GgjHc933^c?KNVpwg@XOZ5Qzes&5iP=>GR}h87!!8Jbt4D4 zApMbYRRxUFcq>KV`fwK1o0ZZzkW(8c>2J*`JuU(4pLKimg>U?O9CPJr1q$#iru${zDIG;xR$aP3Sr$t`7>AojLZ-$vqMt0C{tB34#BwnyRK zFM=X2?5@K+cgWWS<#VW0(BNn{%OKnJ&(l3XP`g2@qR&uHTt6z-C|w^PWEUPt^BkgT zBzvx4$fyeP{Pd)Qxu{4?W^0Z4{e44+DYSz*Kxi$>pJe*1G?-(knkMeCoscApC9rVR zB@*>2`OIC?xkD1{DQQ=zvbuWPFL|orOpZ0?AZNFSq<7znkL9g!P0#c?ARJaVfS`D0 zQA#?-YdWXOsPI;XM6RI^4=;mvlnWE$ugr9sSp8;Xw1^9s9e^wQTDPqbs}x{y(Y#Ok zoe3IJD4r4indFu<=i1VL#c7WrrVtr{$-TLcRXG6tdcp%eHx?A!PG^GOPkc!}4`C5F z;I7+;7_rK*E0AW^IAYxf9@0{*kfWpd_y<3I(iQ9rHoS~Rp?%ti&AMItq)7MY{8ONC zp{Lp^T_-mOSS(H7lDiom1d@!W>G$V@=qXiZd}DpV0Qyzy-$d(1)6P^C7AkrX316SX z327gu68@az#GQ5SoA%^f8$NCddda4(xo#Xo8ybQ$=jT8|REtUxl0+`w;D0$m%2781 z?!j4)SZDSFXeiDvY$E z65#Hz^gaa~rW?&GoxaAd-=f0qs*TgGDH1X*$rVXnEX@(@cY-jiXv`B-^z-(cgjk{Mar>G z>sx`IQJ=o2gh?A`fCRmyiL`@3iX4{QVYQ#Q)%OrS0rKUnI zZaol+UJq842f9|3u9>nuh~4PH-(ql zoYdhmmW`UD7SibC%~$}-YO2?lWOOjAR?cYxO~$n5T`bsaax2zQAWBM4R9Rx=2g1DK zGs&JC0gBpu|hA7*VG0nZp0=#QSmqHC#0% z{ZBjw{N=(Y)K9cMI*ETI9;q!%ni1az^#r$jOjHLzTay&cYY~xELe^g_ZD+t7q?D&8 zt6w`$3wWMlwRay5{h=yMzAQFjB{0VXYaaedYaHNmy1~jqUXhKjD!((jnjR*RYn-Z4 z-6vcPja*#c@9oT%Ty}l=x#-1nK~)QHA%HKPbLqZFv5-pqZ=Hoapq4JvTpc;j*mMLL zZEtiELZNO`;j)t-q&J`k=^AVdmZGFCp?~D*blhd>7oc{fH!N)~9@frpJWqYHZYPX3 zEZ;wB@29P%KN`V@WvjmbM1_SN5snP*5UO=Gebh}lF_IhjERb>x92MR0I-;l{8oPOG zj|Qa_LN^@qJ^%&jXh7(+7upF&S?$}D8C`=^S!2jPs=nu_i*MtZ>x_PK7<(bTv0OU6 zg{(b#W+(F(ZTUStF2O;jU^GOnpciOuet>?`%PBk~F((Gd1HN5eGJ(T$r3Exub5MC) zv%a;r!U@Jte?8gi9k;Z1z$fCQ;a^x1f`nll=FOL}M3}pcL0|{Mr$4JL0WPU>I%Pg`bO!{S(>U5_iLbk`O*Z+TCO#uT#ofll1PFwx@^7tWcs8n$k+{RW&&j% zt|++$&!#Y|!Y>EDz+#LHh4XOdPU}cxU>1F71Y`+ zDmc}iq1n*c-*>m!uQeM_8IJ**p)%bY>`q39-WgCi4Y)CXM_J_MG(qFVg1Kz?)rDZN zj)t;Da!LQHXvoJMg4iLmEVU@n@{nM(q73oIICFT5#-cQ4Xc2yeNvnVTVz_K&^G%wRRD7PZEOVU09r|oUbR*!eM#l0SEMG%w?uO z!xdt=NyPrpU_5m$W!rAMj=%khrD|*}bA=?Uw@sYFV7(-#^b_l0+RDZ|Hx<#R#pDfK zytJ-Z@Q8|!UdPnDy92Mv3c8=tVa{((9tyt$;YTrB`TZVmmxo%#XH$2;t9f(x=cXzd zdcoGFXGOX&=Pq&r-vt25P6bBU-k=!ZINAOlf+QmAC|;V0q)B=ZRZ;b5U9U$nGgnE_ z?=*Ct0ce8@!NDf694E8ymLfKV8)T8sn+i$CW-H~y4}G21tm)PCePjV$FbN0}zV$~l zpVlBJvoNa3agjH)okjv4g6`tLbIAnbGVi<6MTp|I$9RujlYA*VnOHat(5o8HC2{hm zuyYfZ2)jl^eXKj1%t3dRq>TR|+>%nRRGbPw6R#SrYkiWxF3dI-LUCQy)y&JwkC3$% zmFB1HF=AV;_Jr=1THJ3=p%)m1R|$=?w^f(;19mNY(amS^=2|l*u!K4NFCCjscvrT< zy2?QJ*TTP({qH>pnVXS_(cR6c-A8tdG`GYttT-z(?siS?3{!88tEA0T0n_tr&>*Sc ze28BO&_gtED}!9)-iX^k)eSasIVgZeM}wnf$9LD>Ml;Ymj2+sLH!^Dt=w6{1U?$*U zQXS{G*u#%Lu8Uhw{sMO|u4kMM{JE!sMN$WBMQdT*YC|wsRPB)aFj$bT))-Uxh}P`c zkS@H2IYw0NKTgi-2(#N2Avdm|O8HooTJ=wf-)z6KbAKZx2v5wH`WZB0cFRX)&KtOm2roPdQ_aw0-@c1<`(*2_e~m8^77I3g zg-z5f`~H19>D~T*jQw``-Y*qQ{fk3s#ffMyBYG+=s)BlFXZbg940Ao}FE@qWj9U)W z%wfm{SNM-|z6^)Cw^+yT3dQd0HQ%eYsuTtO5&lptNEK&>CN(c!*2ztlv!HR=X*%UZ zrlM)MHf@4|v=LCiJ>Q4sV*v+E(FnJuSQG>k)qz|)|J%OM7r?4uMs+9Fe1Rb6$uUy>u`xh<$%gHJ}_SsY)SnrA;j{?1inB{%7 z>MbG*b?H{lCJ~N82G6@tpc%K@Q~ZT|o5IOa^<9#z$}0S*YO!r>xVf9shBQvXpw?$m zxAEE{)ycr#Qc?1c3Q-zwlklub6A;V8i;RIu2zbdVb{#f(Ob!%5DT(yQ{R0pEqq?p< zh>rnkK?bymQ2Tt`69H!WpxUlY8wu8xr47kmB{|y&7uwbZVd={AY5JnX8%BlInAF7J?rwJLwx&SN z3CK~d0w-hWIaq|>zgnyrWUih%=qudr8T@2lF$ICJGGka*k`JD`ZUPdvz+7x`C_kD5 z2*RU$6}<2oVry`P`D7V@C;s5XUZ-(aRt23M0@I;4J3G^N{EY{jQgV$vKy#e5HR4R2 z1X*vCe9w(^LZjSL8+1@7{T6{GM*`$Td8ZKj5w$5Y+@m0Xa|r^@^irDlREYHjsqjjj$JwsJuIe7P-7To1UFY z-<*$TduF%1stYF&4|sx^t#c*`uy|N?6uQ6*A#w{A!##oSFQ^7OC!hXY;hQ3Ch}_cUQIGRIbrw7u;JmsFMPwkYEaC zx8vxSJ1mgvNyw=x82h(LfydS*YU~FUKiKZzE(g}eUnm#nj?CMqp+D!KE>6*9Q8(QK zM*jXY?57jfn%`vc$2iX^zU=03_J(jTY1tLy&EJZW!qFivFg#j;J1xKV7h%NKA1)tc zMP%IN?9I!~4`JLOtg=@$WitQ9G_4*vK5zZVZev57U6D{c^xQDuv(jw}6L3YIxc~i1 zB?`Xt$U5Y1BOJ1m!EqaX$l0) zxkw~y%U6ILQEbU%m$G)Qhk`NNu6CZ0@za(G5MwhW8nwB#5@&=`+#Af# znms@44qJI!z!LC4yU2*4%%CB?F; z-so_j7AIQr`{d=|uM52ht|X;cy@q3e`w^*N1RoR>=s)JX3gTqu#Au6BBpAD>NF|>` zJxC<_Frr){RRcpV=Mj=j2;q+fQRf3$%CK~~XZJq6O*9{U#>1a7QpC#`loUDHXmJ*@ zQd{M%yWD!x*y*>7&fSdyI+SN}oaoq!fZ#QfM+V_(eqtEYQYnPxG1R!l_u4%`P4;u5N3r)jxf5D?W| z(@f{NZKD?#jsovGKmN@*Yh6wQHN>5DpLKQ>fT3-=;e$@HOmK^(^V(iq)aV4uaRMBV zp3$p~6N^wxRswRInx2l1fdupQ29g~$i;hJUy`DuR*o8(>se^S?WETW;FH*kLeoGL$ zN}{fobH)Zd*pszu(8hlh?Zp-Cmn#`kZAjSPv7xaQ;a8F7yG>h6kd{USnp2U!^o-|` zNJL$jmH|m}FmkTRT1ZK23Z5k#{?YlqSgAN?NeHX5;JhZJ8d7oG2}k|FRmlQTveGo4 z%-U;I&&!@uJ8IX4MP zMK>nDmmrZ7wC!t|3hYYOe+0a*!M&vBV`$1l>rSbwB#PeKs1@>*37xFja+H)B(-&Br zf7mof$9Q{Pb;^9jZyV@RTcNT*(IU2O``QrcPiGOi$*Ffy7gL$o*-~PPW{55-6`x-- zr&qV?zv3=eKH=f>rnEhIZ$MLUhonPia_Vp;C>l31>+o{&av>v&cbXQH6Z@1}%jtdv zA*4DnEy0KxO23ZzYLe$6VQP*-K@6&Wp#=I1Y(QO!^*|IIRu?y#;Kx+>(AFEyjy5wO zI8F|BlkrqD67xxd2Dka-9@L2obWo$iSR-}cEp|Ruqz;G6atw~P2tQ42>+hspbM0M* zo1q<{vDK>-lQL1;VNA`bm_f~*%DG3D-_Q8p?FhAV z7<%*i&d93T6rUvY`lX9}`IyZZXp0I^a&2G(;6RokC-*<(TY)tRrt2d(%AAuOs-X6$ zLNV8jl(_r+c?^gbZ80%VqBIUS`u&T29O=|5?NJ}jkJjy!mEc)s+@KyriG0wNWG0j4 zLod)7q^nxOP*SZ%8scJ6KAjPJm$eZewad@k485EWc$%{Mlpl;-!xxY?kaT!dBLoH%9JP}c_JYo} zxCdR7nB^Kl%1Pj)j6DYIe^Qk5VlbbZ50=P0jd7Kho-doe116unra|u+uDSnIVeD*l zP#3OpCQ^|`|HPU>a|7H^?L%B=NKR%tQyV)RJ{Qa(>0o@eNL(SS@p*-A*>2rJMO8qS zycry_(u&45G43EW#8j&Cpq$&NhsNvix5!d+WWhVM z$dVJ}Dp6PKb_WRK;JxX7BOZbK6?b6F^GT0GjD#2A!B|YB>eWQ$5NN9&J9ttY|u`S`FDtr9TX~_goL5H(kP> z(#RIHOE*iuA5HpE?Td8Jh>+!7*#^ePjBygzjM%}oX&c+MLlfBV>0$kK{(AUicnfqLTlMUbh19hY@tHFpQ-7P&^V(W3xR%=y=sAE`+CHZJSV$rFd0f-+r!j*RgTdP7k-jpraa>v@YX`L(8%|o#`d?I zeVI=M<01n!S&LPtC00P*0e6@Yf?8+jjpjxF!Ol2|2pyiHI|@57A-Y68+T)R;Q^9a; z+`Sz%6d`$>nru|5e zgl9?3;~dL$B%;o?2*ggD37Q@hm?{DafdN#WYfpo)*1Jv|&Yv$GE1u|9B0!skQ{I(M zID$dTwCaR|I@4B9TrwD9yeM*f7I>Nwvd_!dHyo0d5*kkq-U3gtjopIr?UDkv@Wt^S zRId4)D(G>YsMgQ7^mzMZ3qHv0VNk^>z1?vh$5-WduF;>kvMK(&ebN7?=IH7ufR5*P zh}~0EJv$j-VpsEbZnpfy1g)sBDR1+Agq8M7!awkOuGxN!L7Mm64ep%m>W|<+R=TDS?7aEiXSb`^%x_&SE!_%0?umrzKT?7S6A5P||YoT=IZYq0@PjQd8t z%(-5JH|{XF3u@f14DMtx&hvoF6ZP~O2Ew4rbk5=#$euFdeTn>H8qm8%plSqfx=^(3 z89JDMw1~~4t0wOq*6-Ax=>zW|i`bhu zNwxu)44MnB0fPhHEpMSsNUzJ2HgCbY7WUx!w5xRgYNvrCwgcJ_4#|jx2Z?~lVox&E1Dd(?)<8E@s@4Ly@z;E?E@`P@FlOM% zM$W5qJk#57U*?IqhSyOzG*6E&*-p%O(6n~wkz?THJk^uUNYvIST|?T1?vF|Oew3g; zo8JkiuNmfoW!j?+C32JI{=L>k?GhC>oUyK zNib?37fMUKY|uf})B*zk;pplG%RC`8JW{k`VrsVK09}9Tz(My2ViqI)xwN!ld~!UI ziJH*eb54xj{#o&M)b|=E=cr$!me}SsgdB2xD+PKxo>yV-Yuk`3Nct+>b@spKq{)l$ zM>H4-X;G?3e&Zc>Y$}g?PF`>kL!QXwLXEww-(xh6Cj*K?6Zqv@t3Ck4oi8DL5Obl?^ykz z{#45^n>4=3o~z3)ti%W*&X4;8)>G7g+KJ~Mmg9I>kkrVxAjX49SAPZp2o;g8;u=9T;Jzqh-Cm2m z-bB6~vjKrtcdSK0;%9#qDV7?iO#AkAl2IB`Z<4mO8447aCQ7BYzdYIUJ14ky%F|oH zKoEBuITA8Q2k4Y`dO)coj)U0VuZ6ZIOVLgY_&;>Hnfd(|@dkW}66ekNGvxm) zvj?u@UU8T&zVyq0M}Clwl&X*p$N|a&Ak10L(oUidURK5$o2U{NOF?xS^M6hkv}3!p zs_Qu~x=$weW}-Qw@&9mHu{fe%kxePxVA-kAMJ%afo9A^47%*ju`b&y-Ew}h$;pyr7 z6Zy&G=oxGd%0cfc8h2A@a=cgO(KErb>(>nZNb3oLOw-n%pp*}rky7V!p|-{C+OVCJ6$dp~{52a({``V-Zd9L=nDUwdI1q&Wyy-1Y{oT{!ONIMj8N*pg` zcGoA=bm!@8-G$Fa+bzZmD(tA^U%nI5F0hO5OW1yK z?>=llpc`-?nb2)GK>%SIP49Qb0G*zGP55$LtCnp5O>K2KuB}lJxjSveJ=m5~k;gbj zg9nWHxfqLj(IDQ0k zd({@90|^wQDyL)J*a$5{OnE|lt+8z_Az3wMD;R$SGI%a8h`PrADU#TQa=}XK}oKi5`(0%yXB6c>+^F)#v?-%gD}EV z%rcSttj=H@<^ck#mbD%8s@~^#YHTB=hJ&qqOjsZno+P)H?R_xK!cQr zrWTw7e>(u+wsO|>;37OlSVBxImUO;TLV%{Ops%aZ?-M)0zZ$8huiIwd&#k%VQj|4s zKj)jC1X}pU9nZ9Vg-K&wrR0s(e0i1W9Cab-3*eCip2irqkgW3Ez0ARVnZ6=zy~g9P z4Ab8F=3ccpWbE=Rg*lqa*xoZI`naJK>xKh7fu4?~eEpNkzsG*OJZf$}XHO(X*p} z5CLDoR+j4J;=i2$1c;frHSC|+DEfpn=e%(Q2Uq@TnqmYwtysfXK2=@2yQ?RZVv|aj z6h&a;cf_cSq<0SR2BYsfGaRn%iWzH{yh>)jsrkCmTLlpFQx(SlRrAK>Z|&q*ieQM| zz^J{uJ}80_rSYZh@K_J=H`-i}vn1~NaA%gx(lzDb*7o-mi(ds{$?NrSV;{)um#$Hz z$m*^h__3qdvZ4^75qowryT6D}Sz!{&pO2GLR!RB|=X1Jq;P@WH8ZiV)-NW*%Ko*L;|T_?@_6TjlHH zjY;5pxK_aT{r%$8#mD#Mubtq(PJ2O%m&yCXPmSLHQ||t+ZvF!4Uz}dR`|ZlEr^n~z z?2*2@n1_mVy%=9(>|1LG;Vs9iPa&EWKwg4um`J`a1x2DjOSgrWW;?mOrc&%hLtqIIDW0Eo@Sl^#n}2DOHqM zKCHf#3NG6J+Dapq;Ht|bkI(~n_81JazSL;^X&5)#f~V&YA4k)D^eNY~leae?lPY$U zhr5_LtdW9&=4_cK?J}h5s#;x)O27OcMwUy?v-m~N{Oh8ajmarwI2PW_V>QOf1+!v$ zY??Z7`R%h z952{#-nDwsbP=5F6+VekAJKWA-PfAH(n{~TGQxZ41~!b(ddQitBK)|t%c$(rwLtxd z<^1xKEJV-Oc20jaz}07p%j6N4C!go*ikEEmbeBHv;L4nY!7TKA;?eaVP1Nh88o|wd z+E(_L?J^a|%FJvR{pbeq3}^E9(y@r(V+z=+yZp=lAncsBECIVKTDEO;*|u%lwolo% zZQHhO+qT`+g--vu$w+SU5Fhp)Yt8w>#dX*Be8eDOr-Nyexk!db`=VL%xMHLaOx}Po z0(TL|9qoy))mb`Q;z0kQd>yW9J$LU;(<|+j9zR z>41-@!@~LDY4j_s%;yErb9(vn`1GCJ4g>Av$snQK3y;=65+&eReJwZucV@guS_y(m z5dH-c_~w_;9UA_ijK59P@4V+{P)`pZy-hjwj4TH7tU~Yp?1o7w!*9W`V}yV=W$(17 zKE?NFXM6CPcT%~n%pbbIAnk3rB7&-Jpldh^B3XZGAv@dKk zHkkK#A%>4nyODWp$~NZY(SlT$-S*?BF(w35&ZHEDM=K;cle2!M9jE1>{&a4EjP~Cv84*V zA2c+iEGt7INkbx*0kO;8G&%%=r3CSd)Hk>L02u|N2xY$zm3Zwiw! z5qV`q-&m+@Ek)0c@kUqKEep3lJq7w|(@Qe1bGpYu zphFJ*bm-(vX%GgOxsD%6$kqjDEij7uh9UM^ORe>#c>wUVEND?+`RuVF4Dlq0{F(xfT}gn6pn+ z0$*5X0rm)0KGh!RG30@o&xF7~EYSCB8&TjbOPdMi=3#GP^*cat?D6I zU6&x^3&1!_ckbH%bd-PR8aSE<)UCS2RK!NgXF^4fT}b8ER*2efU&N^7+De~XCG2V( zFi(x)Z&h=#vV8MlrhlvUvCY2Ptog5DIv=1+mdlwm`xT|DqK{*1LOM(Tcj9d}9)2#NiV zD(!VT%aM98^wSCzgh>y_(0!0cAt}6TU+?cw8_1IMa0XaBOsg){r=>-@Q=5yp@j-kG zo2EdD4dbkv4644*nC}o-&9H7@*feL?=W4Wd=Kb9zSQpLfs)K`Qkx)I4 zlSDDyRY+g=-avAjG!OcHiHM1ElEWEEW_q>Mox~z!PDaF>rasGwuxOgPccM(~l&88H z+Q|F3f_UMG7@ElT?yAOh4Tm1Jk)Gq=pD65p@Yc~~QA?EC6IJ?7*b*Bh#2{Cwr%+08jrDeD^O9Eq%ik^k#CL%YuZ=cmI+=`s~W8(0d zJgL{SBYzI5Q>bDpT?g0RLqgbh>lH6NuX4;-sRIYhcaMk)2b>rtE>`0t+CXb&kguSl z@lU!nWC;A$LVLGOUGFMH&v6=u-=+^mdu2mbcLK3r;8*OEK38Z8-p8gDzxreH{K#tS zXvK*;7irHl@hCnp%>$H{bgr}mm;R8C=t%^rcV*CmYu>fJY15{)maGc~uBuOdPDk$0 z1>64R>e7*e?)toCbu7L*I}Z6s=j<9~S1%)Z=@o@`4t}5508Z4`4l+y@_Lb+a(=cud zEq7!JQaig6Wf4oWflb`VuCdAAhfyJpVX?#@R`Y$Nl zrJxzkI06$3SS4H-Uv+FWT4nJ$IHx3^E4T%n$*vygs$PRUfW!|T77W2=ZwhWv7)oiV z#XLQ{SzC3UUcQERK_JzIzExF8EuO0`SMbHEbtO1>x^nRvmPfDkaxbe_r@a~lN7}IcOKt83F=*t4!#&{UV)F8nW)673^e_WMAfZejkg?96smiIxG!k-ucyCx`W zP`^K53|6()TpcBrRWWjtOrJZVq$h&&q`)-hd}vawcB7p7eu@ z=`n@^0oW7Zl!LT?h!!BvqpL***}x%Vck0Fdzy?p$YnB7rM`2-^7Np-^j^ zYOk8SvXRu<(XwpYd{4KC=phrmK&3#~Lv5`F=hvvo>=SXnY&4BoU5c?={jg`UH+rex zY#sqS`;q2Oyiw&n7<$Gv4Uq=YfppsL|KHr_L%HAEt*NoRSD-5+IHIMPeCk=C5}P{- z8BJ*shQdC`DMs2|2RxfPS4?ASX`9($UOl{`T{eHjwS}Nyj>SGYm5LCe80n-PCb-Vk zk&cVQpgx+0WEUnz9U!&&?EJFkX)6by#gStQ+lui!TbE?(7@bjNW2y_(BRBmPCL;^4c& zICH$rBvJiTW4Y4`I<(2|A@cofSf9)%{GF#depdJkh9LI@IO^ESkoxKl`OpfgC>2w>Wh1J;N3apViD zt^e!s2IqZH0k@B7?|7CKsK!zX^qI>jg-3p3NmX`J3T?C&%{C(Bgt*+y=mdnCPQH)_ z1Qf2@pS~~Vkp4X#2_IH&r}2>6{bLd8RN7?!qmAPfe~WH2!CbHOf74 z+~NQ=-Z&6jEEu5my7lEp#3kVjtJkGA=r_WaMxkN~KM|Su zP=S70SB|Lw%W>g)IBoXh#W$d5srbaArPs}GQ{9}m7I1~YTTwU7YX1NnV`91D<0Ev> zMN08GHLc?783ckay1SqB_|%@o#;9oo=9OTg*{9Kr9gjuV>l7__HqiO;W!teq;bHxd zwdkY{Q<3NBcZtcc=tOfr!U*B4Pk}#5)}IWq9L<57(po}0i)!7i%Ev*K_Jbjr&8$g= zsGpc9C^J?7&oX3c7KPnw4>P?#g}&i-R<*(Y+DJUQu*3|syQDqVA}s0)T5FVTHdtDO z80@OSpm}<)|0pcP;?5XTQPi9^C4wM^G+xuh0 zh__J7EF@}D4!85x9>~n+StTya@Qn@hE)So0XOHiNp2f0>c)Jau?4v-Xpk_WG9_y;b z95neaTnkNNk-*n!c>dp9?+wY!fZCx!ctA|lDMBI!A7E0O-duq1&85YJWH6lmD4zXDBH_q$D zvJY4|jUi^$GBF4f_l{Nq!A#rD$EZWH6#d`DjYcO-cHp@%;&F{j_7_GPLt1grhL+SV zAQ;jMLJV`-^gU(rYWLCoX)L-*u)TCN^%>mtexV+1?++HMI{Yy=X3EQxPrTnIUo1{g zg=llCqJrNbc}uq%AA63)5bRM6^xZ97580?O%~T~!v%Q%k5H?B*1#UaePFt&e=$#TR zi!%czG^kK(!NLuApoBTXtO?860gSiVtc2K81;IOf5HEd12L- zpl=nU%-%a9y6ts2eH?J>RL*4Og<0E~f^2k{vR7;Mx8#I{_j?j-S`e(c1Vp!qs{E*+ zGbn$)wB@XUDdS2sMOD^kU>+SN?_o}mB^l(WNhNZPA}Yt7|%>w(?f-=VPGbbl$LN zf7Ch69d2?vx+U1BJj1ydpDdFWywAWpfl&OKf?~>>NRoB(<6j@8(`r%hfWb1&67`5G z-?W0hFVDXr@0GKh5erMxW;oPD@a~&kzot*bnOx2V>$X`03WYN(OZI2z&iQAR{&k<% z(beTRWb}JV+%wgLVD<7X9>A*C261sE31AzlWc04oon-LscU`wfPgGA2 zOG2}%NDErrV%zT36{}5ZCj`!`{=2z*(y}D`wVPZ7WyvMNFi5J)a5_pZbO*6V%gm8# zk-(sC$YB(oJVG=Aa-+!EEiYheg+4)*iS=^$gq}*?_NchhTZd!;qRqM2oy*jor@u

QP^C%*5@%o%C%y3k!6Qu0F$_@;!t3Z98k&!KUyIA%a6){&#!duZkjZ z=iJF7{-iwl(WjjhFUmjL_1BXH|Ex2pz+F>lA9+~@E?2f+ZX6l%1=MhcNPF-a3Y zpX(S@HfYZQ5srCM$-^+KrMOmO*RhgwXAU}5yt48Z(duvM6^f<~p zPJNl4Daw^;?s53E$Hm#g-tYUW&-ed&Sr_=Z6!5bhD@yoHM_A{&m=T*?mZ5@m>H?a) zRmHX1@rdBlk9&|0jW%mI7_bglk-yfXi+9*>EqG*JGbV5rFsdx=7n*GBLB`S*wLcjwgl^hpUen$h^=Z}(?Rm~4u50RPTWoohujWPHx&A7-#k>ZK+L3$fN!?0 zl6&&RGwpFtMGx@H)pCrIEx zb(yABO7;-wemD0{p%e_pm|)H+b$t)EFXZIwux%!K#e)=a&@P4NTG=X%NDrtn&4BA! zE(ZANBPjK0OES{xCcES?eS?y1XInsVTW&*>AI&*|SM&sd^&in0ll>PaZcmHRu1RA2 z{hzP#{7g^E_75ibmX_LvFgr#3j>hx=c0@W9$qv9@>(s*c2M-jiA`!j0d6ZDAfURHqL8 zAeYQ%+F?>TxtT-=t^nEzAYQZ$6*aQ_tQu2Jo<}(xMlm zl0pH8>{a7xAzC{s$>R>kqbbh^Q|;Z^VpA<$B`tc}O*#IvxQ(;Ck2m6qv!i(vi`~ge z-3F99*K$P3k%Nc7F*`}I{4kW8W5uQHf)h~pfQaQ11`RhC2~yw@nVX|Ee~QNb0y>D) zZ#YhdWbP0qL-{WmlNt*rN5Jd?(Q_s7W1Xx2Hqk)iMbeE}k_)bn+qk&{`}JkSfS$;} z#bgj8yVex`2Z5GQ^8|e>3!sDtHCC#x#JSqpCaOn!f;9Z|yKjf%0XiER(?1Tm9sk@X z#VrGj1T)eSoc?%*n)DB8FBBh)elnQiBTh_<>?Vj9zlxK=YB|6R&;;SI*pzHz*+%bl z@x`+Q6Q$Omz@3}szypOyWp+39@bO086nacIEkQZozPM{c#c$cf1?!9#g%Int-+q$H zt&c!hO`|Y!Hfi(n5v}Qt$6b*VbAUulq&zqD_a^@;wT5`uCjI59HQvMj3qjU;EW6O| zR5LqFB-K8ta2~YCa&B24<}&vAA&GlrK%IyV`(O)KgRV7x(#0E*G6kmgS7MxJ#>z|I z=DuU44^Y=sm?~JQGN1CIFEf53u<0}IegP9&r?;4>>H={_e@!Exhb8PtsF+V{IqP^k zW-P1x;);L0RowTt+qOpB-WHG3b_nZ(P8~H;gT*-l{t5t%jpx~u{yt-DPG$M>ZyMW= zB@WRWRUo|UB(Emcg^ZWTv>?g5C#>_&Pq_EvF-I!ozBJ=VFW4|ml{I|#g17}v=~hL9 zEVV9Q$9zNp#*T&bXz_eo)Iz!2<%dEAcqf#cFPzsxv6Z6_g+TOHBALVsWAp z;^CGYJ$BOVoct%EWv7clzFCL~B~}I4rxH$5`_(YK?msoNC9%3Zu<;)o8E!|;$f|cf zSfy~2ijqFbqzc)`=!SiRr@5ZW?zofAq=toA=(ac7DI~VpgG+bHbPIV~`5xFa@CGr9 z_xS!LVVuQOsq_&gGL6&8iDY+j&XSztfIR_Y3Xj1UDoh~DV~ZosEZo8{0&3~-2_-7P z$v2QsKKq`y`GglXb6JZGOt?#1a`}aquRM;Aa~nK(Z_ z67ERI7h$kqhXj<`2uTZtEGm4nX2N0@rO9G-)O#lCPU(G6p0G-3X(q5p9*c zS}KYKooKl21F^9E$==x>U7LVD-u5ps(?#|>gh*Y(3%u2oidQ^>CNP~BRN?(EaRQlm zkO}OsXCC5R7r&};rv98&`{JDhhTplovW!Ns709Z@I)X9}5FG|FFmbB`*Mn^V9p7Im z>!U4=w!pD}a%GhLx_T}WXgLK=8C$-T<1qFIXj`>Y9^QTnJemBnA&8St8iA)j0}$^O zWrDk#W>q(8C_E#OdiXHhprj6r46#0eQ<#|R8wtlrsx-nG2$k%Rjt(i~0Jrq)HqZJJ zbKm!b{7X+j3j82SbPI@U#k%oEc*FGUbU4F5US}V%Mhx(`-PQ;w+@bI-=96ud)~DDy z?|$RLlId;29+<;`C6693EOsS*xkq-V*shc(mf*Gr>QL|T$qVn;@Ys#Sq?)roI4)JJ zB55tc-5SF((Q0G|Gk{vulO5%kcO0G4-}vkFOfMVATEjLfu!DRR!N`!{GN3UYhBF}P z9r6ci;qd1(#~3cSYDJrxgGYT8r)6e-Jh4ywFejQO;yz5lzPEzYWqFcbFkHj-PG0IIcb~^hx8yKoNI*ABM4T&#W-jV>XX9ymHz8} zWkL&vO5Z&1aB_|RC>-((l!VjeQFnXK2a@T^fgqt#Jj2l#$x&nqA=mr&&{O%)8H&?J z#c$+Qf;==+?&_Vi4GmP{Tp+hnJANCvRw8@++Oela)(2kNsRvz~0lcZfH9aq2Q|HoJ zp-{MLpjA;ujd1ODnrE!&XGAHQc-o1}EGMp(3Z!YPeD!^4Q&1_LiaEdGMRh94AH}2E z*xCemr-kkrk3j0cNc0t1enxcT?m}Pc* zzlFbI2(6*Es@m{%enqm7Va%TILM2fq0M}M*@O9*;{|`>46|)ZU_uQ)=cxuCjwCfvi z;b2AA48f>N_iiJMX$O~BLT*Z%C1^V0^;|GjvzS&i?S4~wku+#A-cE(psYS25j3^RNR&?`gL)jSv5MC@-~yLPV3Ia`t`7N|i!aGqTj6|b=2?qHp~x_&fr4&|pbb($<$+Lwz} zYON8;XkjY3`v5+b-I;bJ2v)wlS@@HWq7FG016%3wLPE|RjYr9gl@D@TA=c`yW(>M> zO?+eg>F0bXfcqb1oDnNeoD&G>SR%>ni-C2$p0{5j__*n1ZMQhl+SH5TrzM;%}wh$oH;Fw zOmi|)*5NdsXSTL`6P^Tk&N!6N2TU{XC>XUMxRc+LG71D+fILYBoM2bZ-LTn%7RM!Ze~fQp|kBx@&T zi`JlmQZ|a0aIjh-Bnv>V48JxikY@&b9;%WEtAyxjFddZf2(naSugE%*6&siy%8KNI z4BCV>JEY2%xDEMxzYufFbfLH8Br9$6?`wR2<;jX@A+ zh(A3sh}#$==Zmx12=dEGk`pl@9Dm;KM z(XN|q1U8G|eAqiXKooGL1{G_MsqR8j=9jIO64B)0suZB2C|a)mZpM04^NZq@%}4<| zJ*{)T6Djy0M6Qi~j9wO6_gx78&=vcIn$S2osF>mPptvsOdrujwqn)S$k6v&?`13S8 z|94OSEqm$6ajkZb-C@x@!>iy%oGkz2*B69d$TAk2%fg3QTI5T9rMk5DZGq02$^11u zahH@sFbV=kJT_`UGza33o1Iy4UQo~xUwwpqLRcV*y;4w50wtMs>F~W6YvJaeZ5usZ zw7iWSFFaB{H8~@~vDt@U!(*I9o)SIt-0$AL{xe)9L@}%;cv~&Soy#~ z5ne8?Pa_G<0=F6f&z7UCu5C|_cIU(JV$4TvpJA>VqYwcDos;mD%*J3MZp{}IxqT1v zZ&Bx#L~WnzJjeIi8*~CDI&CXPOlz0+l*~}L49&=+wvXUQW5V5Sg>N_j@t=YObe=6g z70DrnN*FMod4s9p(%?SxRt^V6?6%M`Ah+;e46oC%K?67cl;R8%I#v~u+e(S*_My2z za(0P&>8L7c2uiZWew|WiN>U#QFIhPZ7Xd`r&r*GcxQcklj9uluX-?kBFl^sJrjpb1 zb?gRPryoVYPC5gIGiaIJN(@~)8n(kB*%%|oh~}l*I9xV@pOkL)bI5C1Pz*#9GPX{+ z%8W&RROMiw@g_q}6H39HSIn6(=jY2UhbZZyc1(hhcvUF-u+2?!Z1-Lp)6D|y9^`e? z-wDZ4GnSQS{^FQv(6esnNB<^3Ay$jzc-VesmD0Frlo5PdLKq zQDp&D%Qe8VNK71%_Jjqvue06ON6e0&v~|oCnGEAMsFqx_XNjAd83NtVRXw zOKzKcBFU3*{DW0wORf*98r5bbYzRk1a3BYC#utckrpthOMt$?xM94rb&3L?VRT&wn zDqT2`(iKOAc!z^BATDHJFShECE{Mp9+{xR?AZvg~ZsuJ33yScz{N#Ih=OQbqNmo>V%YQxNzo30{9Vy+_tWouw_f2&xy* z*>YX|i*?K|)Wgr~Z59n-*WL^F6=gC38FO$_8wWjqG7t@jLghdKaH}J*JZ%0S767E< z63*X=c{X1*;(1fUc$gOuNIs^gxPRw>thGWXdG%5!GSG6QpR(mZH}@Ni{PT^23uG>a zCJ*${)c9<+;OVAYJ)dKPz;nk2poa$e5DsK)IO=PaEAuP(7}~>wPgEaq2S z4^JX4v3z<{GHXk$tk|il(7v3eCD^t0G*_p6iZ^4P2)A*FcmTs26$7zzKK~2*;9$qWZrAN4mgXscoF3z|u)Xbd_%7CZls-1v`gE2lY=e5ZGELiMq z#PtZ+ffSVKMZ&yo397&c)$R$e$A+`)VPnDI{Xrjw!4Q0W(c07^V4#hgSMdBvE#+^0 zb6-K^u03QCpu>v-(~r8q1x>Sm!mP&W@2Cbs&ge|IqF)9aLwvXs6It?5``&C=~(J*0H(OF!s2$TT+ zjFEbB)9_;0erdM}HdXemK&b-XrO0Yh^!5k9qh97xUFwAN^rmi+O75KW^IN3T-dnNc z?1{l7%cSO%NfuNDU*SK}=ZUhuQyc<{aZp+BzaoCuy*7~@?3P;3L={6=C|Z^Je6mrTM6ql)spV2-qc2*0$q zc}(iHi4A!E!%5zd<`eqAvT+&3L!Mwk0T`YS`YZJo%T1l zC-5^0H0i9o`B^MJ&~n;OM==tYOv;Qp6!~8AR2?U<3;5%Wf|jnrPSTu1>?ia#Xc2D70VNWb@Cin1)l+ z8~o)x6K`jw*AdZYlF>)SvQyql(ae{&3Kl~-u>}s|tP>r`CvUMOAyLdbdUhK(D@Wte zps^8AVY8fQE}-7#`X{ZZuhQlc(&{6a!af>K6tO1sntIxUSf17rrT?>Vu)i5jV3}?z zxLTkSDn;h-)BaDDN75vOk_dwAYFeBa55>!p!b7Ma+Rl2&X8aS!GsA>nWANl0oWuRs ztw8GXepJsM^55-q-D)48WfxTA3VF z5~n`=s#4N7nN-BmU>^TH)z}X(jv%^MWM44=d$nRmbbx!}xC>$p+4AnHb*Ch0Lz?xfmZrGDMeWDT!(bmcdjh7t$`H^XPtbkqXeJ__%yk zqL7=^4HF*!To;fLxoL65I!!v(t?ja#+a0fWLRu+mdjJ&HjW4Dgk z454NBdP#rWcCC;p!fXLJqnB&en#5H9pX@co|IJ=&{7?3J^v}FK{f^STaS`6Su|r@7 zH;4=t1b0_bE|XsYsj8nBlEMXi6`AK6kEgHpW!7*VIM>-bhBVvE`)g<6awZ?v42jn= z33c`3@fSH;k#e4t?d?+2!zL4zX!vjYU=P~LX3lvvy%1bLGItttUrRaU-sr27dP>u? zh}^98P8%}&Cun_3IwYimM0K+%UA#Jc-R4!p!>iE#RO0Av#27H#Q-A_)Q^^=`3_u@F z+KVyYoQ;pNM?WBAJk%e(+RbtQ8CQbhEhb;&TZC?@>SVJS<61NKl0#L~dwP?&nlU{L zG1_DzzbVKET)Y5pfOO$$p?4pj9|{ok^sGG9T!oAgYCWh#U|qMCCo1El$0ckXnpMXs zcvhk(&>7m`1BMxnt80!BzyXEVJ#(H;7Peg-7;V5FHvC%D?xSlQWKJZb6L#_uQChP3 z9C@Tuxs}<5^oJU7cW#+TxQ=nK%|2_sM!*bkY+=R|kLkhC0@&QgoY%0Z)0poWW*&xgm2n zR#Pj~Y}qkN%;x9f-k&oe_Fib&#S#R*f&c|&qPf+@wxeJO$1h>S-vof zG3o%JaoD~Q(0?$aRaf`3iP+V?|3uq!p+jzd#O;hVSB)~gCb9M?GHOxc;e7-w~j%*dl3v5i0MfUQ#DXv3f_0erV zRu`()DWa@fd=nbACnx4|Lel%@R4};-=dth)tNPx6Y!I}N{LdB`jE;m&0DHuKPr0@Z zf3qJf;6-M+n5GA*bP>`Mbw`JP(P-+uN5N%Ber*{ljG6e~V8~F!4z5oUx7E_Q3A^DvrGO^AP8FX`KToe2;j|I%V z+baCKfgrfK<7uR0PR=115)iX?2eV*WC?+DJJr>94u2hiM>KHl(S@XJsOhrCAg{I&F zMFB%`INf5vM?E2Xg~Cf{SC5kSXKzcL^~DP7NZex+HsT$Uopc3^oaxbwXMeF)BmFsi zvQIL*vPDR{wpr4YH)T5~aSR2wk^kgADuw>LBjw9iE z8*?xCnwnE2ATC`I2`?-zR@FQRxE1;`KN+c0C_9#@aq^^6)qt#c_Qif)tk zs=HiE4Z6Ak!5S8>J?V1m5iEY z&{l=uoK%JCyrw+ZOa5>RpV`{l-sDgp6j!dE^mXm$r5HRLG5Eix2X6clXgFN*4kh1% zKzo8fW>mvW&FMTiQyLn+r}4Nj)Sdh=LQqCzMvOLw6njRa|&c{^3suF=o8&KRy%iv3u#y> z-Uxo^1WHTYFUFt=x4VG^Yx=^dnj{Q$4l~{Ar@`3JX;tP=2yawuF5t}Rjz|=^hVNOE z^m2hhpX@wx9VM`zG`@^HBZ@Uk;e0P(gB0volY4ndHl?a=!JTmqnkP#yP8CVI7}~L_ zN0=NhJo|o|(J?u=Z*O##`omK4BAMfX3rtLtT*kP3snn^i=^bj2n#sfzV`USL;J?H( z8Z>%u%c^aBB>@@Fvx4ZSqz(G0lFr)J_o>vsEmG@kRqZPdoNgw~S;UogEjbqc^&>Ne zHz`~>Ot~(sQo``-`FxJ$c4N)dFU>2`2FFYVkV$Dr`X5$ z&78AL)b+aWFV)K`YVw43NR*1f`A){u>nSBmT+&t$I8e&QhaoH+Kg__EFAMc8QX%;I zA?eMrbe)6@c!Q#X@0eAut-1w6M;purv2nJPSgqB5vtp47v2?EzZ{8Fsq7oB!*SE?t zO1l!rM)57ay!*T{Z_Bn_Ega0w^9d)dw~^>heQ<9au8_?!QyB1usJH66i)6b9E;6BX zg2GJZ`ldp-z?($VHp_c5$m=gO6{($CuXG6=gypa@Di&=D=)!VEqmmbuNexDs(2*5| zhuiKTqHPHiI!#_W`h^eTQ-bR^wZw`TDj{Fr((6~>IO2xVi!y9;9$oq5T?xSnS>0pP z+hMyzNQmbsHsk;tN9!{GC?Zm%$bCx;YpI$X6OxF_Ymd(qOq=@XYg$$aTg>W~eQ}+6 zUN@~vO|E~BpU|n7dT>}a(=}=B)CjBa3&e63YS+B*4%T)?@nd-v(F32<4j~gD2J=5= z|1udDpTM1Cq;5>B%vCLZQK8FZVz#Y2Fas|s7%3_F*(+9Ri^)z=-%baw`~yu8U{e#c z4a|$Y3VGRj4QC0|8U5XOg0Q(8x1HA@`NvmH|LXI^%$H`|fo54UvtJs5)CC^J}2CzU8h6O+%tcYF3z%99p#!A6DSf#4$6sKhpI*=*Wo{B z8J5{qF0ztdS!|E&kkn&wGtIISaSWu5MRv6bjiD9sU!OL360Y43FSLV#q{V@dSf=94QISnhb z!&Fy1El>59NARLDO(Mqq6I7a1iJ*9LX^YZQ=Nj7wzZ9aLg(d8Mp)NKD6D0#mV2>pe zsQiUsO_b*}XSl!+hJo<($?fL)`pJk zNrG=V%t|0QU#n`M3Fh#QU7*ln%9`b{3mT6=#}=$^$br1VRDcSci>FB;Vv3eOQbP&9{um694@ZUMG^m2x{XfL2BQJOW9BC zBE9lCwcRSn4Az4yb@ zjU8mg8n2vCypi9{3YA>%4&AV~brBfjk?Qf}4+xjs8dgHlyR{Xg#hRBviK`*MON9d*+%Vq-6uEZR8E*uMAXO;e zVq#d#4~Fb>QKd?2u#Zr^smU6k$ib#wXkEzQG~xeU2lo>or?ooOQ6L>yhLJ&h+z?0O ztYeqZ6Bg_(xa4n+vO`nov25-Kq)W@=j}b9}mV>90 z6&_=WA1-47iNOMw>{$bb6OI(d)}Qe{T8JyoG&S2Obw88I&+}K7Up}`Fj5~>AGP8l4 z&&64s@H?*PV#T;bE#qXeQzfkLsvDH&URl3Ss@!14A))*ZxfJiK=aEy?+jx5)(R^$K zb)A}j-_q(_+*=6@t`ZGc$K2WDyWXuK7o*Vlg9_0IKi(e5on6)(ay*{7XLAV`siJy~ zwOhOn&5Ois2u^h^j8^yGf$B32YTW2-wJvq@(QSNiPwFp$jr4fF7FR^xpJ-~Nmsf)QbE&^Q zdGEWEcAi%Z6iSl5&i%ql?)~E1=f8K<0yaJ6viV&pKP>M5O>J#Z7SmyV?6ga2(jk+k z{7K*hes6oLfmpcN-?DyUY-gy|ca(~M8ZUBMKd~q)O+C$+)FsnWrnQ9nQQc91} zwVaN+wD~%%%{5GE3(4rmRv^mXSj|pJ0OKK{y$=LFlXYMuVHD5RjD?7>oed&)Cu8_F zH@}4;T{8H}b)|ZGd|#^muXubMXxY|HL&jBA*3!Xgk{?eLQ1n}Hu3retp^I$4Y4RY) zz&iIftrC;3#pKhf8SNtRlzq~k4LwuH7yKh#B+u6 zuV$-RtiL8^a2Au|eL(t@N!>{cKKUx=%j6=XBv|MW;j zd)@J%ySBPFjFMvy$qbwW|7wZRZN(5$XamSZUe8{n%_(r@STOe)o|zy5cz&zK-rOj> z!1robJf4kYMypPAn~81ma=1VLA~A{4?R&Dj_+TSo=#051jWR1nm1wMJ>$)7@oM=&2 zc$dawS{T{7^@!v=L-A9Rh6I)`m8< ztGmrA<)@Pbv1T&5cwiT}X@WqhVoZCvM8KbbN{LD(;5`{|MX=?vg@c_9-okzUyQ841 zYqvure(h0)&!e@j^Z70n9ZEz%eBwqh}Rk#cOg~19eE~~ae3@b^~-Ble71arxB)jw zXpifglS!n&3tc+RTTJpiD~pS}(~hSdQU6kwg9~`th2v+_r{^wwOVFfG!rMS0>)>^l zNS^}^W!oJH_piorrUDl-*CcsmiqF|AO`w<^;}f*X zIPjkT{hydLRA!x0F(ZNwX)*seq9ccS{kPi5-lzJ<(8zLjh;?0W53n&Pi#J1lui9!B z>C^6s1BoP^ zio5wRH`V!=V1Gk(!N&J6FUX+X<=LUw42PZBu*R%TxzPoA2a7vZ7&F%)b@^qmMFZwu z<{0AQkoh^YP4n&W&Mp*=5;Q_=Uq+}aXV^)kUBRYIq<~IlV9_8S8 zPm;(-^mo!koToi2mJ1zzrNBd)+7?C~yg1Plmacr`uqiY@-nlbG9K$ zlg9+hn!#<9HNXAR_EK(Ohu`Fe)VKK|M?$lym7=}_0`aZy8o4_#=*bH|)~C(>*@ku? z3eMlm2HQx{*NHe9QJ#lf@)czs_IR=Xc-#_S0v-{F8RSEI-bF z_1o`0eDm>B{pQ!7zW(q%_U6Nv-~Q(7+jpN%`gWp=a^_0dyIddzS6T5C7&e06Iq_CJ z!@I`b-ZI{m1G~O&3UeS>SS_(W8A8;D!W1!w=bUcA`#{GiG&~)_N+zPpc|}^paeDLY zrC)_J&5ek0B>hXIWPMsTsLunp)Re!7=E z*l#-`Qr4|5!b&&aIT~Zoh+GcA^(lgMm@#mhG^EDmwO>!(G8#Yr{qKCzVU2eY0Ia8X z0kzb2lc(eS6$xB6<2iLvfmp4UE4JeCNSr2#S8X6zf0~(!w(5_L-INz-mxA8s>ULWx z-K0&rnx*MwYa0~|^+3|~>f*2E_5}m55*9?L1FiLHaJkUypgXrA)lq&KeTS%?t*^^q zTksg&20wt?1)QKnBDql}Uik-VPqbV^@%7HpLB4gEv@82q1=v|%x8vWJ?IBuF)#Jvm z-3I9$7NGU_j5~!ts>ZjtTN`W%XG7L=GV4QDcX8lAoU!@44m~1kUNb9ZH&}%JKZHxs z5Ey_RtH8?waYu-)eBZR8sMS#YJ2@oiR7B~@NOb5sTl1#5>4X|Gv)Z-18t_OAZWBdt ztc>Di9DAuNF|OD|tpcTbZ9FdkBCtgqhj%FKBC3>bDLWe82{?Vce6a$kBs=8gN%`;K zBJ%PY_p?`w|HQ3jF9D`u2kvM&v=)PWTrjhj+D1?a%<#QQpc~3Wru8QgP!nf>+k`nA zyALrvoUA<-x_q)W!lIggyOcN>iY^V+rFjklo4}6Bt8J=VaRkcQ`slfDZm{ww zq@fB!gy2t(^GKR#1G2lgT{T0}cj{@f1~KCJn9gf}#g}Ug^0jz3r%Tv(UpNwXC*yS@ z#@6z^Ip+cyI{u_!r%k_VmK8ChA!_rgdc0Y8h~2TzJ+zy!buf$o&MTWz{h?nDt85QP zF}5B97w0`W2^kJa$iASKW!fiH0FUM1V==#ch+BtE&u68o;kc()FbfQUk_U#)mqY8b zlPe-n(Gb<4gdri4Y6-J6#X^KlX3hfbwUlh(`8@xRQ8;-+qBSCFJ3lx0JF^*3y(OQN z928bsLEkbBkT(g_0@YbFP!NlJMm)3_HX@5n6lJ6GFk;D(PaU%$Kn|h#k>3WA zcuSoiO?~RvNl(suj9e_A@DIfBB370+KNo`#v@i5p)pc#p{M(UQkx$$q=3Rs@2Mo>X z(VzDmCc^Vm4(=mmBi)7y@FjZ!d->MP9U`ibxKUP>``|FH6Wl<+``-8|^T^aW`)7Mp zm37D4ar=*E2~(RhG}y9NJW~;@k-C0_r(HVdl^B!hIzX1LG)E%C*<7b=@5J%U&I+Df zJ_UIcF?-Vluns{E0pWNTg{9Lq?a+ap(a>UahIXtWm($JCdWOyr7v(SC7En6eCh1+M zR44E3FJb15-{TaWkml=HNb@n6UgR_7Fy@fx1W$6Q+zV7a>e65Zxut_vGuKe2bSeC4 zI<#b2h2>0QnNE&Wv;{ew2Z7}hned+M2|KyNx?P$*rn;vOc^E%}?=ZyDws!jL=i0<0w1~Fm|@y8)vBm4%@CE6Z?z(4!+uEddh=~agV;=3} zEX0i>E>HeT-fHsm>CGds<$GWMtBpdBs1Q?{pL^+=mpyDiuh;+TpO`SxT<+lI;x&!C zS*tq)n*NTmUyh;YK@YSEqkI!$;wI4Ui-UDUuh;S-jEa~9++Rh2A?<_=JZi)EeMqMD z;EL!wHrK7vWh$SbFk2hc5FJ}}^U>*au6a_3n~HjqFW`07Bd@+f*dkARUjwboQb^{aLawL)EE1Vx?Vu3S8U@~cSe1* zj013bsC_WmZcGQYfk#isePC19yjzz}$sQl_JE$JUTxBR2y5i-+BV^6;rZO01h+PC^ z8Q*yGSXbzS{QAcvul5bpLIfuLN@4PU!*dUm#W52$rnh&SyS6EvoIMo>+cQ?D(AiZ~ zNlRWYLv@I`suL<>{4w_0MNLcGG>&Gf*ftZ6=35}9_n%2QJ*5O)6N#N zEFhZ=B^1hqIIwQNJ9V&)mjWLY)FqeIc0aunUT|WVphq@L0C-rMo8FJ% zne-FV3uYa0$pTWNAfug08Q+Aml%D69O6(9FFAtE#=;aX@`VFE!$Od3{0d2jcHd zGV&zQsX&i;y2kh9c_7S*E@Kqm_faFkLex9KcTFLDJ%sR=V`X^~&~MMrjlM)eb>!y; z>5Rjoldr0?CLLTIakb~?2JKwL3Z3%YOUA!xO4qSZawm7LH2dYZ*;FZ%ZBnJ#TXN6R zl-gF(sl8-I-n6D(Fpd0Tz*mX+9v0#>P+5Q!LAhr6x!c1Q& z;1q~0qHMmPX}v-9l3xmC(Vf~rh~)RcNMe3&L`j1u7fgd3@va#9@Woz?8|)Qxepa;QJ(K2+%}nj zYs4WAHj3pS5ua$72(0Bb51R z#lewVK+Tx2uNL5py@R{tlekWT&76C#k?>L8-pzcHd@a#wpXA&rZ+G$0u$=uf`C536 z4xcJsY=nuCC$>dXF#*I5g1Zk)v6wT{eBNkni79r5PWQR2AXaM?jp-Q4(a0F}w_jtj*D0c!IS$Z!$w%wfq5njHv)1ZI-6M6Ey#f(g27;DY; zLh>d}01X<;qw|t88W{=d*MnTDEJbMvIAm&w6dT=udp_F&Y$C!IwA^8#RDLRX0D}Un zzP;!MrT)2L9(52K<|G}^wFA1W5f?IoY85)EE%kF-1k<p0>2b-em|c|@H)8ms!H+;MF_j7Ai8DVCD*JeG|PFRPO;5mtCTq=^@?8aN)Q4^1bW zTs|TO8DJcoI2>N9Ovrg1VKCY#y9?BfjYSZB>@X;Q*yf4FPljjU)alc&n;s4Uff{tr6Wpp?xr5qUzTFbuM=nimj z2&CYS!t{AU!&a>Kp^iD94V^ZC;jM;a+(7E=#-DGdrfX96QI{fF-jqx%0V*4q6!n0f z+FZ{p8>;#q6U(dEQ&ziax;J9ip<56p+ilO}W%_;}X*jW8y4ifqv`AA?Duv;u0lK>! zraZIA^+wJ7#CJf29jgb~zvaNU)fn8hdZTLPjQziIZL&1ZJ*FbIsLyd#iDC2Fj z4U**gFbz0oLDvwQk)QIS%1$H@!d=7jp<(Kw(FJ$Oo_1Vh<@nH?oEonHuzokN>DV|1 zOuB`EOlJLV*HoI2pBt;!pkVGTX%HKHG%F$B$0)#6(t*-IcODf?CI+)nmQadh>we15 z%`!>rS|S{&?u{PUcIZF^UI;);9uQ}FFC^+NPr2U7aXD#%5a}KnTn_EzBar59qpUP{ zfh>P*s2*+w0_j4_mlLp?{ zH%=Wv*JDb{yz<0;$#v?q(4mSO31a}Qhkn5LJ;|zHjx3{HgdxjVG|;)BR`kKu-JVVx zJypyrJRk~ftyGn}#l~cnxUM}iUW$4fHoUwPva0r6>Uebtab{A#_Kjp9Urld zK(R!7V8O{jqj^vq?Hl(l#n=P$i-9>Sj0W=@Ib>Fg7CD@isgT70yMzacZcWP++Ck4J z>5F*v$=rl6pSK`S^dqTJ(DTNl7q8w)i^3HIRA$)gWbmW!-P@P3;+Ym<@A9)z;H{7rn@|k;wr^J=no_KxM?`3$qWF5#iMs5W9BmP(Rs+Ra#7%`gq1!S8zGCgGBI9D+5psoccw z;<%e~>JFGh$nVgt@a4MDeEnicr_mdBt`Ar*X=|uR8c55XB|QWq58zHH8P)t&f`Mmw z-RcBHPv__6La~gtbQn&wW`mhzRy~J|WyZ_r`pnicop*8B)|$+TiSzl|NUM-{r@JLP zR_Cp!97wq{Kjp_V^}}?RQ+G`{fsT%$;#ZTLyicRp(C=Co(~f^$MJru@$qjvvmJQ6d z3L2ar^Xbx|K)`zJysEi)+b*R8%_)&9?IkUT1I+%Kn*X&Pf)`oNCx7j+AY=S%o%~Tm zw|UZ{d+~0=LJ*!tht$05qD{-G`6ll(?W|K$`UG^w>Jf}z!qzEV>A!MsF_@!aBh_<~ zY&NFDxR<5oiAz`8_Ntv?d6jK(lBk~-@9LfKdvNGcvKa+mt7o(8Iv28C2Q ztI#T>xW1*lEuuJhOw`!`MTgDt-*~lFU%u) zPWxE&IPwM3JGLwmW)MDIo^mI&TRE;F2sNjtd~#9*Atxw7`@2TUl|jtKknQD}OoK~U z{0uH>X~?Wq8(h&ANubJeC$-3oF9jX2i}V|v2;1ul){)$J$(WN%0eyyU3A4niZ3;DX zH_np7fXoFqy;<&al2&*@Hg7gqNLm3vJ+O+PvA9zCLdI6>1Jq|dWjh%FeP*BX&#aV+ zKd)PdXhh?-fv-uI4PJ>JP75&Ih=9@*>S0sVS-LbQM_i+0F*J)lN@wv+_`A8rFf6A* zA}RW&ZicM&wkYpPLsu90i>@&%$+_7`8H(_b0poktMkhA<{`~Xb?tl8n|GNM1)1U94 zD{l65WyO)2mk@7;7H`xfq&y350!0X5h!6+`UpTg@F^qW3RX1!FWtM>b2POoABxF7E zm!uLmz%T2S={-)oT$ny_am+mU0Gp+(C*gq804e>olDUwbJeaVkx2KZN#$j1`cwA_D z$QvvsqZ^wCUJAzQ{TgBHrF-nX2Ybd;d{<{UaE^{sP4*0$7}`u=@)+JEUN*ataL&I?C{AnT zI^?tcK96xhFJRMDR-iqHYZ_*K=9pPDm-ACT?Rk15M57j z1y49rzd_rQ8qO1)lcojBPTBzn)T$xhX&C9O7_Hpq8kEt6x{Ly03VZxg2^ ztkH2w9yZ2^glTR~&Ehn-X-8`^_lwG6oPMq;xLRp5kbV1J+eqDY(DYkr#eizTR6@b4 zSq#7#8a>!xdd{t&&+g1dtTpO!)SY<6khpE*xHVYmWbH zV%n^htBXmoaS_=zPLX=ob=H@QD2O3MbIj4k85t4y9bcFjY<*)L#+qE{C0ffNqZ3c7 z)r-nqt31`%v$$Dyaq_Yqb14Hfo;CS?d3(-bo&g!zP}44_2zHRGp==A@mnsj$lx? zYKazotvAXZ4P7tBf!tsv8=b36xkV$dGQ}IBF|TBQR`vHCV9}H;r5T3 z%s9TsqJCMlp5HYVMUp-r`C#&8L9!ec1&F+E`BSB@JhWjYYo%jdGB#*}0yq=2ql9SG_nK?!=x_=sd*I zOikq0*&di!O=Y)8U)|sRJ2^Lh?hl4K@>5Q#@b;=Oml%xnMo5?>CU0YiWF7PZRGj9# zytMc2*R+>-g!K+&#snOVu!-p9D5LdsW(7Ij3n@!9J@uAusk-L{Y9&o@^XZzRz5{|P ztdBd8a*vR-{FEC+lwL|)T5sSW^saj`D*zj5ObbL_Y<2`*9{(oW&=BePALx8mt%t%m zN#|3NhkV{%(&5@U5QYi%d3BO-Bw&rdCJvn^KaL~y*#5hxl{I};zmQ|T^YM>FP5HS=)d4LcBF>smb!+&wugxFMjp$)7M|;uj})>?|=L0vtRy6yI+xcOSm_ z_^E#L>rY>Q_#S)n;mdD-^Y!h!Pj9-=tu!mdK`lXs0ia{bCD%@=l1TC5$v6p5{r2Dg z>kMHc4py~pBE4z**2v@Pg%lp$v1m4AEV2(q_Q(e2>Qp?Xa|YhU(kaOKLJK@1KC<>$ zdbj7@QL}~lIY?u#XWl%z!r6+`;%lf>1edWtsa+$HY4QaPmmdI0ftjfg2$3J==N^Kt zDy{2Q;!fW_aYn3g%3)a1K*=y;AA7a64J4V&*QezuHJq2;5GylVeKs6AI;g|M$HRd4 z*$fJbzKE4D0R6(jgCD5!@K7Kt6y%qX0{z+=Wvh@CG$73?Nb0Z+2n{zN<+ zk$Ni;`dC5MzBRqzo1*8MRp47%V3Kaa>YN(b#4kHd<8oaI*(oM902?MoLl43TXfn#O zZ!$r~h6C(pHO$%CsAaLeMR{bVlxo&<&c_|0avy!x6lSbSHCz9T~CEBZ-o-N!F-_aY7y%y zyf$D#dVc;B#p6+u`NBWj@+GU|nrtfn!FTFt0-5IA$GR{c z+9K}c-sVot^4JXU*uBAXZ$M%7N^o+Oo_6|GI{W^e9Fm?-FHdU7JMx%7>VM!nr^d2~ zLmnGXd&h6o9a>^q&ezZm%?g71Q#+R_`fVl)C;!o;?2GgRwc`*-fy(RPoH_PKS< zWaB}Xnjwr+c7!)45rS*RI`%l&7h)VIn=(4_rhNNQx)uk6Efz|T>ZbWAXKg(_0XuEU z4rq8%T5?U28ip!FX*jY(2#vwuol%{rmF`~4m?UsaBYM8V%Wng=(ru|`1w}3u!(t?P zbz^xkI{M(;^JoVw!Ylf>ATHgYPWA2;+wd4@xr#ibaDY8_%?v!ki#60YgDQgMDG$GM zc?K$GwH*EM(Y6hl+bK!#+V)BO_JE2Ed}FaVZXl3Pnw&u0BSbNkVC4C>yjhU5AU}5! z$pP60@>3pz#LbqN_#P2C?V$Yq5BD;R6ae8UuBVL`_+0cXh%jRv4J?imI*~zMz+|U2 zkDoty5ewFdf(S`Juc5AKwyeZ9Fiz@974Xr!&cM@-9uA%mwTYe-)pgzh-FXKzW8m}V zNmAm0n|I-uo%K?Vl%A954VJK^9MKXIrg-yHzB{PC`~|b6m#VMijwR|~+H;%h&|5oB zgx#ZqtZl&9pbPT&Fea2?&QRN_byyWVHY0~pD)GGSrYP2{B?719`nnE;ZU^2m43(I~ z^V?e|Gmb&pI3J1IZLD2c_VT=I{6rMOmhQHjNl15-eFiP1f^HN{5DG4(zFrT$$gsL5 zo1AR=hQrGa%-*XmDLataGrH@VPbu0DdmYtfMX)J%NGuFo=Bpj;+9v?2-pV~~c_{oQ zq6NNJBTxo-0^@z#3wvZBn>lOpsBgY@5iH{e`COrez-t6dz*3eW@W_O3hL4ec~p5FUZq_{GR{mBu6jDb6GgttLZhK`mtm zc_iL^NoOoeCv+sp}uAA$s)r}YbtEwNgV5;u;|lJ#vs6bAgZy{vB@K? z-AJaGXiVaFe48xgktSlcz2x>VghZ!#+I1nHFNhw)T)rU2#6IS<51;h3wo1$x-XbmB z*;(|zGFKg%vs=iH;(q2ck_tzUpXlv)3AWQbjrI|Ak=a#-i*EAd+oCf0|Ka|7D&A*% zCy=z8GPE-S?u=|XPpEK1z?z7tHZ-gcujs<#OK-49-AtrXuc+lv^OKszs9;bhrD~Rz z7$H1(;Y6MEib}MiJb0M9fMwYEDNmgr20A`1<+x2CY+MX?Brf1^Zwo2q6CRgWsVKpV z)Dxg`63g#oCHh{&lV5(qC0uY?+$dtmG{f`rUjh8!bs81&>c1OI62=;<;mSbVSk6(z z%1|1_O-fSB2u>6-bSw%Pt=#10zkB`3{yTxFMb+hs zmop9zh$+&^VH|bm1zlyM%x{ScoR`oqCSW z9M49rX~mW^(=#E_Qhv&X+i$*-vfX^A5|OkKv5hSOc~nP%!ef4IGJ2lMkkXI0T=+$v zw6`y-rVZRRqAG0~a5u~4q(Kqaa{kplv|+aYC?WO8WIq{%Asn@e0Vv(3jTD{OUn{OK z!d7CmVpkYr$Ch6nDZ2(7%rXxU9$(rv30#~Z-^(R7y>e<&v8b~d7PcFy1AkT`T2BO?a)YyVW-q#LPPk1ODKqyON&+&5%Qoeg>+1H zt?wz%cjsn&gg6gBOGf)$rRLzLpp|e!3;<$c;dHda*P3p0}EDlA- z%k^bN(h1{56)Lb9&#F3)Fp}xwCvxQQ6>?R3ANdv6OO_fkRrz~8)SlaK!4?%-Duni9 zAlESI^AT>eAXrw>ztwUL)?3h6S`_r)NbxpeniR{pyK+CiQ}*H8N=UzFrj892SL@s}w%3+7n6n^6`eI zd|?bkGM{8N;3fgh)v!ZkoYx037-Ok)isWEg z62Qsl3;IKL#@sNa&D~{1j=qsbBRZgFLWMw`kI7e)uHY%2v0I@ba!vc-YQ7SB9r1F$ zvb+Czxm35JPm3MUa(K&Y&D_S3;s7s5CNX%eV&SvF(c7pdCJx@n1!q`VtWz&wuuV9G z)eI;W?k2)uL*k+%R3EfSS4lAr8CHW5a>>Xu0H?!f<@nJ2+o;u%pF=t6V)17ms;0q3 z-^wxAr-U;db+6=%Gr#}w|NoC4|NirDfBfqYfBEbGjfw`}lz9mQm}4;uOYqf3v&-j0 z+^LsWR4b^`zSs+vPmD=_{6ucb;*rRXPYO@P8UvlgD#nb4v$0;8$F_~U?L#}(KelY; znbbo&?x|%bUtTN;e`s&0kZwkweKh*c{r=M+EJ;%r`JS}rR+P{OZCgwW8a32Kvfp61 zaDeoyjG2mE7i!V)1(Qtsr^&y39_PzZVdO{-T_ojzTFZy2&(5=9X>?RWYNfV1&)Q;7 zz*H|LFA+H5alU8@(56W}ehz33^z`s5%`59AKzmmhfcWvj({SWLCm~?oU(28mRLbk& zBST24{M_)gd8KBX(L+PEY@+9vM zm0u0RZO{)_L+6X*V4$I6+rcd73dqlqpYm)uZV%V_x|}?oMM5BK`yijps6$GoPb4Dy z#Po`R+?X^8EuNrtclGIPW+s!2%kKhOre*rY32;XxBI@eWy1Qz!Cg2~W^cjj+GyN6}tSjAX z%tEFtLrI59ItZp|vC0s0(?Mj|Qz^hT-c1?ekmj`kK{Avq*hqbs7tb}R0b(+vchwBh zW7kGR0|`%(=r#yHSwz@Tng~U`;q`nvMqzO{bgzEMVK(%A?NdxRAf2(=NN`6w#9&ZO_H z@iRa|Q`u9Yg%L?@cr4;a{i52w+SzLoz}?v^2mo{|Cf|$wrlB{WdF71&rh1DOcI#*b z^@VaJ$mh_LB`u4(6ymtyZNlaO8r=?saau%W?yWF>%QI7F_l8??@X=C_r{hIh&yV-B zMN3URs+9(-3SGg|V0+ChR{h6UI(LsP>-g3UHw)^$)wZ5rrZ|g}?8Lg=r}^lBqw>dH z^0HjCMd)igo4`AS?of!*I}}yC15YML$DZcsxJd;)16Qfl;EV8I!#=)b+2b49u)m+B zVI^di5 zR!|uS&JE=%EZ-0cA*F7hOQ-jH*Ye#N=#7>m|E<1-=yV^>D8Fx4Ocsw`KS6LFe&*OW zatIp$74BxHJ!*UG-G?SsRtV&DX50&6P{vLdBOri!rJAlg5L!ciU7n;=eB{BA&WyUz zwj*7+`)yQ*mp}3|loC1tc1I^ZXOd6}*mMN$k?oihTz#7_?Py64)sf_M3JM4!uDcGWL6iCO$jrp_(W2jFi$VET?0D-ZRV^1 z+F6z~2;GfDebQ2$+YIBr?PTP_6poT9Yz?4Tt??3{M9Ndm@SfZk438*SU5)}ecA36_ zLec_X-x>x$sIQYG)OP?LDM!BiHEyK2#0?D|T*fq16{)qY6IEU`AQfT-XGa5`CE(B$k)W32gi2lq-(PF_nt0{6F>18}6yvnp^V9v9nilQJ2l~` zZgsUcg_rE&xO~S)7%j$^)OzfZk7wy--cH?hQ@;HumurUBAE~6!3F+Ru#R*p&N^>%N z_?b3*99kW$qftA@F3&(B6gvs4pL0T1C+sHonqVTQ+n0^PY4e-5t?kWkaqq&J2r~Z6 z^D96f*#fNB)_j^@^fJJI(G5|~jz%pis$0W%h9Uh&rS2e*ppD_zqtDDghuEI`3X-bmonvSAD7T~>VG+X^>SU^m z@1*hc7Df8tU9Fhd36I4sHa($C&VZ9tAVCMAmhBmE6Iq)^Xl3qX%Hca5`0}vju>$2h z`pCyGbqHQraKFH7IsFC594_>4#dTUdDu3s2^|2;&a#7g>l0*W4rdfC=cC2}M#nV30&_~@R&3WpoaQ@=Q*6{& zRG=|Yw^*)r)YB{e!29-=mS*jG2^<3!L$d}^cq=vkg~YLqhMl#(Z^K^l-W-Ia&SsAt z{6}(stG1_u)TJB)yG*mnXrUYjGx!HrPHb}A}iq*1Tl$8${N+o^L&jc>Y*yBZ`54XNjq^WkTFrv8Iu z&dgD4AMncp)xQnmEGzKBdz@17c}fYapSupkP@VIbdB#DU=mx~J`dWN}(+X1vT3@)N zbt+y8Tp_yw7}9r(=|h+h&bv~NH|r4f=me<8^LhBJV=_Vq zDSR@{yi5E*1J-WzLqw}dk#n19RZRsU9J7LWtSUCGrRQV`kfHJU&!G5TolKz!5X0~= zc6hykYHtqjT3DFa0sAZCWC=%A%FCRIa@PS3>LTB}0V>*HidIe}spC0F^~@2}&Vl4&lrf5KYTaV2iB208~PGXEVDrTF(MK zmZ==F-7a`v0=^_Wsnrplwxu2PIFX^#EFvMj9_}@b9IXW|C|C(ALGR>mvs+rJzn$iDqaor769t?z7eL~uoJAm61^~)dbR{q)zwk;h2JP;)(NOx1 zlKEVwnwV08%J(?Li*wV3TKuFf=u=k)fPON}`_HO6+Ex;N8;+q0CIPX#VH zPiSd+`$DkCxX?sE>S?CuwXZhm{a6MoQl-<{ za@gz&eU+&H|Kc}a{N~?2K7IXl`MMpy`~J_Le*3#0wf|lHaru+qe)r{{K0dW?{_yGR zFTaPOeEHS4|MB(hyHBUvaRB*T8#I3h>UYS*`FUyOCC9J%d}JUA zlw&>-+PWCXm)j3pRyoS7g4=!X5ViJQ5D0H}^y=JO+r3Aft);shE`BSBup-*mmLosi zfr>V5^3dMy_id7}yX8=Q z)ACpU5|29QM+Pg<26WNk-M0Kn#M|WT85Dp1uZ-psdV9JIoD&zFnDTAS9Than+5Uw! zQ)4axKaCNDLpDRlI6N3zZi*yVsby%$hp9ESEwyaW!_G8|9Cj5rLm@7tz<<)Pb2L6V zekllXT#WcCg@56z1n9U6|17a*%Twg@mv%`~5F;7fJGx1OAid9b3kg7UVUC{};O{4I z@;G7Oc3*Qkn=Mh-)n^nue?vDR|i^orLUjvxy`b$ zLhI3`Ru93ZR(#Gz^>D!JbbPN8H0W+H6#80X3Y!70S&n=w-%6UdaAm^>Vq0)~++-6U z?z!P79cTqi=2D2Ak7J(kU@a+kx>M^-p1Km~*+%-8xf%BHW>~)V zNx5+FU$@clrFIE-4=z}}3OYVg67jeHx&Qds2rwGDNTCW{g6AL=c4SHCy^%?Z`8(*c@+y=J(DZ$!)vkcaIg0L4yctLyb# zgE%M~2@!%Tx?N!SrU93`>SaZ-aSQFyb4@@XIk9_;Iq-F>9|v}HL({dO{kZvDwYfMQ z>adjG9_oZT{>xNa?GuWscB3o#n$%PtGQC2H4>4I#yI*jBwdZoXtD$X#`NUhHQVbtWYwp&6+s`FY@G<0GdMQ6RY-#^%4#~Z(9 z$5#*s1_8Swok&UXO2l=7y10qec?V7>NUpp_Q!t5Iy#tRxFRa%%qUiGmy!Cgvzad<3qa5&BCs+FfR&ULG$V76 z3Vr%WX!TwGHEG2`eZvpN#x^s&7O6mXbShKsFYvA@RL?bTL2H{(vz3^Xug#;x$J;zU zoN#ztd``{dd7OJbwGr0;pFQ9I^Zw2K?*I~I8opz(i<%06=uJw%2nas;=j-+(9rCR9w{nQ|tPFj&BzF)hC#@ApF_CvwX@M{Sl!Z?B zM{o-1j1e2K8=SlKzVY(hopAB`Ur9U_Qp9&ET6V;8i&%9|Dhb}HsOg=W!jfIR?z%Am zmNMnQ4sLoFufS$BD#fp{+*}4xx^m=$_6GVFaXne{pF1d9s@~!Ne?F6@?%Zy@{1(C3 zYHkO2&N$tnu{_w9%L8n7WQpe>^N-48ZZV41EU@9eg-K_aU{=9CjV-*0$hs*L%Fu+# z2@)wFj_zEEsqllvoeKa52~O}LyNrgt+3^(-(0-Z--QiLs<_5!E<1218O`3#corUXo z87^u{WG^U_mEX>I7J)NN0D+?r1lA$}{1!vtkK_Ffzm}vs$Jf&S>i_-n^QXW6@Z;Zp z9-FiX8Cq6j=yE85$`Xcxp+tiE+AZ)Bav^pR%Tcp8l2NBfCB~`&#WO;>EodbmYq3Ll zPvFfl3S<%OOHIxkTu%pD{d)qjY!l~xebC~ri)0o!(VCQ@ikPxA`89NvIjBodeSn!P z!h;=6F@sU0)92MG*cctH?qx5yRJL^^leW102TdhIEOUf~?e*}^Hh>b#hW(yVUr~8~ zHxIA{DoWl3np(G{q0N%C0O4JJ8#*INYk_#r00TiMHQsI4sM&VIInow-z+eQNg%fSa zq(|*^+mLBgm)lGM`MMFZZFhN-2J(K=0;QFcUUt$09?S6aO`ry#ljnO1eFOkV>NI;W z((6P~BNeaLg5l2$&7=om{Q^Vtc^k}Nmt{V!a@n=1g*$^`~y>UgT>`G zrlOp8!ej#~4Bt`UQv&x$|`9^D&;R{`X>S&!2Bu3W*m z-|q4DTLC`PWbk@4c{IwmCF`e=J%~=|sr|ZL*2y-V&SQzSFEF3#k&o1%y}9WsO^QvU zD!l8RQ_U^gPMJC7)JBAP`>t zsw?y|U$Odl-W_*A0Yi&uN`DLtA zDLs;od;YeVP_?6DC5~5Po*Mk9CzDmewk#sjy?3iTsGPJmzSZr60PpLs<2Z^sZ zP{-DJ2w87$BIAnPgT{sykdhx=gmkNKfBVZ1zmSe4EkjmgWzFF-)U{9--A~$y^8;pG zkIUZ<&yg&JAV@V4;C7dZs$_tRP39MWAK3yY`~k7;N;{7^oZ0XbaNKs2Rd-`ib65>P zq>flpq7sIJQ#zhc(WP@PRspG%Bh~H8IfMgN~LwlX@b|-$~`1l5RwAd^&HVdN65+dV0 z%KT09l}x^01esVCxCc5ErCZF74R_y{$@m> zbhsOoI9GA>c(?tCL-y7C^n3hjqRwqAwxZ`MMAD$gEun|l~`5N(H{ zg2kU6ZBmW1&GadPYmRX%0~o6T2xkT9|0$~HFV*Z`YxdPvWBWF=EU+vp*9Azla+Ii& zY0yiy+VFuXwde^KB$R%iZnYk_deRozzSUMcygq?=^U?O(iFfh#1tA9BbibT(m%%Sp1%A{^VU0EZj{dOG#!=DU1`L@1 z{0yxkKLS1-RdVc64b7S+lQDE!Ka*urzC-+4MwyRXysP;$JYP@DSJMhzw=D3~MX4z| zqjl;K6#Isb7@|-B{Vx+A_6Lp8KuOJ3g=eFnwNN!PgN9I@@H zu^1iLl~9!hwqCi76%_gyzg`u-f&12U?eR-Ke7MXyY-||`Zpdi#)zkv@Owj6ZDs+)@ zs06stw>9XkVyWfS4NBa3n%H%+O4f$ar+n@8;1i3(f5g)+;AvaH0^A~}M>lQXXJY1GY!xwvBw8mvF_ADNQQhsc{>p>u z6hB@%6$@TA~ z%JHOSH;c@`WH7raZ?nLq-k=tLIr8hc&}l*kM>{v^x82~uy0#wqYweK6 z0v50pm~3G)8Q&Nm2RdQ`#KI|Tcm~8SGzk{lIQBiP`z)~4d0So!Y?{G-`22VQi^wY* z1p8)5)WJ~J2$q-f+t@uZQL&c;h+?%K5$()a`5e>ki>0S-3%<>&Lx>-CfzsH*LbOP8V<{mw_V8YW$AG16z{{rP7T zuYI@rMaHZzUfaaaPTUsT6#YD9 zFq+jhsc?WAMdwr#uPys_=!5D<>9yA=z1|p^f{mr>?zHPjQ>L5p zwEx*jU)`ykynU{awr@|kRK{s56JD%R|B`3}&|{J}%!P*NtWN~c3Im!n=%whJF2I8H znj3|fLLl7{!1RyQHk81()3~cQPf|2%OpNaiL&zV4F!Yco)<`nos~hOEm`O&+)1y}z z4HC2Ey5Dbaeo0OK=Nc9if!nCNC7XDrZyq=JsG4TJUZsf^{<>4)(QF@)$nr80Qg4{exmqHwev0!^rXR7TqBQm z>YDX0!Rxokq-OBN;#c1|`OF|7P^jd*8Q)+66e7a~GaT1E@;U(nLX}S|(d37u!qPzB zzb<#1eUh~&^fM3tY~P=S`N{&4iV3XhzBNh(plztZOu`Zp6Odr8cu{~CTD`^s>r%#Je967@EI7!NQtejq z*PZ6?obxE`@$~H)h+G>I-qr~`J8RH+W7{86NO)JCUIrEH$F<4CUA_PAn7|>aM6X7A zgXAgP+ugC>akX9$)iY?8QNYd@S5~WXNbj?VmX&B6=J59?M@I-wn8e&wEdC4uB^O7= zz&1F79Gn&!0MYEvT719uQ`X6#ZbrQP0ivjYw19^AS5N~RIQ}poeBS<=!F`OZL0^e! z!Djun#%AER-_Ii^EzP?TTuY)LufGl0X+)`&&)yVQswX3Xysz31_* zM3T(rS`{I+xd5VSu6=t@@q|#-`IM%x(jRRd!}qQY^gR!4?YN5g*)i0^_&5#9_+R($ zyUHW!Ngv25>eNFcE{>2x2QeYd=}1I-5-Wccojl9}&?dBBhX<~sq&0PpPCVlT#1D$u z>UcWo^41-$K)>5|YMU4SCU7U~jxT1tbsF)hD(uG>W%IWyjA+6d8ga%Zs`-`oo?&GZ z{$`)2*W>!*IH;4%y#l@O+&QfYNMbqED5GIJ(7TS_q_#yPEN9!VW!R%S!h39tJ!N;a zeWj~t_i3d#F=$rzKs5NkcHm)y=HvwS@}b8c>3LJN8DvNo_IVQ^k7#^}Bvf>=A~ugP zH&x))TV>C2nGcRciqxF%M=1bSZ31Gh9r5`A>e#lBGmrQLB!Jbq<;bb8UA44G{$SIh z=+G1>=|J=0Su*Vtcnf0(`KL_b^PYhiy{xJQB&b*95SVtrxxM^PtNHgQiO&+74tVKO z8F+1A`%*IXW4z0=HtZ*mBAw2T2VtQ{<@o({n`aN z8RzEYbhqMd(fuoGF_%#N)#t~q-*LcJ;KJ?m`a{6~{p!YV`P=(qE-3o5H{cqA>VsFsV^Pti<@01T<3~i zqejY#*;b6ju#%d4AZ^s_-Iop!S1oQxoqIbLD;7{hV%JeBkYbut!y9;jyMSf4MnbS? z;=}vZ9~f-`RsUY4HVvOo>HkYiyAkJ{oh(0GmK_s60#D#SP@=rdaOWg%PC3E z+SOD7{8cE%sO!z(@ECsxKAHO3@hQrG>N7rQGD9xwNGJ(j(hlLkgm?b!;z^CvnxmkkcP zVbj<|rd4Qyt356&10zyyDw`xAb`dYwDE2Ie0F9)clEII)c4NgJB#H&@vIw%i= zlQF)V0?}K#5`HEbv~bC!9FpnvWKkh@n)>$ zLbcgK0TdhP-6VKn_|yv>IYh82$bO%OGm3%?7Rc+}p{92ba)d*!~&is4(Z0b zw3Eo7?@xVBmuf#$Vz-G`^)3`+*6C2?mxf+l9t8QzyK#Ro_*#VS&?R%&g^w!Gooj@g z6&BTpP9h5^6Rs2M)j!*7b@K);Tt1WL=*{!rQb_&tut~CiO&4F>jgoaQJ?z@Khbn5* zq2f_K%s&qV)BFx@P9fVE?fHQn%uG^EGtHbQww?DM&j1kOl*R=pRX<~K^kZ9aXc25v z-hPxtJ=OI>6pv;f2#Fp#Lk&|(qRGt&lIexJXg^B8f{F+PC3Wg3T-+(Mx8F@171|!3 zdAyrxn#1ZFmB(xPu3w0hda{}p+pld_CBT6{dM=J@uF+pTrJsp18Q3YFtr?}NK+?9P zB1;AwKYEc67c4_7c&gVg@p0y-ujMJA>G$1@jG zI~vyeMLVpf8Sdhof-`*Jj5`uwIv#_kwECZxp|~6 z*gsx>Cxe7nu{EgU^anUJBDHxajw-YMxn&oxS4^XlESLjLjtcp0ijys(ryI~kGobZY zGsnX=1l&#|0cKs3=59eaKPOZ|Q5|1?;N+();R)(;O}d#diQ*KBL0es~`2 z1EN0NFXG*EfWgvAIhT{#a3>VGE6MuYuI!7rbUp5M`G1sr`;vFE1zr8KSJ|A$Zu~!f z1>!?Q<8KVHD{44dh$(ScFQy6_@zhFR_br6sfHvmMytGfMh@BLXTuEF+*{1~$z;a>+-JwXf<|xIm%mT)p-Ka;Vkn@K$;` z(8=?9T}-lCY&^^a6ni2F>DhZZ3!hbt8xU-8lxxG^s_!c=WsOM;frDav_XYfv^R13% zALASW0f-A;80N1}v3;d*CuBLrjb%YJ@)+wDYU4)UAEbFoVlbxC8(nv66vySLTFq>Z z8N5;n)%)tiL2~(FO2!Ct@;gM3rB8$T;ZB~^VK}1<3FUC*Eesb*!v1pDyMLz*T=}Ip zg)Am6vmUA11iiJ{sh4%_w56kC5M23e_T>!<>EkGoyoM?Q2)h$tyjRO-d`9kI&9f|h zrro#xt+^b@V5%`l=rAmqHnhafpN>GKPW|-rIyH8=SYlwmgT(Z#?qSS`XDQ(mDdg{M z-yaB5L+YDmNr?9O_Uu^)QSf?lYz?=bkHhoY`@i}4k?alC@=tDWn(4T2;I}@5`s@%pfZ7XdFelXM+XNK?8i3rv1$F z?;Ai^xKNui$@K_Ur_pgyLk1F6cx+mwe)qk8=F5sA zR$P|5Efu=bsnj%dbZELfZ5_Y9m^XjMU(GoHy9?kM{q5b@!7qK?Pc5O7 zLXKXEUeV448@Qi>eOTf}GpH!KuOT0-4{Y9s_eLY)um+;o?DI2+Mi?*AxYG(?i(nzR108Y0E~*-ha;`o}i6psNI0ZVKQ$^*=oB( zT10_u;!5D@2lco!YA&6~OzS^!mjHF3#uYO}?dm%SS_1KqCI{+eEL6hR_?^^o@Rv-t z4hV|e*B{xOuzLnWU5K;ZTBvt|zXS8UC0)zdkI~9=Sm}|7Ln*gWIl|cHfH$)Cq_gJ2 zA;OzgiQ4bM27#@;E6BUYZHTLn6%<{goJ?f{@c~iLx{@3zB7a>SK_b^`7Q)f+0OWrc zMa)b0K#G3+c_)!5`MC;quW4q8CtUZ4U`eU|-4_w}UFX#++Y?U9=YFxC0ZEbG1opmY*OWZFAxqIBkE;rlN{Ircn$YnezXVXy2t z*5n*g{hF<`p=*X7r)9!-&tJ9668&oB4U-OAiUTdpVnBU(Aflr;dTU2OyN^vZ&_a_Ms@LpcK965PLI41>_e2Ho9iP~+Jc=bs!hZ;Oa zoa1~CpdR6BA~uO9v6i4Kc(NgwbBOUX-u~UNV-q#2nQJ7-X=3N^|e+hE+S`j$28}XI^JMT(S07m!V2KY4*lxZ zFG8v=1!Jx-_hW>7&Mn2S!s^<<>fxm3I(5ZV$m%5g%0cP>)gq-cros(^ZCw=Rsp7r- zr8wclx5K@m@8PK@@~va=oUZpB4ISNIc}0gAqq=pX!X1;ucGoE(ic*DP_ z_}aEa`^(}Z&R#u5ZJ4@(%Ucpse8u)(P3qzLk=8nr+@bIpHS0kB`{I@_t)%5P-Ds+9 zKx+>A`f1A7GH4yz?@gu(inBy;<8jnhO+eYz1B(7}TXEb)xcrEdsX$}prUt3*sL<#x zPPnVO^*VWJ#0_sMRK`k}=JL;2Vwk#uySB}~ihR}fwA8Ul`_46Tl2^6H?^o`R&VbW# zUJberUXcu)*ETH097riAc%!~s;yBRBduIf^Q?yRm|B3TfqpO1a&*`jUs)G^sS}3-d zfc(i2Hie@~!n6M3HD(wNvvdsvwiax5Wd-*78|a_CNy=>K=q1^FZ!BVQR^1?ey{D(3 z@ykum%3h~poDz$QkwMf9hRW)C8{bfXta^B4TId@SysQb72?o}7*@VLl)kt!YiNbfI zrdYORVqK^|9`+u;Q{&v0vPX-RHMRX>EWlV8xOL|=W+-tx) z-3u54FXSgG_SSISllUp42j@UmCC>4-8?sFBKcoxLm9KdfzlA{YHVG%jaSIc|I}OBB zDz_`*U0iF2Rw?5AJ-rrmx~@(~kA@-U z?WmUxKBumU8#ux8DJ9bh1TPK!?S@WMm*WRjj3w67r)78_$qgMlb2vMSn;<$Sw1fd;~$pB#alL9;J%}O(}c4eJfkf+MN*RHS~ z#A-yo9GP`Z%IFS62OP0N~jVwD`R`}#g zota_HpB1k3rf({kJPqSTb>8@#{)H~G6D5`jY9O~53yxUYjYW^fTHgw%;e^V}fXg8}ZfAf3nk z1a{uG2ch4n19}V}WJto4GqPaS0An+Fuqgx2X7C&>*vvGh2(GCfB zSb9-Eu{y5ht|~G+Fy&AYUMFTi4 zEqs$oas>8tSeDoS*#q`dNQGFjbT>^atOC@ILJ8sJ!p{LK_f2J7Wd-TM)p}^Ild7Ik zf7ebz6Kq=$8<@lcrCFHyn)^>okRO8BlAY5O#vMvXX4E>WG2sWqB~Q^&p^FCJ zGSLo2B7THrpIuO1)>dRS^&m{W0_!Tq`K;j2PpJjow!CM~YxOyXby_Bl*I;kdI;Q&Z z&_TD85eeorc(_{p!E*X)Np%gw<(hlMR0lm_F?fGwqk{>yPwIrrC&U;>>u_l&`HltG zZIC(dsHE`+U{myR+6!*w;kEkHSE`LGxi41F9cTm0&lsH(_|U%D0Y` z)%J5MTyLQTpoyNq{${mlC;Z@D96rK*c5<7LmBKLtS(oF1N3B8JnQp=q8`}?b4T&^l zdSkfR$(hJoCMmQVn-@c|{O5F{^(B-BdNKHAFq(`lHNJP(pem*L0x`i?bmruu0f{Yzy zY@Qax8w^;2LFePy$N-HGs(mcaQWo(Ryi)QPXC%2VU_$&|9rPHIlMYBrCPjBMWQac= zabwMsH1ik?ODu~O4v6{~FF&w1f4QHHEj*J!KUpgcE+FL-#2kU69Jd<+6V^PrKR&QQ z2Ibl1^T!*4j*PBhGSh2AW+4?AfN29q5fN-EoZjW1V?yG8kP5GwJ>Yh0oP01TE0-;E z9UC}T*Hw4-&v}pxx2VI9DT=t4^j38sW=tDOmIIh_MUjMWMr`*|oi6R$-U2wbk@Jub z=d0H#+z;X5dW07rwY#uPJiqm(Rf`@w&J;tw2WW^Qi8`^0&=(}xrPh8~7(i0Sd|`<@ zcX@*ilkle%SaZAsjD0l7Y;aRbw)}f7((bUI;aFohU31@U1cHA6xXB zs_=za@d`rh(~PX5zGYoirj1=s_cGTJ;IVi3eRj8DqjjQe-~9cp`|&qqi)O%rFbtLj zR?-&aT;N3A6;#F`iHQ-9K`5useC>wBEq`h@%Oyr^aQj)bE01fHto$M@8nV~)_Z@wv_ix~lxe=N_H!nM$ce`2upQophAjTgVmLK)5 z_gTwiRM89r9|y)nA#4VTUq{_tJOHQkn{d#Tr+a=M7|Etv(E+P=kzd|sig7(QxqVEE^)AefeO%tPsPFA9Jg@id&ENm4 z!$;Ll_{Z#EVN`dKvC-+&ypr!(TprLq#k@Xt0Fa1A=(K!YwqtPge zh*Pn4YE4erP?BBD>lYAhMxuA=6ZZ#utr@ocnH4BegX{#t=Gl-h!|ynx z(JmwCF5<#Oe^|ughVD-}PPa_}M)>S8FyvbjtWio$syU)o6$rL~Pfz)WJ=K4)q8z^H z0K$^uElA2=g?Z*t~7;KR`z5w zWCbbaQ#s02tN(!if36qfs|P#D$I85v12JSR4Z%jI_ zsHol@9`;B5y*^$96^~!Ifo785zhHdetU46teW@k<;-s|=8Y-#voJKf?IDH}%l`(5M z8x49`9O*cefO-Q~CgYs%3;?i^H{?_Ftk87!77pYgKo<{e!?@h`JCCx9-Mlh#$@$TQ z-9~xf#vSV|GzqGN;x9H?QXq3w@g>6fhhdahb%_DcvEJ%sp!3_X!AAAblAiIIeGn>~ zMGVYQ9%RX@(H4RM2|{OG!-{tdt@s*n%UfLGI|oAA7KdpiFLe5TB%J%?RUvId1%X3O!x*YQm`98_ z_cDLsF{?f%(Ry0F-@{VRaYzbWBeD$Qq*#6Rn0cVQnK;raB`Pq2fLz!6YN#-i@vQ0k53;R0c$^r zF~+He0K(YY5Y#pqcaK~Zd~UZEDUV-~pRm^{Wei0o@l&qft|d49byh62JGc;~&p;br zU{9fViHWlY4=Sm7uja-DN~}^6irfwRM>t0B3F8#{=@z$+xLkLqo--lP=xexR*jLjw~Rq>SMBsMAVC;HHA5?C=Eil&+tM{?R9-q?4>)FJ8#&3-yRJ|oE&ORejUmH>BUrG-zH z*_su)-ghwPjzWYE%0_5arm2Pctgaq(j6;00=OmPf4UL-__0LKuCi}`o=%gF`8re?WkM0JMI8Ds(&cIvy`sH_>mE1Kx<24gRFv$F|J z)Y*3xdPOSY+8y{r6)0di9K9&J(rJ1iaDGc*<_WU;0VXSJiz^;dYbrBQvsZHga!$7O z4m>%KowRp6q)_7Rc0wfZQ{_}6Iob;beHabvB2{=Th6cQBf=;A}aX0Lnk`eVtol~-u zfuK|$uKP1RLTru&fgL_~{7O5^;44eN{(#5aILy1(XDHQBh6Gs#J~G<*X$0&9R3-Sq ze{RG_Ur2RQ^xTv*-z`rwCyHj~o10r@_&qoa$e`#Nou?R6kyFT+Ab}shlSP^Dqk3K7 zpo^vr+xkHmK8N+vsQ>O`WnM>1iWKKoq5?MMl(thA$SaM(4_RQRN&&jivTrCvDCbH@5ESrsvBls7 z8?1c&>-rpnt4yi=;krL|6Xp)NiU=9JPng?}lb@Bc(!KR;L60KVnmY+S%r zLTHQEew;p~^YkC2A+#j2`oRg-)dnjPFyu5Bdj1>+$GN6Jm-!G2)61kLz-AoAT&4lydz9ceOzS zP+2O?pJJyAzSRr3c36}Isa{m>}&|3iKOwl5RQ%fiX_N}D( z(iHH_x(v8Q14#-8j>;613Q+A+5S_&dsz6wT=$u}siw*5p=JD}(nNC*)^8d@#xKYSu zMBebhkZj$OEf^RW6Dll%quMjPmSg)`L$JU?mO#Lon~%yBDiYQp8m%JGYI5fYp~B9C zS}CFN%vOyiZk!UKwcBdAEb8uarKXnvZu~h$tDLLc2r_R{{<_(AS{Fj;QzMyC8@RSv<3*ThsYpAGM>B>lMlrz@Ndk(1-4!zSm z;~K1%Y$-Y#2v#!NK60Rr9?M}+4Y?ayo4LeB&Srdz)5O$kRgQB%L zjVtim4y3pfSf9MR$&TSsfgk)@SLy%4(PF$*#{*X1Bl=s>S*k&J&6X~H=%$l>Jbx7cUx;?S~8)s75+zmCTT4nELU^X+2z#5OV1RTEq;ZDQbWW z5DZ6pkCFm`#SY0lv_ZKo)nl73c~&$PEvhW`VP|{IL`L zT67;khm)XDHgyaq>8D;+<=gI^n+Te)ZUk{H-l=|{^XG*^Y;J9GfY7uxEnE`(pp_^% z)!3k}Z%hDUu2_upnFWLh4K*H=+Eaq|Y;d#qzwW0KeoMfF)?(0_6jlG#cow%3%3`JU z)Ank@fe}`ZwDvnGmv)joGab0KO@yO zhAUdA>erL=AHZCG?LB8dYplYRg1uBuv%5Q8KvQ|W$eV$+=>kwMlK#snn z-sMX0WAwxTkG}!N`%aj!;R=+p2Ls{7_Uz6DPa-se8EgwTk;xr~WF1CQ@-;twpB18!!c#ZGOk&EZt1(CbZBFXzPO*)bnE0(|=NK0rSZ3LY@i0omnR|sM z(FV1tR|Eg~a{>j@A^J7{b-S_#ZVgB8|3s4Fs+2~;#35{$txC`a<*3Cg5%f!DtMIVl zHH#-`)A7PjDxKm3?Jr{;N=lgsl-Y2RNSnXeCG(7gB3`0JK7zh0JajHZF3Q{7c$fo= z;{>Dy$>l60j~`x}N*I|*7H%&ynKk4gjOwi5WmXNfwVP4YIh5@)4%|NK7=iX3(Pr`H zrz?7N(vcnJY=XPPuORW|cV^VFw6y!qAr(WGkXF>JunHXRBPwV;m{UKA0&1U_;n`U> zHr=8dMw@%%sGJeGxei&~4}WX*moGxdH6tGJdB@>)_L!xLHkxeD;91K@qZBKb7XHx) zMpg26H$Le&iu?BQy>T7DHqg~u-WMMCr~5~q#L~@B4>Lf8*WqsqLVpQqb;Svya<;UN z%@HSBo9MO(QcjvNQcfnxxw}=z`cyq2I$vtGH%7$$L|;5=1?B6|4Mt}FT5EvT@!r)tg5k72t0Ca>2s^7gW zT8!?fKY;F@^8#&dsRMy^U_)2y>c zP3U>GQ4TKQw0^6{2sz?*6p;!43Iq#Zv*^F$T@MU5NAdO3+NKOx&Dw9pFf8yg~#gNg>Y!c6>d8-+<}xdT{w^ zAm?(6bM=bMUQV9|Amz@+YlJ_9cBSd@8wq^LpUdj`Z(0x_IjX3`=Ag5q=UXu45`>VU z1XJ*Vs@?b)N<%OL`N?BrIKPxMWEVCX*IQPFR;jlTVnm>?s8jH3xt78hn|dP1>P_wh zEiP!UH4>>&SqKiC*i_lI?EcX>&mu17$Uxay+AV7$GbY6ltDvrCDbGqK6_S9`i{qzZ zKdj|`#!JM>Omz)`25iz2grJiIBSd0vOkVNfbax#Wwy;LjKc4P4R9eKRQ8Vqqb4OD7 ztI+Xk$w`R0pOxNs-jgPsWDmT;4PR;86MkKl4AW&F|LxhNG~I5QMC01d4qCip`%hz` zRpXL3d80|&$Noiq8NlIa%&qPzwVtg5QaAc`eb#y!>X5sI1$vOlHa=-rM_gB?0E3jo z*xjTV3~gPxw!)*@V;CRQ-JP|LOg=)Ja_YSI((Q0<)8cIN?Pu)h_LV$CDbYhJoAy#v zYz2{oN=&gksuX&%{(EDwa#VLk?+D2=8*uw~Gr9VlCIon&EvQ^gt57^Oum>I7oELn2 zmGtOO1DvZrWk04SrJ!fwR~lDUiDBH80>^sv+Zn2Q4LjYBvQGSa$Xkz*%Y4}#=mtLj zzRt_4qYwf0E%X?CbAH1^tw=ZZ%Jcy7i=B8d zrYLF(A^6XiM(1*X$D$l2{@fV$*?VO>FypvT6X}%68?_Jr8;fjnqdd&`rWNvD*b8Q? zxy@ZT?_-+K&Nb)x4=!cEi9Pf!FAMkI(K!KJrc{K!|2kI;K=}``XSl_imT;zt4w`xN zQ^)DWZ5+qdwWpW6-uu){$=X>CEt7wSS2?9!+kKYb5VSsL-UGdeeks)89@R@?Et`+H znx)%HpW5}&1OMFh1dCHmxNv##7zbh2L05BVo<=tX>7T`K({)2MxP* zd5-wW3didA)5gN+C3Ov|-F-?=B9Ucca(6|Gcr-y$d9bk3A7XQpW>V&ouSxCkcj(UT zp_NirVH0?Ny|wmekVg@0(D?{k9WrvDj}b7p3f+O89)fOY-LI`UkS^)Mx~}z=OR8!n zL45*JJ4UD$g%!wx2<0|nhQ53_m>%q&W7LB#c^egf?A_2grCEb!`epJ^f|^&g{3aa) z_kZA1br=Sx$$@_#-^tyjjsb1;YR8Gr;w!N=0$yvnlz;Kct{Tf31X6DU~R z`ULv&gD`dgn<__cMVz|x@$m*zc|jmMXd_vMXUlryLrPmkS+wq}9%g>|%tYSI+ViT8 zNnalSgw`Fg&*9MjsqNYbv9}?Sg-M~N`9eV%dDeC!?R>HO&sBdlTkJj{Lx*=C z37B#x+vc_Ay!>`${8Ize07!tzT-#8cuQIPEF=qFE>Y!(~YUT^5MV~jD_({TgI!+L3 zH9xt_-)LWDr;;Igcc7uCdW&a7GM6N(U0kwIOASM=<_P>unj!eHY^Q72@!OeV&xPrFOQuq;K)@VitCVaQMvW> z^NY5K-u>Jf`%N=5{7&!v?jyb}IrzU6J@-`!F!vm5dPvX0541_jD7FV z0=>PyFJ~@FusDng56KY)6(+iMP+XPaPXvtS4q@_DN|6KQ=+sI=_|p(VMpzWyH7UdV zPZ#b%1md!p%Ye6-ZvG@I$BNy%r~5cBQi9C(iMti;kACh)yxv0-_o-zCB3k=KC`Df? zbTXj|pvv~n;8h&1-Xgev2|keW73yTuS%x`uJUce9xEe{e^Zis0p36jdUgRD$lAAGv zbVS_>;|?n{C9HTsbFA|7nY0pqTIHz}dNTgyqEWl|Yw7NAI)5&WSZ#Ue%4Kc)h0`cg zOg#wNk8A4#cJE_pr$mGd`X@@=1q6Z^U@!#sZARiE6wbT#-Ut{xlEO<^J_I{@wLX1q zxDZ!y4NT$1$c#A)WQtnC6i@VYW3+zo+QWcrkfQZ%-Zir=62m3 z6k}j|yc>`pX=rg_LlQTP;xAa7jl0hu>uZP9sOP7SZtucN^c8aiYseRZM}Qs8%kgkj zy^yu0g1S0uFZEF3&Qg_RuZ%I{hp-7Xj?byMj$-OX?z`*0|0fB5;?HUG-*qhvap{fS z7-~o4pSsS!wmLHbo7(`7kbXV-?%b)w45rTi@VFX>SPAa7zgbtch(l5dkp=d6K~oIN zXbvshtvHU8D%&#D9JQR}C!WaZBZnao;%x=b+6Xt6iW@ZzVMz=A<*K%rd!<;=IwYXF zSqqa@GdAz)q7l(gvIjv)aNTztKA6rhX^{3Q8~96xE^1&fdlg62!H7UueI)W* zwiJ83y9|wENODG7v+HTum|#nPa((5}7u5FLCv$zeg>s4$iG`6F4P;1Gea^f8D^>69 znfI7DnwQ=C(xWVkhkNNJkYJBvyH-I&xnn3(Yjx0ZZz*&H`Je-2wN9T&Hv zQ$U>hPatWL26iTNqgN?b=B47jwFXCn0jp)9x+~=hR0+)f zSTSS1JX9bXZ{pn8tRI(EUBmgvTT&PMsMy<{H!PwVgIIg<+!bvVC)oT^`rQ4~FiR1O zahP+AZ`&;n!IF%iDc|ZJ<5K8b;Wluy>xOw%9_i^@j6TOGDp!$835IH!lWzYvtr{Y5 z4tc<>SRZZ%eMM{w%-zdfH9~iBN0$X-&2smRr3+Bu;G>JM! zdT!c!InjE<<FX2Iw!yS#gSAgtXI z;5^6N$4;n=ph}c|_PPOV)Ek_91%9YA2Qr*I()Dqf=}Ne?mPzfQ-PXwi%lwF3Qsi;f zLKxhqk`Kr_yUq*mV?j6)aenDScS_cowr9O}y8DD^u8(S&j#rCZ#Oaz5&Umr^GDeC2 zWrdHr9>3Hu{>!p4rbhWvOIMuWfPN%ghz(|=Ab5n!Wc5t;HXZyF@}Vx?A+K_n5z#O^ zr?7!udjjsYqDHJ^KNvFEh;5HaX$8=lM$ZAjGdYcxUQaLwx!9xbjn{F;;GL zKGAvSsiUjKnWhP5*F$NBs2_Bp7oJM-@#zxw-8q`9C09~TX&))8l#g){5QjD+(_2{nUKtuRH*I_TA0Qm$D-Ml)Y?`) zA1q4km#g#)ZrexBZdG)n%%&HDAvF&&2cOJ_ASUB;$E&$6PR?W|+&w*S8e%PiQhaFU zC$cY+AiApykrF%g+yoWKXvs7O_C6x8G$y`UN*xY6y34L!26o@)fJqU~VrJM-CUTIe zEh0J(-M`0$6PC<}c~v-t>%xgGDepO%()NX-2L`@?1QumPF;J=;mnSCV!W=wEo)Uyh z^<%dTn4x{VRCPSiiRF7@{?j5Oa{aGUuUp#Z#>p!{+XYTV7dGrppAT&#U2B7q z65@<=b6q>i%FdSBpcZqxNRK6kaf8Gy64*8t%hpSpM5M`Tp|$+zm4CM>jq_H2|3ayd zUJj5KT&Amotkwmg-NZ%12P221az1I%2sca4n(~fcZP%KxwxVndG@{oSa*)|h3Ole{ zY&u-z7wINdo0J^o>E0cW84ntLLxEsnOk=%hr z0!NXi>};|wsK{f{AzT1_9l4?ucb+TEom70@t=%-itjW+r2EBmhsRzwA6Xl^!>IM$9 z{(!b_oJ9Q|#!5b2;Wj-^^5_Jem&%-*YU~uM9Tkl-?K10j+`4NDCj}d>KXKYyHlare zzJLG|QJ8*Qa@C-HrY{QEyZZPMj&C~Zl0Bo#5*nCaBoTmE>*CG4s$%zZb;<21@L_+&5vNd~_6fw%5~fqeFh zNO$Sq8#!afY4TP0qg|3Lia?mLQMO4z|3g&5AZ-j{q`MdE{D-!lj>#ej+^D3V`>(#T zhT#TH!)nfcpLGdMV7tv4>5e6K?Od6y=FDBvzqc!Susfu>VUr^)UzndQFhgXPoqR=8 zJa84!6B&JkPo3^|NsmZj3gh1X6K|VQiSR#s(N}ukt`Ng_uJl{V8li@C=cyqB=uDDW zZq2Yb3N(!;E9-~mzNM%D@Q-KGNi-w{Zdg3{bMRm!Q6wg)Nh~%^P_r4#lKj)ib!#G8 zO?j?RgwIB79xM`BRZ)>GSJf9Y+8Hf z%P5QQiykOxm4XiThp>B$(yd&?Ee5h*Q7R~V#5Bz5yV_pc-DarJUytTd`u+h%o}rol z8vF6TAbrjM-N0J3nNQ?zXR`L*bCd0MVQ4MQ86*4;jG>;;|8{~eZj?#-bs_6VNqNCf2_V3QnA`DgmlsM4Fiik(A z%yLD`5~?41v;yUW;F%W=%)W&}ad&(MIOj z3?W`eC@lffW&{a77T%@SZKxLFRD-hqAS`^tTnsRE4HO`h&6hO2V z76@ziq1+VArHd_f58SUx;pyh41g}^iQx5j^qz%HZ34=bbXzYp})^ywOo)3;Oe%xB^ zT(J8_*LY*S;gdSs72LZypfso8Ww?A)*FowdsCE-7uBTNScxauHbjzF~PC7lx#d)@K zTKMWlx>E(2_!X=cxkdZuc|p9N%@N0ml#^4rjh2;s2*f_Z3FSW=telmi;Q{Sn|BfY) z;YA~h*p%P@{kA-j#zq^zGoG3pm{zj_yik$L+>Pc=K6`-!o1yi;!yvYpUODbN$5BiO zdoa*COJN@#7Ju*ml!E6y+Zjd>js&DOww-&{dTf0?Yqs&b;q!a9S5|C^MAVG)wO7a? z>HX^aGdw|&e_;W{q2t>52x-9Rm^A1xCz%nc~LLAB~M&Pf3{71`S zN5%_jJn^uycYHZU{rN%?BfT#76p4fId(6=cv`hwYF+5 zsDKElDEAo_E>LW$V`$=f()i+h%*e2tV^{ANAl$%@K&~u4an1f@{FQ(r^#&O;3mE#K zJE8`}xTr&?UNy!|bopZsq-xald9>L+H$>)YRTfag;78u4CxtKqzj`uT$ z5LNiJj7JM=)wl@X;0M(zoosEPB%-H3aFa-X$Z~G(LVf=SnteWJ<)6kMO*&7{(1xKk*MbMBbkkV&qoD zn8^2)j50DW4bU6#?WrT_mvgYz-&nH2p+1#t&-~RS$tb+#{YBTAM`^moF`dBl!n(_1 z&BEBM4qmyKSQ)qlGqdncA-jMJ#noSyxf`NEEpfBg=`GeQRnB*yEslyg{YzknK0x?$ zS^DK``e&L!hQXZltHe^Tc+Af?gkokpS_JsAatD#$ZhJITg-4rUQVauBdD;STTRqqR zBkY`^MC-yWTefZ6wr$(CZQHi}m(5$YZQHiHx|1H`B`?|eNjAQ-)|~N8O==8p9jddi zKblfj!RDAzY@Z~i)=WF6Q@0QK&Y3P;(YFb}tc|WP!m^`HndykLnXsK>J1d2-olROa zcnV-8R-@gakY-ND8>ziPanKc5DgKvhx#`0_&o=X1zcR70*811H22{p51h38k7`5Vl ziY^Vbb)N6?w+)Rv`Mu$H{#4llzDYig7??M;fbqGsUQzX!AeP+|z3Z~0b{q`|P_Ql##nyaBT{>t1 z8r_g~d)u6EioO!jgo0gKP1xbET{|cSYh`H$DCNyhm}l}&+J)MA25LJ03y<7lt&o`k z2-@VUf2Kf_O*O~*9Gn%at+=lPeZX=p#UF~3mk_-^^-3)&Z^_zQP0&(tI^Ub_PmRBC8L zj)=7jBC#KsDjr4qzvRfeL5g8-A|Rvjm9El{fPTecO8?CvlJu_JyIOL;Z`AVicvD{{ zyjgai)w2zwx|_W$tYzuA-bl{fYe}%P+vE^YDGLO7L7N~+<%YA#3b2h7IRhsx!mtRe zA6+a7yy-Xm_KZ2UtEFAC20SMCGU7Oh=^5b zWEFwZ4Zw$P2VqGEu3z6lCS#qWg+E)PlC{JvxITY0Ex$QBGM5N%dlc|c*!a~Micx@q zCvF{L=xn8*A^}_oj&;uIe*3l^1LbD3ie~DsAm}K3Km88Ls-Z#R+yqUN`l6~iv_uTw zeQLaYgzA4E!M}|>GQgsnthh9O6A{~HGk!upQy)#0SoIPbcSL$=1H6XFJi=CHgBUWRvp*;|_J5iyg|N%7AWulp^=@ioo> zs+tXenTj{70RTh=%cKVbigg|xc+Hng!U7`LKhch6kb1y97Vqn5GqAz~yoNC@OJ>qU zQ1_ZjDE0kz2Zz+8=)AmIpLvXk5emm+^3s+n8|Pi);Swkl%@`42I#fiao`C~fsjv!%fPT-ve(&##yZ&$Y z_CvI2>)ffsfkA9APN%Mx>1*$5{{$k3p?SjQ^&VOKFYL*ApnJPG=~lK_hvru9$oD>j z?nxUkco{Q^g>)hZ3ms+507>(X`+u20{v(H@PK1ZaO`KabTLxUA1^St@TyWSV>jS~R z=v)*(f>#+1?5hVE=Q#aOrJY4=4%oHrcIyJ@h=UHI`U)RG+;u&Z&XItq?eO6hH_95U zV?)ag5yT56uEJEy_}k_R8;$nZ_bd?LK>CXc{uMC2qEEcLk9V}@BQv<^g7N|J>f5s{ z95UxEcOlAXbdv!v(G4`s;(p>kuE`APHbW7(%^)!I#CHpg3%ZIWfRA`-SX=XrL++1- znz{3xp3tW$co|aHmw(es7lYU4f>%D?(pS#>CXS~Ar9@5VpF-##akAy|M#)~4gb%F{%iiv{c0EB*-@s*RCT*?gOl;S zJPCwGiytYrx?2_8EMbL1MysC4O>9Dud!Zg;%&go6@n?x^Wq=+nZRlOD8MosQNOiT- zRv^^Mum62Ez2BofetyqSr_XlWuJ7-ueDC*m{KjwXPy1J)eedUkJbwNLyZ;}jBYk%e zpy%si^Y`uJWxG5Y^_9V4U=#H<9)=*z3Fd3X+#6N5MzF|Up5g1@jisbwU6_j+@N&() z(gJx|hl`JA9gpf@MY`e8>)EPlvct2MldtH>h4x|yf!Gz%V(<~3J`A0=Tx^AEwBfWq zZxL}of2Xwp;?79zFz1%hI_vhZc}1>&+1S*!1#1mp*$BH#!9Y86M%XeE?1(fCP+@AO zVP(|NB(ZL8u5z{ZrXs6=@r{&fn*#);3Z@D)P)Rp&|_*)-OSCKB^CK zG;E0e%|Kbe^}g8v&n^Jddx0*w7X}8hoDdq-eDX(bPWkM`@N)F-^Y#$QTNkJ|mqs;D zdQ_#=M^%D%O9rPZmRKNEx^;U#2uP(3Y17hNfYR(OD_=0KHC>SLr@udYyP5!DwVNsx zCQKfBh?I&#dT5i;FIxzrmQJ}OfP7;}9dE|H23$-gmK6qj%234X!-uq*g{rtZ6-1J5?QifX zJLl7t6K_6M4qO30+0j_7Lk}s4%lUtQS73N8YUjp%u?xoqw?5JU2GH-|&_T^_+p+Fz z6P0G~G*iakEATA*EyIf1e4>N?O9vEvg~h=`SsehK%3-*ai0Z@ih!KS>p@}EjKm0S7 zq!$l)FTZYWWB0%3G5The8fI^e5de-y%qn=tvl<(5i=o+i-^JCmURfguOIP`fZ6K|a z(IvPg%ks=M?BgmjJr<9Bv7tTi{J4T!G_PXQeHH98> zIkxdEKP+Tq}Pryn6|WGep4HEt}Qsxc%Y z;>8e+?vyg)yKm-4n&@-k{3|8*AT`X>AIbXOqRbb^rwBd4&!~6yx`MBu>3!R>LI1#< zj5f83XiO4}PO(z+Mqec}EsW(Mym7e8ssKyXS6xUzT1dj*oQYhq_98kVk-0#bQOCo# z4cHO~Iu#l)*%zJM<{d-!X>O_Hnm{y&JNzMr4v?h?HLUC4Mr>^++&m}yf)=6nJ?M}| z@c$8jw%_$mgH1eMVuKK>jKN(*cv`qwJe4eUx0HNOhJvaIQ>9~yfMjr35<9z;>l>F& zl}ZJ%`o!t_PXb-{-Qo5^$s@k#8k2H*1{%h~CS1P}>;qt7NR0`$_P2T`FZq-c=_ef7 z5Td%I;5Vl>vGk>(p8}y>fuyR(m_jh=dbJ|5lzQo-ShDj z=y0O&D<7cq&u;aVVKTY!wrUfgAhPqj+MW_%^8H=bv!yZXbP2Sg<{Ayv>fnrXjx60? zZ;f|7?0BNIMnh5^w05<#?i|d-IU*Z30WcQ}3bj2IVSVmKs6grXaPc*Ju`4W$RPtd9 zdxh+0ZQ$9p2w(#fjC>*x(0Ot;ag!P*Z{P86CA^v~mk3``fpU0xN~Y+_w}q;hU3!Rm zy+0h%5B5P}gI<|IZu4f@WBS^fYCmua>J!S?o!sGeHi({<%RhHRb>@-N2XFBqN{ZKj z1xw1F^o_A4H`UVyIbEXjUc6ojH_w-_B2rAD2GewV0@}8_H4~F+%z|=C;6tHOI4<-fqI)08qLklx(RG zHh$D9QW0wg>Px5)SYVp&Oe2=Umv#q`NBww5o$ig>Gj}=^JNOm@JZ0SO*Y~d$R!=NF zi=lQl3-OT_c&8KN+b+FQC8%Mkx8sWNFy`O??SXu2Es!f|b*2_}dr#c5kx}eqMQKM1 z&(eb2Bywm4n-uF&XEyO_29eHzHaYa$u(PwR6Rre)qGZ^c5Re77$4*J(9KleX6F}zd z$pE7&abKioL0)I13fmxMNgPr%az8ev4Ik!Tv_J6tUk`)70wtSPtgthqpz?p_IR$(T z2?PVKkM*sUGOP!FIFe(J;(pUv=zm>%u5rKG%r%xw&3(wd4icY=Cd_sP^jJhLVN z_v}8h29b5J*oDSpbh7zSGmcj|GXxcv-nAWS;6RFQocHPimZypZvoGbhy4owKhtx;jMb@9*0&J+ZQh(wJdpreehC3TFZ#kEi3EtoBPV#m*iuu7rif@1dIIyX5Qb4`^(rNh8lKj~?(D;7wbjZfCx(PLTC%wUOm6 zt2KWg1QPKz_>sURA!yoIa-DGTf(K0nV?trR{g>qm?S~jkYLDpGSp6`4VcNw;RKqd(QTf+4 zgS}({Y-l4ZUJg5kaEy*-ejWr2XK}ie&6YUVIm-}8$)G@(paga!kH}u#Tmg{Whq!VK zWQ7mnu5?aZjZ9~Af-19l3>fSj9q1jnQZTcJp%drhJaGPA902xTtA}t*XRVTX1e#E1 zX>@ui45X1&E~wUqysu3(If}Rzyk}%)^^*Hzl0LUiHRRk6SMYc{^dm6^=FwggDu@ig zEnl|xm)}dtF!NmRwRR>cea;YH-Xazq$7_751C+bNKu7}#d>$q6?$5&@eMvgy-XBG| zP)?L@HjL*$mlJobl7sca5i5Fk#BGScxBl1(T~I~Kl-f!s&eHD)`mRb+{vYtO&xPcT zF?%C`Z{eJydMD#Dm5_OW4MM7QMOraqIU6*!me9whRZH!iPw`s|Ey9OG%?_V__i0lt z-VE~AV!Bd6CG0*RtsvReQX+sKQ!;xX^mmW~EH=5DDM{gC!EP`N%H{I?r8JZ$SpnDl zR4HGU02B&wKSq?@6uVB;aJB?)C~5tc91H}14~}tplxSJ!2*=upQ>ZKFZqqXT%a<96 z_-$Uy^!DHk&s)7 ze}LvE0}Mw91K4H~__Mnh>jy4b8JZ^{LK5H>o&h06XeoV0w|dB@$R&$o`(oK2hhKmK ziR>#R^a%@r-4Yzr8*+O6ObQ{Vj5Z^JD>K-tAB zWokyLrQL)SmQ#v4-enN7JpaJjQ!-ndFwC7|LS3J2%SGRt#3{Sjtbqq?9cjyLC8D8P zlz=3(?2_qD2nGtwHet%j$*|G6)A-jFzzkFPycwoeqzW2-8@?=2Nvp{ZzCRPj;wLvY z1pj(R0E;imQc=5JMNn`0>}rb$bex=VmBI)i4TeTrX))A}Arrf>;gm#0!ac$zT6joi zd*>iX%&`HaQsMYTanse5>2(Hn;sBtb#3X6bTM`V*9^b$B;bC{>(eehzTthI>p8fuks< z5}!RGn{|rqHR^7$5khul^IMkBSEgg0{Vp z1LGIQUv8j-1nFwQHv|uJL95O|3F20)5yQ~!eUEydkJo_>2Q$Y%vs=zJia+skXXhS0 z$jQXfY3S3AqP6rjl^Og}Qz}4h_y>!P;%_L$9Rj zg$-SFLRn~Ny4cnz8OsiA-MWF~0bxDH7xrJ3d0^XIz+NeE2pJ#c+f|)=?~fW0BrJ&v zcJOwg;7h*y;k>h@XtPQ2rO}j7P6vCxTIPFIp&d-H0EXL>> z?_v-d9gkp86UXDQ<8;BbO!DrpnoQt^!c24Y$DPpBSLNm=#rV#XN6!GT$$XS{Ir;4f zz%Mt~TrkfQ@5}Kgi=2itoF#SvV3q8*tRVaGa`xF6=S%lQ%o5%A8_t5Ua|{j5n&*ZN zPpnVHxAR-wlo28|MD?D1$?t|Z$W*=-4%WHHTgx1*)^%bh*xQtnLT z0MXUuX7lGCduBG-V%ztJRIHP?Yrqg(lQ1^bn3OTXEQ`%qashAx9HMq}SAysc;|SDW zIhl_Dl=s7wS6qAf;UXv~boZU$>0Ks#V&l6|tBnSv%Z<{if>0!g=8M*myBo0oa$r;;#kbDg6nxv*t8Y2KQ&#M;->u^Ix zGQE69Y87z^;xe|!-ZT9c3qfKAwi`B_+jMOIem-={g{Fl&Tmcu_k-sVRIb5Vr-_e8s;cq(D@EIAKNP3GBDiPQ?I@-tvE*PekA%f zX(IK+yd=O&-Gr!Ez-GsDkWPMHT5Bhe`{chF*utah^d}ieEF1n{_^|9mq{^Zl0gF8@ z_B({lgj`Y4!I~~oSIhl$L>#Qs4hm!OZZ?4~vIe$*o376KiDK3Wp{D)bP#MM_%+!7l&q{&4czv2+wNv&bLr3HO*3VF z7$GGuQECj=)-3c<1K1H#4EU*~K`P8RQP*1XhC{oaL%kb!#WC}04?k#Vf$j!U9uBgsxQ?OHIgqFR<19_LBb`&0Q4I-gMsfRgIT=C?nZEERofFmV#?U@|N&J;g0?uEzK! zx%@9$*oweQ?=Y81Jf3|74HL;OCleMtq%L^b8Kd#MyEPUL@Cw!LL|6?HHiYLlm)Q|P zju0p0%OOZQ1_^>i5_vA{YTXQ{tP1Y`(4x_&`}6S+JU09FW32`8Hf523yBx1ITL$AJ zOt}-OC`YB3M>Tr#Lml^qHRIB7`7PZ8&F#+}UQ&**)47vKSJRFkg$&{L4hi5ky!KtxwfcGaLK?Zc?@E82~E#5bq z((*#;2qYo4D@QU^&`L#W|9TdU7Wwb2Lk}p0m)ddpU8WYxm^|@*FPK`pwf2gsq~UY> z6JrCAAgeaXx%haf7nPjo_y(yos?7Sg-X2@~62aI22eDE_wrc zG$v#-GERqgk=rXEbiJ?wxlTuy8mZ%jvq>4b zO(Ya-K?=(cEHQ$)19n)6a5!B5KAuOSbtW(-xgAU9tH959k%9~}qpHPu$F1l*o>AC+NV(1tYyk-N=rZl6IOaW zvZWb>q0_~O%=wG^;qw0gs0A*e-gQi^7OoZ2a!^|L+C;iw4X*E>HTh$u9H(F|6Ni3X z8o4|0>iU&4R@3xlDfh){+73YKV8IB%f1icBIwPA=&Wdj|CqGZXdl&+ghTWIdjD8~GZN+LGj~EQ_Ivi(WUU@Bg0v z8Q=S}`d#k-)nc+PyZ)G+@Ben5o&Wo~nm-9#kt8#ii56=?TZ;f`m99mviV(vs=2C`= z9hx-9wxbQ_m^5}CxfLTs9=UKE!E|d$2(3$&CEDzQ!JVW=d^qs%cch*kFUP}lq8*=! zb@-t#ZZVeCt{@t^LR(Sv5brH4!nA5U-CMJ*H%U0kcrB6cMx(6$FJtlX|2Y;{`2S<^ z=TI>8rEM^*we~sPXkiaV8Y#|~TbN#SpELwAd}2GzSiEaf8RA%d)ldw zljBku^p`3pXhbH#s2~)K{hCHjK{(4`0hF67ImX^Q&Ad(Njc7Ze0x!IdZL|eh8e(xOj_4M2exCCYm#stY!&7zSZob z|2YWj%A9%+(#1crlCS>(nf@I0GoBYJaUyHDH2`%Z$AGC4LL=-HWO9y}vao++$uDGv zgHtoOgnrZ!nef>71kbPpg@$}umYw(yss8o%v+{Dc-UnXsxmxVT!)JMXkm1nOT`uecs0DF(zb3bgX1J3Sq6%Ic=N55WszdvSw?II!ldwswi;h93X z5o?QTyWJ$3ZFglWU=V%bn-yLC_HSfNlC1QktEX6qQ?^h5oOM@ne z4Ab;9{|VNYtPtS|C-!9>LfhMSF%o;@zfudBUo1T)h%;*a8yH@J6TE(%S6pc_R&+A#&Sh3g4)zpx;m& zSJLoSuDoh6x=6={TbZ6-y|G2e%2NzK4Kgm&Dh?GY!iwEQX&31iT)u>wLl z+Eg|%T!{pt8bXHsvPha)k=@nN@osu;ECCVoSoOD!+gy4`rfa~7O4 zRXDS-KP-5BnouhUpX)NN!x~lrVg#F*;GA^f*`#gq>KEqTZ3Gjq21YY#Q{p>nq}7&d2gz+hOgHW`%6T5G1?yBpfF8n zh4La&yG|5w(N&YWGfpx&ukWWerfuk%cdQhjyI)6v#$w1vcR?o)wlUa_!8&J)%-R#2 z7}EoaS2)hU>1NkJaV151zeMP^aLzTZqL3Dn5 zzvjZz3RP^if>U>3Wv;j<_PcX#0(|?{W9VBXwHe^HQzwy?2>_0DT&Ue%f+sb%Fh0P& z*_eh;IA9~+JLw-^Cg&3Xpkx>-Z#A$p!rBi^Q{fp@d~h6{ef|c4_o-XmKnhc`pGl?x zuvB$J$@JoUOfU}QnrOJZjJ4$D*=s3W?b$_@%3oEhqgDPnDKCVXvoJ6zPx*G^@K}cwU3YgqD-QE{_GQ_Hcen~^m9PD-jvoCK54;{Qi?+7o; zxnJSS*WSx;3Idl&#y$e&*{jSkgE`}xor{n%z;7q4E>uv-fdPt~>hhyiy)dJo=@N1` z`%e>AA{7&3TURn7yc`)mS*HGLkrY15w2#OZYkNZ%pzprW_ZhNU1K%pY3;s&k7?jMeP<7-(=I}IY`${8Tl;$8RN7mnsH?|y5YYG+J;@FQ}ieqmu zwTrQ%@Ome9Ulj4SW^(RUn!F+XPhbNbK|T$5A5i7PXLSZe!g~H;Pwk$v3&!u6`YzCr z#7R-HSVp5$AA9j+USKQJUT#~BxAT^>v@I|^V2b+2E1AyvLh_7=<)CXwSgatHr_$9{ zdRlBB2`hV)q#h=RUXH^)CnW|d*efDjTy4VU)5Qmbm?C>q3)q52lfSQ}?Sx=dUf5#j z0QDp>XQ0qVWT~0dvlzIg}( zB!Tr32O$8Kkmm&KV?YjMaPB!LqKc#@Jq!*q1bIiTbK8QtNIDekd@y{m|D3s$(3UJ1 zoRR5tl)d<`*9f0(8-w2Pu787sBUqB+DJf}>20#Ll!YiNhr(y-KQ#AGckCfTQpCv6% z`GA>}e~pUewfp77Kw0Ol%}J>kB@ zBZo}5K}gKxl7QDLXPx>u{O$wUe8YC|4mR)B^%DpCe0p(Ql7h^#+KS{N3D9lcwBIBR z5!<8&857Ap=JZJ;a-^jYdfUD8paCP1w0ZUR31ILmi76Be>Wf*#Uzww4yS?r5a4qD@ z#X0%xhI_N+w$ssunjsAYywk$Vy5e%9gl1{tqkz0{)TE&# z0E|x)YDalk%D|wfjTc`NYQ(qp zA`)k%jC+#EY{4BZbLAjVy>s16`M&simVsxKmsM1gN@mV^HEhLcHjk4*FmS`sq)mPP z-(TL7+95zH_{Fxk-K0pw60pM%Yn%bk+$4Ussa0a@io|nmKChM-7@m`Zk`e}qGnX_u zA$=MLFjNhh%=hZZXjV!RyY^j zy#_N=&}u*IW%_uks=9LszR)D7#9=aiR3}KzPrW%@cFd#;Clp~yp;Wx~LA@;zEG2+1}3dKcc!`R*wR8@qjB_c(WF)6vAm#W;f~-$eUY;^=z~X&QfMH= z>qi}sp+veb<{qFUd`Y>HDxCK29@ltrhygpuAX;?K>7SA>-z?nLE_TI_faR4&Sv_m- zFRsI#c3t?4;f_t27TZhW@P-$x9D7vXczgst|As6Q;N?sckdWX|5zaKjWsoQHNDZY; zNG~Ek0EoI}@>GtoO1nW?< z5K`s=w&{WDPILQ>^efm1mx%{aC;26knJ5WO0vg9cB4DAdiFQs=-8f3&dx7}qSj z1%O?sdIk1kmN=Wa)|Z+-X{j*tOqcw&Y%aKDP4?HP7vD72SklLDPKV>6YyL~lCg7Vf z!ru#S=u%G8LZ8g_mdA|2Oj!N7I}xGx^CM(3Uv$AA2fXyWS(>>`PmcK4S#vzVQ@={= zpw-zw3P_9?d@ssA@7py&onYnIEKA9?QOcyGF{4V+_$47!Hqyqm2a>1`S#Bm`_RT>8 zbp-EVL`=b|P2i(Kd_Jj~=ACYxrxqxwO27plJ=PQ!H;2i?#@WKh?7tKBl>dqmEuH@( zjck-9R;o~AC&&6y+LJy8T1qt;vjdfjR^$9J3F6$w+J7qrU_>G;tq>1V4f~>Sg-KI$v z#x}AoPOsMmAAuqlwAno7ZKK?mDjuv3sbvv@tgmj9?!7BhcRqQW4v8WlSaZEDcL@Nw zyl*|^F8SPyQw;=F&GZnaT%WMB75s-sdC}^r3V$FgjgK!q7kn;ha9#fZy&~}Iv~jQe z+8Fu1GX9R&i^4(D7A{~q(Foo=UkZ6?@0j~s_?oLY=)$PHBZ;_X7Ti}Gr20s!V4zIy zC6N9)L)QvMEy=kK;pxs<;V;4*#Kl7F?OAi#Qvz77&6N>lbL=YqLF6`^TVn0$Q9@p3 z{nGC&ySVHQLvn3mZ8H<>6nGJEXyNT*v7W(WM3DNQ(t#m@SBr4c+QIw3er}_C(T5Y! ztqU-944v&7yz(tLn7kD&ck#~-jaaUBNMlSStg0xLt!}_$ID3#vQd1ZM9o0CbQ&ISk z<_GKpEEAQJo%YZ+ADvV777huHhJS4ef2a9D*}n6ivbz~&o_eNuxx%gx^a4!Hys!X2{4H>@aJW2$<7wd@!&g*fK-PPmqpXl`o56 zzlq@B6hl29Q{+!r=m-zPzQN}d`BOSdRlpn=KaB~*qCZlx zgt1%?xUI+BH5AKC`M{15Y*cwnaR{3`UHKdWO;iZ-l+=YSN?QwRJ$}RuRl=Ol+wL`t zuAcM;P&SM?{ShyVRNJ+^(B?kgO_+Jmd*JSCzx-1NYAFWmFxjI@88Nt9w1|X^v?!uS zHN)1hdrlrL4a~#0Z9*;S6MBKD>jT=wUq>0$YU8b115M}RfI=I#ID<%xV&1HsxvCX| zc(p{o(dsRhzwKCkaywi}`-*KPg|3$ei$- za=y{+)YbIY4Fh&rbC1{Rfuy>bdWTeaJ>f`a|NClj&4fAV?$4b|(-?q*#>8G6qm?>w zC2eIGzwreUpYDZIIbXQ7z3_ZU?p-}Y-)Z6TEltd4NR$1X&dXLVzZ8f+@->zb$HG*( zSGG2nehc`a7_A8Ol*eqkO3jQO%|~Vd1eQ-#@v^o78Gyh=GWM?g#@q~X0XXhpZ*$Xn zWM9|$X8W3|ir#KezyJOuNM)C*`8)SdB;eI1-7&8i>kS;%tg6>`{cHz@sg8cY=_4|q z6M&_p^|SfBdd49{7oJms_w$EF%TJhhGcHyLNRKniq$HMIqIA)>3*PC0^Osuu?XWdn zJ+-EWm8pRJKIxT~m0(w7+DXAThvf-4@q95sySveeZR^brfJf>n#au%a9@q6Tg`c8luBh0Dgm99#cAn4x0{-xYWb@gZ? zK|#)(S}(>N@WCJVE=9KHTC2O@Y1o)qT>iIyHH1Elw!AQM@(+zd^r35yyf|1t$ zTuU%JY1PIaxt5i_svOz5?ni0%@;v$102I*{PR|dP{h;27!?a67!*%# zKtaaCes$glw{toiP!C1M9?%y@a#T_jaBHRqcyWp1Yy#@Zdxbl7iA#A+MQ#=aKFwf7 zecT=qcRLsbQi+6~o?^Y_P+&6|2(n6~EoUF_sw9ewNw6uKT{eT+gN~;c+$xM|7W5L_DIHhEQ{5#?Vbw3w+68YFX&c=YJ$#6P)eBXt? z@3?RJODT~ZTdo#|`mkoiO+z zEpMmtTrOws3jplKK{!OHzA};63P&emmQZ2xYfs%0>B11vOlrPaAWU&H9pko&&wDH% z0(v~y7GZJQf?E4(FqqY%O%NnofhVtlGHDDYa!L2^R_-4$+lec+EyXYduF0zH8IkK< zxf#w4UOGZEO0QfM++~{e99^^*)b_{kLP4QZfX1HPY=OSPww1gVTJOPotQ5R+zH_r; z5_mi=o3OM;`9D7X{(L<0`@cWV`v0E){(S#dnWHUk3=xtNRF$Z7BZrM z5bmyj+Yjt|g}>|n{tnysyiND|`h0v`KDcuGy?*EOf4{i%Tm5!@96p%$e&0;;`}$bz ze(f)=>ifYDzCTpi{~lg$y65k-fo`#Mj`@8?rXz?8_PSQCq567EGx)kC+@-m))DNEU zRrEv-x*s6TU)iB73M4si#lmGw8oYbyh>%i4&TkWaFv+Go=?Z9I#sZ%hIQZ0WinGz; z(v3>m|2+fsaaRBjaY2k?#JRWRCftCyw}R=AAAphr&><@2vQ)-wta+d2KM2|38#3sU z(ZQh&#nx5PMxqgAUndgvk2tg^fonilT0q9e(1KT|>Gvq_y!Cwr$L#d*K=#y2df#V& zCxydQ>}^3#S#!ogXv_M6ci9B7<;RfBL3>THJK~)=J{_b23n^71RS6t#Ic9&gB*Z`} zC-ylp3qcG5zb)lUSV1I#JVo-lzH-R_gT!D-P5yV`zN8qonHq5iPaDM0=o__-Obd9Y z(@!@^bG?f&aFRk`+A<=LNlNik?bm>^8XD4#Hb`rg%lq}NdT#QD&G&$|fnFF!hMcQhlvYUWM{cNYh+coHcj%!z9+0^VOMJf1^= z%CSp!B3g7f(lygSWF{SA9%@DUiU zG`sn;)g4WN@8xkjPP{a!>hgMjyFpyBdQM5WmO`xsWZ_OMlmd|4*b)k1lksl10-9My zH_MQ&2`~e2c~m$GncAl*Hrz2P>d|D($9+k!Vv01GcVwmTInBXT77`hz^9ZC+Iuq^` z+1(HRj#c7ob?Z^9D^l0+vw;#@9uzQwHx=D~~jvjbZ{z zJlZdNUb(i0_46W8U%;#BsPr>rcth`jEJRs2n4c(bEs}~S>R!QY;K(fh_(0`#;lI4d z+i=a$SY%>X?P1jGjlp)%WYIo5;ZxmB639(5wjkA%R1!c_X9xHPLBbtkRm2?9v%g@U zVu4+`Ylp`=E)3a_VUXGV>PqV~_; zcKqy=nxt^-QSS3S+1B+qyE5-#o2NA?fKMUTcc~y@9m|!J3P6R`Jk(&pr`FQ8E}+C1 z)ogB&gT=dWE6}iGTxc@dvoBTvAK=+> z8g-T|MrQw>?X6d3Az73zu`D!WjcE{5lk*c&v%7H%T64b zCYxnXqKXH>s6QSv&vF?>as_97^y;9mfdd;c(Jla4sf5F^;uP|4IPkDodLru0+(FYu zJdqxNrhAbR0Hg1P?QV8TX?Hg{W8F-7?92w*fT50eNAx6( zIZ8f=##Wlbj-h9?=iU`TU&|jL^$aUug3ghvJfc?DJcm;wKkNN8&2 zm*)-IZtmSeNKj<9Jj1ew9?k8}9{evRF`jHqZ{ohG-JTY2utlU>${un@vb|eyk3z8~ z*HAP6En38@B(##LHkV`k3+QE$1gb%Zm`q? zw$rttLVJ>@0Lzwd9-T>(f^QY7X6DVuZT+m2mb4Fsye3)6Ym;tek@W>}1pyV)C-Zvd zCG-*tvKtSqlM05WZ(lxkZFISg%lT8F@2XJB3zj~edGH>5lO#>2>3RG@0Fk4X<-6&J zQdlYd6N&htb!1vc`nYMk6#^?PF3E$PAK~$i4QrsrPIIWrun=+)P&6A6H-|2WJbA_59`#ulz#XA^8ahvz!GLc z57#}k>~*|5jDpTVB)1b!SW*^PmnCFwa5yWQ`Ww%4{{Z_`fV&qK?i^W_!gVLWB2 zSvg@W1BEVHl1*gw;}Jj?&zliT+2t6v&$CG0(}f}Hrua+L`=dHD`Z9JQd3tZOeqv%Z7vpd=FLS1cGT(ZDZ@ohZx z!+fWF+@}CxJWW%$_*eB1=rCx%Ux(br^*P-ZUm-x*``{UV&=n%E4l@|Un1!JN!1-HT z8|Dvsbn2S;oa$R(GjbVZKnda-pEEC@&7VPkyJ`|Swzvgn3nFF8sBLZ+vi-O#PtR_rhm6s zcVVFKt#@m9}l$wr$(C?aWHswx@bJqI;%q`X8K#bM@|L z?X{;_%EBz5fNJBH^f3NGHtG>gYG^k3D)7#u!-V{Ho`QwEJb_e(sn;vH6Z9!Of%-o_WRglWgAhMBZn~GH5B6 zSt(dNfVt&Lai8_3PmW7SS#F>HJS(Rj>8)kP=Dg-zHYb%yg+tbzeX*;@?ybww>g|?l zog}l3FzkrH0Urycl=PIYr&*vx-g;j#?9h(PuotyFY#-x3F&4c)2vA`LztdRq{(PIH z`ZWB}znmkERKJHkmG^ADxjy|i&n!7N4W~er((Mlh%c29k<+SPRnVU(OZt?&5rZZo}h^;7F}&{`1_y86Ai^7Miw^H$~}W0A<0H)t}fUd=o=- zpbDdDW3(xdBr&1tYhFX%qz=Tfm+~r>qpX~)l^5O#1_>YsFBvFVd9mzCr{3O_5DB## zS(1z@BBkmHlxa3A-meFT(nxE&INKj$8F?&V* zMI3C$3oyIXIqDBbJQSWJIe4`tX5nc>+bY{CQ{LN81>u&Zt0lVqJ)wYC)6}4a%HDZ2 z<%>FAUUhKVbgT-C$;l;s-r$9&UAz0Sw}4frynIMbkRUH}+2jy;8>j*~r3X0$#idtg zUMlM>F$zS?cfX(d^_NrY5EmtPqv zTBt!!G@#>IUU*pSWAu&X0XP1S zElX}=CD}E>SgH#<`NqwZ4nPCMkEk>b zpc_R|Ni#W2pw!0iJmS-37%6YKRm# zRd7Kc6S_WTBMj%SA~h{@p}3fvl3k51Cqe{*4Wzs9JZ_-8*@XGO8DlB1v)!(hLA)IYG0=^8hmac*~XgAmF^5AJanAdD6b+3 z5%RcDBfmV@Huc*sNDd5av;hd1a9Hx)ja=8tJ*EZ3jQp)PdpXr!Rm`8M*ST&gh13@z z1^&vvYOmvSKdX?^>w-p-2HB5cJ$V!SAGHi0xnNo57Z)SH%pl#_E(2bB@PaTTo-w=-Ftj%>JY$Gw#W5fLL}&Mzc~? ze?26*JDoZJ4rF_reU99lSGhALxuPqjwmpB`-Ny37izI*~&HJ#DH^meB7L3(j>KT(Y zQJz67fl;`+frj`26Nl>G+Q$ycI6nc4WbWcaim&?45geIP-~lVEe$P4OG#BRO)`&BS zf%u=l>qjC+7l3b&&WJIWbEg0Zhp32Ja*?>$C1OI4hLFvZ9S^;P%Dz>UK$O@jvYTO9B90BaR;OtFu$Aiu z;YnNZuATT^{#45Wj3~L!ro*a0Y;kPE&hbYED=>6qm!;R`pO2#xIp3eX(cZ7$ksG=> z{Ec4iyfkykUu~a=DQDwhAqsh*=CcmUC`*;2M5~rPCM)fm@CqT8$VO%`BAedtiVOU1 z!4Bl26&Ri__*>Kdt8Cb=2YS2GK^;9@Yw7a@tK;D zB1rS6THzl~P zUm@j{Zgkl|-5`_t_dJB{_}ZHU@&@YXAW8meRE-r8#490M1Bk>9QQ1|~Wmk#auAGtK>>wo3a7{%7ReBjn=O1GqeQ|^Eq zBEpU&>t1$gDkP6;u))}~b1bwdas-3f8Z!3ZQg9w#hB#h;w|ANz1uArct3a?i?ps}k zT4Q4h^@OSuRF3z$rNMt1k~n*JEqs@il&kNpG0ckNO7d3+5{XrIMR|6L?4xY;^LBwm z?W22svxd^~9Vh^-f6aP6hH-PE7yRhitJi0W+(khJ-4g7crO)rDvV29@_gk+8~G8TC23FXE|n0xR;znJ)$jbu5^iE?jFr!xPb?74gFf8nef}b~o zNFhz&Ow$GeU#B*HUMu$&U%5x$81?m~rkAxJ7ga)R_X3QQ*W$+3~W#H{{0^wNRFhBJFR#~C=Htft#4NiQ-lktmBBl2t4)-(+**4I8QJn^*ss)Og$#OSFa&IlbX;j*e_&vW{=RCyQ!GAweT9B@I?EA0W9~1AD@s0|F=67z&3zp_xb2Ha{;at#tkby1No+ zW@BtzJSUQvBH$TnfTXXYlh^e}P2d-8F0GK`jpC|*9xA&$cRqx@b13!FV?Uf%-%~GK zT|qYr=OZAP5vZ_A&C>_Hm>FCY>KiyHO;xTC$CT{*k*3Rs}Hg!;25r7c&v)dQTc8RPU0=qN$+qLg2TQn~Ns z0aco`(~4OXLL=g1FEy1Z8#|t08@O|NY77`EK|^9N+OlYo6o?9m!_)`Hr7a7xY#vaj zOv;6`dMkD)XfOb121tP?YoOkg8J>%my5>HiI{+c6;k2ZF1gw-RQ2(rmmf>q^>|MS? zG>7~EaTQ|p9H^->=y5a5r&Ob8>>}SU09UMOezzz+5DQd^P1-i~l_ivF3vF=jIF3Tr zAd~keJ*0s>MO8p=oK%jSNkVC(6~kUEqmq*~98i+h!I&>R&DV$WgI-TA^&ZP zxrnPuCcgJMMpi|#_D7k}B5QYlDd#-n0L!tcIc=bAER+n4U-44N8rmBOfLamF+6v_Y zI=HPl%p^s!!6AAtEXVVpxxcm1zs8*x#jr=(j@PBxmnXE#`ueJ6&BJ5C?I&qL2OevT z(-{Lb8op_d1Ndemfxg)0cL{Cu^!e@PD5Ln8e?HqvU%9VzVkT0#PU+pI>e}YvKPBKU zjK20v<{!$$rYvmQz#%m{2tSQVClR<)T0+*~1Mn*q&y+?EN!f&D!;MssGwitY&WeLm3=(o0uF#w7dt}Aj?ou7Ff9A{G{E`NzOI5b zU5}ps^z2U|Q!U@fqU{#Rx+O_MYjM!}F7&H60@N$6y<`kh# z{Kn(Pj_Gt5)#=-fP1&%!EXDN96}~7Cs)?#`V8Ys8e2q{njdvjFst1y5hs@An=X?O+H z6RFVo6p(q6lY(>Gr=l02X6szUPPqm)!^XhrpNhaO7?lm3jKv}PqNYvQY)A(p ztq_6E0-Z@da4KNp^}}a|4Rh+LB)R!8jxaJbtugtKToX+=1s8+?{=^As@?^|1@30$+ z$r!Gd+&3$~GZ)g0lOOwj+cs9k?ZkrsruKqI>^t*P=GMCYe2ZkKIq`jniK%PHpLlgL z;3p}F(cw`=GHMT5#A-~%F47WejBTj-z*6sO62-|@)iv=X2s*l!Tv!^8S)3}XzaV0i zTC6?!&=9tjo@>C(p{DgDrwP*}%`8VSu6ZPQxfPReznu=lyyPVoQ0j{%P+wpJWZ?{V z#x(r2SDj|2l4Wx*vLC3ff-Ic9JnFbih3q&n3N;1`7K_S)RHrElbP z8*0t)!!6!9bJ)xWVk#%aAx?HVLOs=i(6+3lA#V-vLS-PXgZ6N9_Y9&h!+2Hvg)vJY znS6BvVmv_9!F^r9iskX zEKbo{bi3Q5YW4vHJ3%#s1?ZgodnCTW(UYoeBbeoO^deX%~Dq4MtdajgNRk9Ze67Y{4Iuvk<6s6q+?EfwoOo|KVeMr$9fw z64MR0#Xkz3hRU~cZ{1VrX2iq9$%-*CJH7#iz8m^CR4(~pl0;zi>rp;!ox*|Vom*5+ zo0_x!I8&UU*>6TlLcIWd$<**DBd|P0DTW_6JOIRJ%3{FiO4_qLB<9UfT4~+k5zxe` zaYAGSjU|&HiDTw;fGnrD-sYCM39S4ldMwgzntIOJMG4f7KZMGi@R3H@<+9>0Dy*K1 zuzxIxXMJc3#v8ndBWLTLFfrli>E}Z^q*V5=%LJ>qzCb<%uY2Xc)}q@1a@TKOqzRi8 zNIY4Tc-O*6oV9Lt3pL{OWqFpnxgPXHUD;rFlCS}<3Hk?;ukiFpW--FC9tK7>!mzwh znyaL}?Apw$^0X=;v*ke8L`d*{jM;R)2<47}I2>)7IPT%TLSgd87->9S48cnj=Mb?` zDx8eCI0MjlPzy78*H`j0LgDoUZ%Suh?3vQ%gZeDie^=o-;(k&Kfy`BXxOdfG!!$_% znrg$SjM{IfWNlV;A)%2aYK1>7e-QkJkDQV2J#xtiFR&rygV}=Ent|<^UtsrN0nNaw z;lvk~OO8B2Za#@>1iCc)N!hD&(?W<7sp)(q&^+_BdRRql_DLMWv9!|!U~^I^eGGGW zN*niZj=eGnPnBJ)O)tYa@LJXq8>+$H3lJgb)mqF*06F$ zY?RO82?(lLrnr(CuGF=sB%!Z$I^lR3A{CO~P>vQ1D_U=oha3BE-5I1~5ZT%1=}ueU z?isa@Bl$48F#%Dpv99nRr3xvvdE2(@zTXErCrfdgL%0Gf6g3x$*hy-QMdjAFlI!4r z2T=CE%X7~AVK02t%PDR8bC_`3ywVov5V#~qJ6)Ub=O$jO$7QK{ne)Q4bEG|w@lpB) zBW|PBpZ#{CY&0DXN1c2A-?KR_gN>vCY>OvQyTn zgxRS!+i>|f2W9=oXV$~3t5qoKrxK}!0^~ zOjHmCyt<&R1tq9a!?3}6?BGa8$};8yw-+KRa?qjZVD$TD`UxZN1{2CQ&WH#={nk%R zvs>2{sXH@nDU$N~t`JTD?yIqpQg2$S0%90v#RI)QjLLsa1*dexurKj3l}|7y#XU7~) zO~7YPrd4>DItB5ayyvPTA-AJt)FgeJnmq0z^#N72<_$zF7#t3!Aqo5s^C9-6fv*@- z`4N`GH0N;EI6?z{WhGgJNmAf!A(HJ79Zr?GcdJfVHkOuqsR1*p5`I?y*k*DAyLd|x z$5$@T=YY^Fo%;$qh?6}gqQ9z^U*Exvl;OvU zJ|-3CBdFV$Zq04m8!6x8KrMVbf>U`}*7E!8DSa|GOM7f=`o_{gESgVazl=+~g{d7O zJ4$n+TmDGC_Vdokc9Ko<-m(LKp&)Wks&2-009fR~n{qslGW&*VEHE6QsRZbjg8e<$ zA*RG3hf5s}1JODw5?x<{FadUnAL>@n9DWUX;Ew<&6B2WP^v#|bk5wfHJxPaGNkga> zWe-e5|I^ge#d=RTcfmiIK)@rt5oocCAsKNecz=`wnshE74Md8PZ2Lcry9<=zW!b>x z21IL)X{UA$e?mFDin z2P&=!VG?TmRT9iy$=3(jXJZPncNWronQMsEUv898PDVz~nnv}y#_oic!tEjJ$BO0o zZ_khLLIbfG|HM&@2E6pbyOGzi)%DygT&EpK^_T=6!NGZMx!SH+UoaW%#;bQh{_4H<$wqo`oT zCL0APp#z*&f=i?f0^4{`pGb_D!nhUom(J&6d`mQGyuXxk*-XZx>f)?$o+|!i^iVtK zo0`Y>Uejm8gOOg!+;FQyeD~uQ4yj3E0?!R*fr1?zstZ|neq+?)KFL@)$mzzwT`HMp zL7km-{ckkjz9CoEY4m zBv!eipb1R`Z<7Yygq+0*b?L?({$;?MV(!#D+;aHeNgNN(Dsm#Y(HCo3EN@W5rk%LE ze8L-kQ;xj%;Y+slNh@0SxY7++#2+<%#?0_HzkFAH?mmMOnV~O&LUYRNLv;6vmm;HG z#gR$^m7}rg@emV96(}$jT4?F3NNi{PJG}uA&xL1eSrefFd>I7J^pUipL?(p&g@Yhk z0ULiisC1Y!g|1g(Xl5lgc_8rOS+HW6O5B*M2Jdo9fF4=>mjmjF;%%!=U}+SZ1&p14 z!NB0y7@dABgQ&r}*ZfOCQx#Jl0l(Oz=2Rr#OGS|o}B75l;eeuKWn-JjScrS zIwjoe-ClXbx7bLL-rl*o#@R46>HPyOg7QG0!-?aFQ|kM1nk6HpB*#`i`3*My_S; zGYS{Y9j#(=x#wS(po85o`ht>i;ub%#0>fXmXBDE419J2$EFI9N6k_%)h_BH`pih=v zKy(z1Ip;=JEHzf=FmAhal7fF9j$#{U2pSn@3)~bx+>+GqbNfx)QE$qz_C=K*zdDE0 z7;-skZV-LNCwn+!IGt6o@JTDu>HJ%4NyFn-w^J5JmlALq)uRm&)ZdxG{?AQ;9J`6X zA%WdHM;yTBfHmTL`Ff>;;QsNI^jHYp>$bJu>{v3Y-V}V$t(&)1yCbmAUG{}j5^?m- z<2Yh5*keT{L5ok6uYTqyf)MlW!?mjL2q0aFVnDtAnP}sWkSM&hZxk*^t;kPD(SGfw zw=!(9M2vQ@&>5#<0*qo{fh7xZpyrW@Q3XYjAb?m+D0+^H(W#eWSb{0*fY!}Kc^9>G zTbuy66LCg;?oRG*HJjvA>~ME~f3^iMK5;CoR>z_Y#JCc%3{(3jJCWt4wHiCvt!{^h zx#msSpr#o`8-BQ`XC<41{4WiPrh{I6f*~=k?&TdB5}a;9VD#0!A7JpT6z4I%lKiVq z-jd$$d;S+f->=#axu4gIEkDdBb!2A_e*txx$XOCWTIGvW??I6amWi5RpQ|9%O$_Y% z-3&XnfS>z4u~QMomf;s;g$d9v=HLXX-DIc&%*2od7gt9!LLuaJ5BmHP5qN(sHZMJb zdsUUo=DRaRaa50u_MVHdAc?11Fz5-^_q~?|5r|!HrAHMzzV)|9zN0| zNtMR~r3gP3I3WM;N7p3Nf>ElGHaiT{${?y)yc+-eK(lmWtK1_IWZ#SU-7fs?Qi{GV zXJsNLw`LpSPRD$FpK)<9alUwr0G(Vd%xABzJ5a4Y2@Bx1hkROu^l3=kO2;TyH&B8> zsKviDg$6-mz;X=ZIln>g-^8A$XZb%Lr)PgYE@qG3C*LNdLU+F2PI`YHeSf}xnh_;G zpfuAKJ;i=~2KSb;vN<%bm&Vnp~0+06o+!AtQ)_=$IvrV_mn^`8xI!JG3jQ7 zGsO~Dc7j8H_G!oq*4y zE(eZg7~#7;iU_sV-L6n#QN^~?ld4FF-c+@ZAX^8QBhN@;gr zKj19Mzig{cbr;i+(RZ-^YYi@T1iXh+;!391AJk%Zbrz@=X-0OWY|RZQ0CZapyLt(f z!<(svjMXM*)1P^h2kXn8vDrwDxW-$(?@B%F?C8fc%u+zdEpzo3SPjY(I=)f`6o7En zyzh~RGf=@0IWZy5j=%*WgLu<7J9vb&3w)$bPI7`b(!~elue~y{&@QU^CpwL~e6G|T zC>#C8(jZ+J#9`LVuMFB<{EbL045zXZoywf#e8dI``ofc9cUoh?ur1B%m4=0qr9P`>pe=#H0=P~;h|t=)-& z!vq}ZofvZeJZFa!1$>u}VVl^10--&=73D__)HwQEy7nfOW4_O&p8kRE$vXvQqlttu zt+9zVjJ-he$L8M1q$1~!W<%+Hg>J`Q$BpxfzG1R~b0iSP$*h`xtkoGfwmJWzQN?|f zdGta(e=D&}$5%{O@1tpR;WGch1FA*x@E{j86Ih@obM+<&8>$8Uhx>@kdu`{0{~u@^ z0ypvYja&KHxilbEV3;*!cBh;PO^0v152j4#%~x4`Qr;iDvuxXlFFXK$R{YQYmi)z_ zD|S$fKPrc6XcbvLh1aIs<$~!a zCz3dXunV~CZc9~)1W>Vw-9vg9MZ(L2s{9v**EaOad&~pqorX>w3Nn0XoDB|_Adqi# zJeQ0bhz*FmelhXGAol^1@*mFT+loDJXTa&RDOfXeON`?6oJvp?E>fD2?p16JaOmp< z-lMkG(iS{Oe34TBI+0q%HXI}+3)!MmxciKUQGVqe8i|m~<5&_T|#~_{(@@3P( z$^W7vzBu$W&1-PV6?fcJa%nXphry^jwKdx(2yad65QZ8q>IbP7EXp+ZaT+nTTKdNh z-i>-vrT&_g+)~INA5o|&{x!Gp+m^@$81qJ!6p$te`39A^jsU>G(P$!N@7PXS4SDgJ zDunN$FAg;(&Wcum=axY;)>ri+c0ZdG4MRMc{*-bEI_B3$OQg3iJxGDJkT@7oyjbk+ zINlwX2dv8niWn9csOmVBmq)>mQ+yNry;1fM&?n2MRFOB50^jcFKBTn|3Z#rC=S(t? zjo8^bRm)_}iTt5R-4V-NE&^XqvHh@b_BF^goQfDZz}bN@%Q&itYfFUV|8J;IsL_ zV3A-?F1-92L|Ejh5%k-(>2T_PS6&886^q+Ke4s2=*)*9jt7>1hqG#Cdak%lNz~c0` zzs$4p-PuogV^xN>yag5S_>4G@2GA&HonKdV*bN`_*ASl6b*>jp&opk7UB{7b|BN9D zLK*=_R|*jrx9Z58-y`s@dXrar=9u<@OW(s~3J_8r7Oe+2v5q8qoSvKd6oPa0)EnM3 z`I=?R{l)trNI-s#hL(d%iX zX4o|obC61NDi9lls{Z}EUKt=KZnqsv2M&5u@jF;HgpqZivL2lllkh^izQ87sLvBEGLYZ51Ak-dIWVX|n{0f{rO)v8?K zOz8F-MEWQ4KR`6(gp^6{979qD1-H{V(xaLY9?4_={Hd2K387}2JTLW?p<}ti=1X?C zoHmj+mEy@UdR|>!2ve^q;eH?gUv})_8Gg|a3{xNpuPzjp@J`fMghYF)L42|DOzQen4$pB-aiX{l~4gfuP4FPaSL zBk(AJ$e3E-4`3bf8Yb+Y@nn^{O9H;_fH9vFeFj zA&5qiK*dCS2nNK7D^|HZ*|p?p7nmR!RVwXdF8mYgIQB2gAH8h)N* z67;mr5&>ybM8CKn# ziPkZl$%RdMbGqPW8y|)-D15^9VCc6Occq3( zAekks7_F;>g;5A~`Mar%r{7uv<2@!`3PdUg3z zaf!i)O!hNS@?r2zrx;I5;HMkB-qZQZXhh8-^DKOmi@PW-Hnx!5GzG1v_qim7cl_Ph zmY`--@OgwqF2yDqxqARoGb5-1(jG88dnPzcI2O0ds}1SkqqHom6($R#%v54Tqb*_E z(?p&CWD&fB+o1JoOb#r*3WnlKYb`jz?diOmDmb6GubDn+FIa0?<G+y9KV*IS?H$bvy+jl|NMn#Pc|1;*WN7Q6Wnt8IoxJrb&f6!bDXG zct*wznjym+#?gv!;0(2YR!D$fp53TTsI<4?o&W8OmN{=4>+l93>ig6}f_~K|pigpLPE%Bi{RY z9Ph{%xqDtOS12pg#K%rn&ItwXP2F25j9{fX)91c0l7ItfufzKy!G~9#FXi!_A-H5q zX}9PzvkAL@yPh?$?1L)DAEf+UUz9}<>8!H+vCIFw8pp&9%$6Uf2jQ zSedV304C|cv)Mq5RMQF%ZEHA(CeIdh>pAJc`EP{HBQ~-Q0K{G1=Uy{_p)A!tJ9>D{ zW8szDcO08*+?Zf({k9MVr{fO~nYBjZ)*qqq!_~}qsf-~-peSbNLRZTzyJ8tv!rmn0 zfSs{59VyE|ziB(W9nnhSg90;OFWy~K#`C8mNA!D}dETjlv04q1ae8Pc_jo4(K?Rr8 z+e-a&pSsgD?NLMz!+9{i^t>u`Yw2=v!FrlkPK>C&Ttj&s%$JKt)gyW6Mxc2Ad{cy3 zHG~38CCknsSoyuwQz?mAo7%+iVcPzuHsvTew95v1=}m}Lc!{bc>!XO1be}v4$~?uc z2L>kaDrptR=QlJ8msB}^RB;Z+RWT6Xv7(sFrjcxsyTLN#vxWyui|gV|?9bcTiSN%_ zYVY^2u=DTo=+xK+tQw>97}JNu^4F3mL>xlewu$GV$#knm{aDVcya+?%K z6OpB!26Cc5Ptp8iC*~=;aAHZXNWZbfu0b6>i%yTD1}HhI@j5|q?#k&ApVrD-rz;Ca z%jMseyoDb7obyv)kLWJlPeQJ6PVcD%(fy9JRX^!Jpd|ff)YKD4D~Fuq!X`E|Yc}ZR z_Wj`=kYV$~=e-Qn*RS(c(X0EuPJ;mzzl7s_G7UYTs2-L7mavrVb<$}}L0Qws*%J;C zr-zCEVC)zWb0~0GG?g{P=*9(agv{4NqCc{r`8=Ja2+ERq`iG>d?!Fe4YETb~VW)PY zoSC^SISXfpdK|_E576OGd|4XL24f?x62CTTi8-lMhvWL??lnCI$w>WSgvg{PK~pH z*w7WDZ0FnKxu~vH-F~yAZDI@ zK#f=or)z>IGNYpI---4`NM_u>64FO>_2$=jqRd!oQf;2Q3%oc>v6Fq(c3T1rW#{(n zrFUi!H%s33W~y3%r+Fd_OMNS?ueoCccOx&oIpv<5$HIMdg#(}#TVv(f9=C(&8Ofw8 z6YU`i%+MOo%lKLF7m>2Pdt|6Wr55`0H?SXZY<=`Z*-l5PLzG*=ICnh z--1?C^F`f*QB;5RDB-In3vcfo6*wb7ALEv~TZHY4JfyJ!w{G_5P^QGnmLLwoiNEjw$ZyY7Ds?9fkU4 zLX)n+K&wuUd!Hg4%Md(i{hLW6!@7>I9M|mAGmDUr*>1bEg z3viZ(xqm+ePoovmD*^UXBID8HX@>1kXIF9N<}{_o1X@^p8pE9Qg6(fw-JDIJ8=zO$ zZ-odXnn$QvZed!U7PH93dn9~4{EEm9++36mQ0Xk``v2F)Jl$99*=1RqJ?IR&=wsN- z(WtZr1haz0B2bl^DPnQ_Y|Z?K*@`y-Nk1#&Au5KpLX!)Lc6-UFbj!A%j`9t5S?A^J zE%tiph^GV=ygOQr-!3DKx8T_fw}bhEdmy^l1>Y_MjbTqvRne}cs*U_`>TFFSQp!=` zrz^<|@2Yu9%xKbCdV!w4y0vE^&@3>9z_Nd0K;#QT{z?2@zAnNJSF1z<_g7FEZowCl zk)5D-uL!<^BRfSdCA?-f-8=NBxX|N`SOI7ky0!I+&Rgly*>5mmWWO2pbMlrEA~< zN!h~Im2=%KAg<1-M_^>C%#`2K^2kmuvwPTw4{2F3rtfQ$p|10!)Lr z`7VSKS>O*GXE!dRuy1xgfposFsGcU|fToZ+EDpVwlIF@wu&eND1 zK0qX3sG*ZssEghik|}Fxno1-6eM;V9VHC!n!^-O!nNnDz3Nq3q1`s&(Pr3vYM6-*y zY{rN*4bnnd#xlP;u*K;bPm;v=oI$v)M3rGpr38|6c2Jho;rE3>lF23AxlHRq>6CtE z>7(|>%&IuF7dd|qo=oMzOdi$LXBv%;CfA7;fXjouui-_pUG*sPS49?a?T)dH=eHR^ z{pO9HasoK98jd1t=Rls`t_@i{Vi~W7Xx>Jqb4;zB4}b5FBnkoCA1HQao=0n)MkLQZ zl{7cwv(FU1I`sbkA*P-AI8v89&Q~9tJZSB&1eenY7W5fqz+DKuX8^1^&;B_Xay*{;fLMj7@X=arV-`gx+O3QS42GvEroue47|?R@Azd3lOkgB z5SG67F!|g;Ys@y25m_hR2gnTVXmhIvjCSbV$S(;JjTu!;DA?L?>=Kc2dDB`H`pXHh zNBV)S2XhuwYv07eD2yIa--Dmc#_`_dwx3iUY~o4CZ9R2w1Xse|=g8#iaiB%UFoigS)*3yxh`Ag>e(;2@!Uv zZu3DZK7DpXcP!~p6sk$fPG ziq?uc-bgustX3rOgn7g9;b$s;_}23WQW6JJQb%!=Wgg+RJ#u44qm{c{{p$y__ig{z z&-2~cMeXG3)$7)a@Au=z&R5yj&3Wvk?$>iCP1t?iCC z*R;x9Sv{U{M$=V7N2tJu?5>gWh@pJjHWWK(G==(2!#!`5ts;`Zj~uG!xu3$nx-_=3 zr>TJG`{ekk6#S`*h`WsO9e6|0)HKC0e@GJaJ@)Jj}>aN$$#JbH;^h(A?nFu znwW~-##>W0Y7L6*#x~PBzYTwmJ!-VVm35BF38dS!(6yxTx)IWJ3(_<9#dNR&-Olwi z{+A~Y1C0L-yK)HF?fU&@%!HO2?Hp)Dk=enZ?HjIEsykI}m|*=LfMGMmo$BWAr`a0| z7-z$-j5|9I1EP79RjW!g%xenUAc5+MSCUct?_%J7QC=e^)9WbGK`s(H;wY^Xl?`s- zL8cNqpu#>*jnL4ZR!&C1Kg*TAUrO21&{z3=y_&Qozh~v6ea<{S$%YCUECNz zEX@N{UVyAneqXf(twHLHaE&@x)BX$lFAtF3^!c4i2uYkBYL^lvKq03ugr2=_8EHF4 z0TH6CA3aq$#-nWD!Os0z0iLeRy?2Pa^);4%LC8#=!zoxdts52f%LyqE<8mcCBXYO$ zxyM^A*O)o3JDi%HP;VNKmEhg6i<8XH?sUp>O3z)kAGSP(Yu-pupXn{TtLYl%+U(gR zznA9^w*;l3gpgMp%}FdQEBa8bry4A4wNI!+&W#$zZkBeCbt&H4X7&39@+`^R;qfg$?a+S`ChuIM-v-$NJf)fi@Z8!#beC zlBF3WcEYAmqvM66Ly-G@zxa8}I2xT>No{tI#%}nPNhp~BWMbV$gd*qr(FQ^$W?$;u z;H)Eyj|{cQUUfj_DT0Dax-p;NrBlCRAFjbBu^t}S+=ao)m7}4E(s-i6%FT|u3oQdR zkGCvah2oYQ6#8cSj&UqxOt~Fl`ebAxJDU;H8plmtaUEAa&iv|{=Evnb4oGD9v|9IC zHzvn;^c?odk~^}$MxvbCKHHnN;sO$cYhRHdcoVE6gmqKepjruR6S)5wp|0PiDT*G3 zIMvZ@5?V9LL$C*)3H3#)wy4|0Q^#mIV5w-jE$p%6Czdil0PmF$JL5R5bL3BZdr1u- zqTHdY57@DS?<#_@R&tfBG9*iFidsg{HUs{wOzo3 zcGJ1XOVN_DTe&9FB2!IvD_QogvZuiDsWA-DGRBEu$u=ZVq{#;gxR(u(Btr^hiAp^L z{Wha53Xhj|`7)I?8K7ZBtst2kq0(Le7^nw`rRjfJVU`Ch_PPBL)lwz<_=U}m47J(C zUpR~gL5!qvG>&~S6O8Hd?|pL5U*9NyCJ{`HY$YjCf3ij~oh1{S2xxwEcFF$aSoWoE zNGAoU9Mq*!vigI2##YwW-yM|%y13vofF3%d2Ey%fs~67Bv-ptZ?S{aea~)BI;qWfi zcpdJ1t4RWDl@L)=fd;9Nd52(_`<%v^022<1+)}YA5J@hp%>1qb`f^EEuXok?qhS?m zC7;iFObpi>S?%5%FeIHp&2bN^S~OuWPj=yz_7A|tr_E|?>$R~AnWT8<-6=dG0YJnWZ4nds#n+w~ZPvVG z)XPG!21fpkL6>9<2T}XGBqvA>qJ-H_^*Y%*2^<;=^N8*9F+{OJL(klerqR5uYh8vaF z8p(7n(gNvL@RcO=6*8+z&%s)wbTCuuGk(J2#~Jk+SsKbUiwPcq=S~=wu;~lP1BUpL zEL1Bx4oj^ZlASJWQ$g8t8zOXP_ckAmssMlmjpouX8tDg`wkgiCezLXDHyyI7ktDj*HeOv%>;iJ zuJ6@sbg4=GG!kAsw>=@$b=NINk(Z3kze-uw_6XL%)yzKqHP0Y>c_LAp>!W?`wc0*nfz3#su>J?m=7H@X_uV3vb zq2YqzarU2*_D;4h;&RvT_Pd!5zFbI=K|b}?USFL=Kzn5P_V+Ms zagc?lvK+4ND5#t00=^O#zzlhbd5V$K>kQPwj9*Mz2P&eK7BJZ;pTZO%v5jCDBn>Da zgI-*Wy?E+o=SCPEA9QMM*6_8_{d9y{g92o%>9+i50oh5ju|kq4sbz85{|9A2n!hj}?eo-N-fP{ny!*>~rb?Qm ziU8T7$F#CIiwMhCHhcVFNjL(su3#s*g^;F7P6-*qy}=$KQ1_tdYb{=6BB7rJ;`Z0M z9bHM0M&NPcAa?H4FDKFTJ9(S~!?OK2$29Ygvu-e8dAz2hs8JqD!94fskf@_*nzl}t zG7SRd#e~b4SAvS?dxZA0;ql_1&ElYV*N@_$i`U32kN_@6LG0so!m|jlZ{=YJz)xo`ucg-)s4;G2RVzeb` zM}xz*YEg!@RN~4L33#_;f~!BaVp66`+;hil!hYq@Umg5_?#wz6dI{jalotA7S-!m{ z-a@-m6l#j7-7TH96$09tK_7Wypc&Nha5RBwq|Pk@SEm#JoRq-#a}mbnA$_(T#!+P_ zWOYa1mSnUr(#)BJT^*qNbrwV!ltlSd;`KN^-aK+BXus-eTini>0~!h~;N?T~L=CuR z`&PgiE77Hbs}(4XJY*Ae_eAJ1D3I2pj78LLPs*? zuQE$B`>psW^9mXaI>#e-=CZ)E&S+hphxYP5+(~Dsc`(|KC>5e8AIsdNHVH??K*_~mFkr{{Cgyj|qoq(ZH{ z_jeFV?T^z>BI&6&i*f#E=vrvKsMM7w8S#l)%T6QE|6-`=49eZ-ZxM!TE#9E{k|;1k z6VWF@6|NRe_{Bkl&QY;Vfx~ONS9FPgmHx5e0xR$2;6WMQiDZ|a7@RfZvr6a*YVuUn(C9uK$e@|F+7h(lN^ z3WPANN~jbrX;ga+dbX`X!`(<8A75yN_4f3#V?Vuf8;-Jv^KCfbp6Btx3%b}(GZrry zDvF_3V1@+AZdLXzjHFqNvr#1Pm=9LEL)J9s4&=R?Q4{-?X=baVN_TXKa@z9q9Rl_H z9IC++jBjEwb4=V$5U&bH>Jz*Ed){GpItV)KMxnpclrnCEzvvb^e>V!~Uz1Gmb{W0| zWgbc3_@zL^z~OpUj{IZHw$W;^>_@fF4q>Uh8N#96CUPS zp4Lx)2Nw7(4@tXye2!Kij8~3=+EBEBu#J=1xo}4^-E^nwN^`^7!yKb?+7mIjosIoq z)M2&d^Z2L?Te7lyt4ltP+qZC%t8;xr$)@ZCJj(K-AKzKuq6TgWAcJ0S_WTBSl^g=q zT0O8d`xL-3YXKjn!z9hH2~{b-4X|XZ!*Rl1UMeyeq~s{^?^cK~YPeK(vBx3=)Fy%Z zo<<;PIr3BaPGnTEQZQgtd4v(WJx_e!>RuF~T&o1_Kg6`T4r;l1Ie`#_ zm-=`G+A^R?0c8zm=AzpsX%9wX$Dk~qU^jp&t(tK&v;HyDrR0gh31gf{io49$F-D7H zv|2XCoG5Lxk1Aal1U2E8()M=#8vQjw*bVX!%2Um3Gmq}+Kl!qpSLWOo)E9{34%HHx6C zi~DNx`kq@+vnn^`Oqwm3A1QPUy;pbK?ah>7Z4=$5b#HOuzKiknCEq^p0b3clU;nMZ zC**d~nNV&Hy*mpkrpfm>dzoy@SG_%V*X4ixuMEF}2^vajeJ}GdL%S-h_jcg!4c8U_ z6+iKRkXM6nG`fLn-L}G}c99F}Zh;>f-IxIT#$g(IPW32$nO(WUa;ZQroE*friF1!j zWa>^p&I-%F+Uah<4q2j^Du0Sbg>$#-Eap{9*g3!iJBg=w`|WlX&j+mx;83bcAaHG? z(_?XobDky!zL)Nmfz(6&QdY`l6}Mja+(iy=L7$UD>KF5(7^z*QS1PFGy zw2l~z3jCT{2^K(vHs>SMcW19`DoHSVo%Mouz}bM0Bk-%3L-9~SkGbV4>JV#eX=|R` z`WMl%5l73K48b$xLfkkBMUlE~FAxZw9bC}uka=;*FJkDbGRG!88tb4_WQM2Z_8x*} zG@V+~PWe&AcE+^8;*;&=B20qqs$2x(qvpjlh{Ec$j?}d~)AX}xF*s#hr3tKrIt2z8K_@OTr@%8rn z3=#$vd>>!nH&Dt*P;A{x&QhXh$jgj?z*fcpMDudw*8mU^2U)e9(y)DZP7B<=a^$lr{92hNLt(-pZ+ui`VH9_41r+PVn69RMWCaZ;$*MDe}H z;HpbhK)xq-$%zdt81 zR=e#1(ZT4=Q`gm#=H06rk9EDqprH(JM_8rt<*f{K`~X8nyW;*i*a^x}Zc1V5#e)~M z9u+o=`$!28!t@3C~5*LH7o-m*!ZAU$CdozSYCy)MCz*COU zfr|Z^VjNt2OkPm0%lZO3ZFTSY@^Kih^Ipkc?S-(yQFGW%M#}qs-v4v||I_v_J<{Dq zwlF;RuP{7nk4y&dL#g~UU_k9lONsu}lZ0if-#&nkjpLRF{qH}L5kYe2-pot}%L8dw zWj)2><~1%W*81Te|8@WK-~UvO>M&dDe$+i6nFB*BDr%~MocMSRjaG>HF!XC}zwMT< z1G4Y6zcBL96EaqvjXe8k|6`_E z@av^oQK3F!@M?o!&Va}J!zj6h%t&?{ZM_=4fB57i_w#mGuT=2STW$!Iyu|jKJ&H&= zJzc)??tmV0cKF)PWr4EL@_WQ0G?S7zjNU;$06*ENOE-8^a)`q~yq5qz@opP76u6@t zBAq$509Zt)%|@(gvPtK`ovJ@6CGyb*(O>#-0|{brZ9Wclx%df4m7elKM`TTYZft8% zNUE7%*2{;=SWKbk=N?@^8<=of%v-Y37Hha)~z{rbuVP zM#XL|V&im8T=}^{wPzjak-H!dNh6Lo zG=B-JYQXkl0NG;G%D1Qs$+-0^e>qk}p;yGAyLgcpTG1=chkkSJ6)jvPH{-3R%0-vt z>+aY0k85H05-Ju7!x!G_xd~>VnK(oZ1}#NH0c?n~Yuh3Jm2tcLR}ZY#OcB!ta+#Zp zxEX2jd8}P9u6r=+MpOt05(;61dLQ2m1|A$RQWNtEBN+ym-!eur1dAqXuyUqbdacyo za41$-b{mazi1Bl7CkYi0rjwtam1?ths-Fm9BL{TlaJp#34(AmNH>7PCZs)rG`hS1= z@ylQT_`_cqPatNzE%{(C75Pn;4K|C~e+j`>_J+I=1AH|ni{F&7B3H+K<2-s_tTg~L3W?$I!u82wGaO|?@kn^l&{)U}ux zo6ij6@8&%!UES4i))|03t4wUj2jr2&g53O+!yZ_xt1!&MBYJT1=H0GYmfRsDw_#Szfy-SR+9`35P4PwY`K`p2_HyX_u;)8w9B8Ms%q>tM22A`# z#)EbY;e|Bo5`Sf0ZCIoD{rIgszrss_9G+y~8`%y#GIUrtL+7BOL2@%zkV*?#LCJnF zUshR4Jvs;(o*pPzpJ<6r;$fByRa zRfn7{sDRjbg1yV0i6D#i`mUKZcR}d~cS^r1E=Ma^g@VV4n` z%b)$r$Cq!u$zRv!ci;d1%g=xDgLc0tKhA&X+wZ>m?Z=n;&9A?F^VRp*fv>*)_IKah zzWZ_=>aE5G9k1TIdr;DF$Vb8$V}5$M9eXu{?G`mn7y%m8w5bd=GlRN0vAat*k)j+f z$c5iF6hb6LkU>dt*>GwqKOMrSV^c+Ut=yPqBJxZaS|1aB7?yg@Q<$Aq>Za{nh=i}P zv1MuKgD1H>q?=CdST&f#6SDS}{?KmPkj^c?9jTR_^2tasp6KP#nY^PB18cmOTT88m zO1H{w`gUKyDZA~tF~sS{uHaF#n-+eq5h*UU>KY{pDU$NROv@}H z?k%4qGuE|A^Q$q2SHD(rezLYq(}6L)^FIppUML7+9gSpC;_cspK(=J=(8e6J5G`G| zjy))o=!401i#4CXqR+Y%U5<-rFoTvEm0Z0j+B7F|EQw||^hq|S2>iQ&TJ~crHTRe} zM^<$)XA>4+;2xx}yEo{VOsG|c5L^+SRde7A*Do*F&)z1J^0Cfod2R{LJQ7Y0lmg3q zlesfUhL@jm^7&FQ8mW~Hchd$;UfZy%C+1=!2vVja9&E714`svyg(e-tyiMReI(yAP z=+UsjtXEKJ$XDaRisKZoBQD{sP!y;kK`H|;K(eX)4@aisx0ab7aEtlAbG_X6!}F>7 z()Dd^s8FVMhQ^1eo1^<<2A{4{q;-W`IcSw?*8}PGXVw_p_Vh}Rnot%v4_1l`a;&`wDX}sKGes_x@X*GVi!8S*BUKsaS z+}LBeJ-Ukmi1%3QNz!A#k{sig7e7@a`Jr}mH6nW*m-I2V1?ngp5fZcP(qv{AX|nfG zk9Y@p_r}hDOS*le))(Q;4BgmPkqt1gy??saA%wR=fzZ)IqSNDfs)%~<{2$46rzj-h zX~8A;Rt5&TQW8)dwPosUaHN$!F(zB?qz8R0`7ALdUPi%OI0#*ZXW0(R zeW^}#es-oXO^5`|Ned!)oL*R$cpq|J8jxaHs=gh5x@iF8w>n8Hz$O^Lnpyw%Ki^CE zk1-J9z%9F$$373X@~RhiizXu0Dd-K><&ZG`o6qNs;`{vDmRi}OT86=|(Yn++^9GA7 z>)a?%2!b(*jr1|LhpSv$x!pq2aCo!C25v9x^!V zf)o2d8ffBHu{HyHTFFcQ_U9ko%84HjfGA=jNL`S3IfyXyt{(j#)#@fYiCM^4yhjJ- zZ=MBX373|ia+VOT$PQlTSEOw_=<==t>o12a$m1?8DIWEmVke<$^-A49r%-*^glNQe zQ|<#=l=-<4PPblTI6VwxPZCDqngO;waZ$dL0}&>qMRs1sYn_x^xo1!FuvLz+zch;J z@or?G8EX?nN~At3&8p+j3T*K^`E2zz#s&uh_Ljk7TgI~7;Uvy!seaiHa=jx`oGc<5 zzzGLZq1{4^Lg>-bWit5O2V9EzxfckiQF!1%$M57ZKrF>Jt-!yM1NIeSN9{kdZxg%t z33pP_nwuP;RH@(-3>N8P`af?p;x1mDVhCUt++9W9lrb9ABo*wEN*PtlBO22loj;j|NIYEUk?H$h%(B%4Na!}1sbk)67A+GbOq6X3vW^kh`9X=>!RXzJkcw3@rMgd8qO0&I`c8@@`6DFhxoU^AtUw8 zYG79Q7dxSi>@Ox4nTQFkqh`i0Q-NF%6L*oPWnSW!4miXe2mI!1gRM$QPNep*df|>q z-t))sc~X|dgc#=XADzT_H8qFFVC0c^c;T2dNY<_15abX9TlCj3n`=_%j9gy1x2TbH zCJrUFGVAAYg|!7?cUIR+&QaJS*m!77lL#PrClqT7VxIio{cNz;k#56s;F%^>UbbT* zP;z!s;y+o%#0QmvyxJ)H8bn1JgS<2%|2D~g$G8ox7;DnvP-whdla_mvP{So|h%3BY zk+z;&;uXoUpu(7cvwU~8PmG)qAh$*e9D0x+T23+s_;EyPop;;HR7zs1q3U1`qZvO;(o|->~_$fL?FN*sszR3Oq^I z3)z=F$(!{ULkVU>eFiAOo}Xd&&3&o1yrZP;NKVzE6!c1okKJS_I`PcC(Z+J!XdtQ9 zX7w$zH6BT`+INFLU|GD1(}#?|naFrHXC~ZH)+vvrlj{bQ1T((nRzbDGlsBYaP`%D! z6X;yr$S9Ydpqrb9+Kpuxkxi(o8#cVoyiw|g;n26eSB5iM;friSla<+Xyvr-|j99xO zciqLVJwJ}pTcsv0?aN}gY@1c?RW|&5_xdqVtBYD3o)Vt$>XYo=NAjjLm7i8lo^gw$ z9F(7O6R-3ElLpTTvwra6$f zb|^R}W*ZcIR`g{JmW?VSr~A8{n=aqCJU4l;Pz^ml|TuxemF69^qCtOG%s0e4=tD?+$|gMS)@kw5ZT z+Z_!}R^CvzLLW@Lcw*8WxRJHI2n3sQtu5$m2&(|-@>+NylrQ|&x@)_^F>dc$dwPvh5LF{W`3e-2uFxJ@{)eHZDvU9+8ArO=l zqL@7NEy$r)yQ;z}YDQ9FvY@N%E*k)On5z|Co?d)UL}gjW=V6SNK{$HjrwPbtKVYUu*jW8KzDx1yG|NPGaJp0KlW#9zrzA<1*Tpm##BkF;cW*Fe?lK5_HHLv^Nm-7w@hQY0p3y6W>lQ#f;`gyaBmrw%q~3G-o$ygm83DLe-OZqY=o#ltw-F3t0&xfNZX81NO_zjP zrxXQ`1Q}X+d9R8_fx>+ow0z&@-=^Kap;~}RngOPBxnKQE?!g{!lt8+9r%$aMQhNwXdrX7nOHzqbI5@(=w!seam=Nm4*f1Yk zIMu{9s2*2pfx2E>ub_Zo51aaz(-APRmC6P+^|NV%k2UWl4D;mYZe$mFWEbHxdf>Jv z$q5(PA|N<{n?T)hwq2UfwzuM?^rX-eQZ0Dp)cf;)C8r0v8B=diOpUbKJJb1#=`aM< zGKiESnG`U+>}^YkJpWg6<{OS9gT8}z@BFduh&PGc27QUlfbk5G&se5x)Knu>>w%iw z@s@8ad~_E+k7FF18QbT0*_kPlz-W$wmP36{=MYBMwFWr}`?1YLNcsG>MxL$DJ;L0T z)@H+O)*7uhy;H@&;Lbem1rLlf+4&g_y_;ixK|{TAFp=mwjF+!vD|HT>oU5*!07yRo ze$XgcMHw0_cqz}G<4$bc^4xKG%hdf!cw`s6+U}_9>{#8YW?;xbEWcXu=IGTrv7n%A z8<|9}>nvic2BFD{ts2BX^?=BzZFMplT@L8bl;x`4$t60V>6S0iLT!ODY#5}rSC!@R zZySX8SG!xBe<|tXs9Pp^qeD)6r0NGNhqFzn9pS2ejDDu&gl@CHHaBnpTXuyJ| z`Lw_cz3XHB`1rFp1qQAH|% zHXuL1E~|g*@-gy&Q|=PlD#mQsDw8D==cVO3?(*KpmY{R!dO91#hF@c}#lmt8cB29~ zIMO~G)ZS%TNZ0*!#2pl0L7at{joUzWx^6I=fRyOQ%QLQ}71|#`uKIAvgN)}(l;ec+ z_@Hl=pYlu_MPIn~rJk$UwbVm8qCAz0El-7xZ9X}bIHW`3X-2a`CfJ_wEj*20Du8i8 z>``O;LS~O*pI@NJbWL_kON6bh3GVWgLklPBEvHx<9q0h#*2UCjL$whhPTMF$x($d+e7)M!dv!8KY?8lhJG`~ug4`aPaO~*0IS*Q% z20QyT;ez|h)SLa2xvwnD-)KjSBC16E4jbiCba1$!(;XbDpBLdqK8R?ygeFT$73ed@ zcP=!YNB6-ErS%LpX<9N&U;q4=3&Gr}u-y!1l(a1Nu_|rst(QkluvE4Q!J~Fxq2rK; z$48!#KOFH@-K<*18(nE)`9c196*yQ9h-}_g;f*>)W}{feJ2x`IBbMw!(BsV|L~_#T zPg;9H1<#}=jppGc3C6fy(v$NmZOI3pWY7jlS zP#;}qdx%-D>!5iI9ptAx|IHYRXyA@S+PS?!L z(gATf8Hc($4kqaw-pP3(+^gyOaKRx?n-R}MXB*kd3_u^mC$WG>v8#3@?MPisTqE1P zt!38;qan~pasy^dwY+lWW*zErS+|jMECvCZkQVj};G|QLF{3gz|DmF_$p@;gY;0eCSIG;=Wv)9Y$ScpZRCs_NdOZ}YD3VF-9G=I6$etRi^zy^Bon>z0SwapR#WzRTgrHK4(?^f-O(V}B;mE3{U4mJE zaJt8KDeRFQ77j>~7(<-zRY$FKHjh=*S9AL-a+@Wf6Vo*wqWxMU5M5 z&Y4cZBoL>qg{7|0wZ4^L(HjSiK{{Boffsn)L3oR@vocPJ50*$3+#8UELu7z5seXhB z$pNR6H@0tSq3Dem7iTO|)OSc&_UFA7dnw4TNqh)Cc8G!=NVlntez~*++2ji58b>`~ zpO|wYCUO0sf$I2N(1cTq^!7!ng+8FH(zJ>Ph_}Rrjz3&YHJ1sm0_s{H^wbM0wR97< zBe62)^zY;>eT0(i6WpV=GJ2;(7j_76cdpWomcqHvDo zYtN<*ZyA+Ysa;_0(H8rj2u{A<*x|i{F~~zEeVCi9I(;jLzUt%xfs zd1)!G2Qw5v;&cX3W^P%Ma zpd%ZdJVsuAJ5VbdhfkndmN0N93XU)m*)ThqvKm|~67agk^yu5o?3+;yjj5@0u?BpF zp5Qsb)ehVpS*9~ugTU!ATJht^dB{Av)B541RDPvDWtD?(on6|w9F@f(xVqSG_V7hRP+@$0T)w;nvtjy9?yS8e4DZ>5OQ z+-g|4e+T00=0aW1M?Gu_AU~I5r-~ilNxU=vwxL$!2Vi?Mb9hg)!Hue8Bu<=-;GvH| zlL!OVr8@4-hDm$nT41Q!29Sp--f#sT(6Y0CwiQD%r~@3$iv4?a=CdzpYRCn5U8hR} zioOHKj5YbsFx$e&7aYe$)D@YVE5YQGt!!epi4AGE`Do=-;YAKpQ!5*aM>e26mY@H` z804w&diKxcRe=R9iRs$lKpZqIzfI%eW#dM@m7IX+q=Gt+It1_hwJvR8 zC>v)vXksW6Y27UGTJveY|L^~O%$RNnU*qa%Y==Igu$mcyOEASq{$u2chZb5soL*XE648C5@EqXx@&}ana~qJEq(3T?rb5!7p{h`JVL{_ z^2(N>k#KDDf;Q|;s*k(~9qo~D5k_5Gj0oDHuY2Nt6${V~qNt1NV~vEQ&Soz<-+L55 zk2MDLk^EY%)X>nG<3D_#4DBiQsrjh!bks~lKd!1XlI>artJN9Dw}f}1?SEqrq8;nWb`L0P= za(|5lt)*9a4%!E^Kw-k@Lw;_A9~=T7@~91B4-7zSHfjr+&D^b&s4rpgMh@dYXM1 zW&WDsL)*9BWTW=^xZ12aEkG+@fX}@lY`!~y0&gg&bAKBmn7z`*w$nbio(%>lsRs7T zmS$g5(Cl!URN&>Abo*dF3YO`M>I5lvOO%t={Xyn8|IOf+}6DX7S77E6O&i}p2 zRVG<0U72-xt=uqU73CoNqe=URA~*X<(gVN+Ww8WVq*#;2IZ<^F`8yb>FG06MkxohK zWq2B=%uYbMo3lK0;oF2xOoeAdUS-tZi2E;_i<4U=YGlWWdV`6&7clqRnrY1oO|r1$ zuV`6eReRbHH^{GsLTyp+@MdYM=gLozW*6U(`zBoox!!kAV5sw41TUS7OVW|HzWpZM z2xg8|yaP#~rLOL_2S33wH61tTbW6i%M>!C;4rUrmN_)`7_I~Ih>X_v5l6O|6 zy^kz}>#MS`CPj2(nox%@GL%qaztBmE-;4Wl#;KxW4|K0LBB2U zyi}V!=^)YwI;G{#Por4SwPqW^Z*qN0&FWlR@u!*I{Rzu z$tiiJnrIEXU?Kw6dfoGK;p2qwD0N<;WnYHE!`^e`KDop4aLvj9TE4&#Pf!#toz>b*i9>nrJea^x@W(X#2x9Y|Ip}GTdrA&O5C*$u zBk?5OZBBlTGab^)a01R47%~th#M^^CaG?IE!s>LzEY|h(HXc}I`rW?{OR&9TwUhUApwr9Q2RE zYO{YP*BQnkj%~2x4s0Jw07_AU7hf&qZQ<$LzQuk!lEbZL3Xhf*Ds&Y$1gxCk4Xg1} zlS)OR4Kvsq%OQo=V*u}Ix`7;j&X?w-qsja|wi)WF)$)tY#?ax2{>yJPE>Ay=`1;Tm%xc{|&Ev-d5f|5@t>YXXa05t4G z&~Id*m8YC(yevPg@KYPIbA-I76lX-gFFPvTj$dYrv)Wg?EI+N--_8b$D;zFpyEqIZ zi6a0ypb*u_sw|YYglNp&)cg;2tP`~xO5*vzB`&NG9-dMDIjnTkUGROmTDWb`+%-f~ zMbm9pAmuJg$?5z7K~^ScFUDOKW`qNLxC8M$1YixR!DKYn0qD+%w@jnY@E8r|9%ulD z;-b|=(Jf&y{1DF+7W%hj6JVrOt6q-V2;>^I20_)~Sv}&BfW8h~(DFA0AE{$O{;o9q z-sjy>_gdL_3j z&w5X9$I&NYe*k&dANyoo8^D1sf7zL}$T3!)Rvd@#cTXQPcR~5~?nVHTJOFLCuh(pz z`FmX#$4whXHMM2b`Q`3#a!w5#vtZkt4w`wZmD){6H(TP50p5YEXB5DZN#VtI-G(!C zF&y!@Jh1wgvk3&ffwfHn3l|ZS*@mFgxlWzKLW! z{Tq#kYnf@SaCM>$0_tqZyqiuuMdnB{s8B@6FNJ{Qz*=iL5C=o(u6d=HP6UvPZ8Scc zknRTU-e3o8DABNb*RW|6kg-p1mgvKsPzTy1@uDqQ7(}Y?6k#0r8VLk$yK4nhe&JZ< zz|i_|6aL3M;+{r+)H&n=`PQq@&ZD{O(m|HUqMw`Yh753xPqWr~t>pC%vj`XocEfc+ zOdxaf0V};~sJ=7?w3!eh*&ongDdQ>UCa|Y%O9*qZuSP1>tLrnFsu8 zOYFWDn;VFCicb=bTAcs24GK22j9ZFU zbx(XCx>@zFaHkro!?zB7NHgj)E@p11PcZavV~BHKh=agH`GU>AO-ivt;y`%#hF)ke zus8$-chAN~EEXSYFNHU#n)-agDP%zbKGUs0FOOG$@(tJSN24lYl1iI**bkw9@ z{>dbcnd8;GcyLG#o1gL=Jt-lR7+XRTroBKzzM}KXpO) zZRCS}BAR&P!~8W_XF?kE#SrO6d<2+ftB^7QMuFg0L&!432+tA0Gr zS?s%QkZBU477zLcuEV^R^$psk5aJyHT6vRsc~HQRSW|X0bX)Jpuso-g=U~_E=rY75E56+&`9Ouo%7PUEA@I8SWI0h1cZDJg(VDwGS=_0 zxL^55j#`#mq-5~Sb1D@SB;?p;$#>)WJVrd9fv2AYIk!XJtB5-TGu%q6 zx%}6~%cMYSlf3leH9r5XI_i;qZ!yIDMzzgbRTV)_z%_GtruH^;MdRi7Wm`x&cL#Sq zDzN;8^YEnZ5;@@+fIfIqMtl))p1Y#3Az4uvVbQG{E25UKiEMqL4Q!R%2A;g#qk!Z1 zv+hX<*!c+7kiJkC=BrtA=ZN*ZW z(>i%A)Gb=1ATYb;P#c+G9>;1Ubc{>{HN(+~2?~O#ml8atJNQpbN(u6)Q{>Zc1bVKl zoNiM`)tHi+T7o9j7HBrsC<+FXS3gstJX~(BUT$J?l#=&C5$c`V0xkxvAbfcNi=%w2 z(;E_e)}hCoTan+xjG8KH2pXx3bGvP~FQcaBb?bJcY(4kMAfn3|IS)CJk9p?kFeqI% zlnO7uE)Sn}rT`eRi(TVtxxJgG!_wEol8&QAC>P7Gu=0oj#6lKtsN)f+zSThEjHVhA zeQoJpIKAis-N4ID+M?);MQYY>+zxhlvou-=VA%GbbHC-1l z$>F}Tgw_3EORXEi4tXYFz(UA2V~VsFJ#aT44^7ikLO@e&I3V8D_ohA7)Kk6L(-Sl^ zH`y-qWoYJ+xUa$!8ar$kj?q-7(lfdZhxtCBx^S!X3w~9FEx#ra95^FqX1Z|l-UzA= z&~i-)WYe;jl=L-|>}4Cx3WVw3$pLY%y9;korDl_A)Bn(1NNfY$IvwD?DniT$PKt%c z0|9^D4bnx6rBkn8-@GNUsdoq-eRNoH?B!M*p+*`2L2rawn;u4F@mC7bbWF-BcH=0q z(bo5n@nQK#)l!mu>(5`f17=D#eY0A1K}cJ>c6l-a(eutUv{n6tk2jx9kj~>N`)6_-eBQ?illFd~Ba)?8u>zF8-n<7=WSOe)-XQer%g}9Q9ixQF z#0&Ss+!y5R^h-gVcS zVa!iMy0s6kTl+{*`8e{^UdaD&AhzYYpMq$jxAty4F_NpHQgo5U7U!)ZS6fKC0(%z* zzx@A~Kl|m+{^jG#H{ay1>+`$sfB)s@zxYABUz8u`Kl<%=U;XyuOa128U%vV3d+f|t zUw`|%Z*Jdxx%43-l3V2GUiz@U5~T43w>VKEVvO>0k0+G|{xI?z`lDzGy2j96bAclY zRE+{Fi1^Zs)YPBe16PeC5P1~WQi)TJ8Y9i#PJeB@PNnD4m=Zr0BemT!) zHy0{Sgbi|UJehIciO<;@)I-&H-dyypn2aO878>56uvUtTet7CC?yG$_sJhTOsUur( zjOBjjRJy!WS-YW{UW8%VU=nWoJ{?Yh4U$6&8@onGm4=?`emO5w%^8n~-29YNyHh{F z^gh9+&0|VIGR;aYPcvqeh-&xD+>g0O)V&n;msxk?XFfFPAG78go!h zh4$~{?)A+EgktCCMk_jcQYqM=g!Hl2c7EvOh6?IMaoH>HK4>{@Q2Fgdt!yMne5_m) z`3W0Zgf^gwV*_kpOTar!F!@e9@cC%TH_4enT~O)0Su)YQ8>}+1VME8HZKgUl{ksjN zIYYwO;Cp08leYs+*_@8*Y?sZvQB~nFe0&5sf5#tR@^;WTZX{z$X&=U){g8y&K!{G3 z&13oW?4QZ^>;)~c?FZ8`ey?mh%(wdi820HQSuk%=>cg;AWI8YuAgt@fZP`Osxb(8Opdo35D zwifeO?Z8Qgn|-4Wy|)n$Ep7#wBk6p{ow6q-Y zb2k3n0A}|kDj&`8t=#3!UV%f*Lg>IxOB=^?lExDAj(_G%W(&UgXoqsIfB*BL6p+d| zk|H^DK7J!+g0la8y?h5(>iGJDg}f{mV*+U!g$=c!TS$ml&Cd!Indhv%Hb>j}KoYyux$U~KT{4m;{un`#KxDAP z!j#oNfi?ABRIfTS?(#8aY;jZ>}==EFD=k*HI6_U@yL z79m`dw`+)Z1vL*4bhb6Q`Kll=!dyNOq*nQDbhWwI9Q)_;=U~~Wn}0{*JrYf|X^Qdc ztF_r;7~$1@g@=sDRxtTkV#KTr%FP{^lkHx&AV^p2HgKaiOK#a)rClN-8DTQvfgbp} zKQn1*Le{u+pBZvlv7?Hi4EH!!6r$*4?C}{cG&L~@)?~#fld|B;jKp1NB&9g!9uPeV zAx_gIlwNC=C}pokWnX=1Rs`uAz_MquuRy=LCy>VuGyqj3;QVf?^dWYn0S@egG% zgDrB(*p|*D;di>6>bpS(AT?1+i%4wZ-I7z_sIeeKBY-Y>G}PvHD$~^wI#?GX~{YP>JL)nFgtD;B9bWHqi+3*jgktkz1F-bRWr z4X|Zo1zcf*hRX6++pZ=iC>59;hezT+1=x`d*fD1QMg{KFCxqy8WA<2X585&5LMpIm ztozG@*Sr3nn=y2bx0t5|QJa4Nzk@Bv$C^g~blTpW&xgrt5cc7;#0(MquiWXHEJw38oCl6|sbgitXuD4A*pNu z*#BZn4?IP^mgIap|NKwT5vph$!ApVNFEK#KCXRUe z-a$&I5i@uAm1~e-5Q6lNRgnr2Q5sV2o4 zrRgEtL>8tfwX`a+g`ViqVcDKktbx#G2Tq{DHTp36{elfmw#2o@Ncq1zL7#x{q)oHo zc|qZ~wAQ@*G;p9K;(Lb(g(gY96UedOVYY)ZcqzvC?kefEv;~W^fuG9`C(f*!Nk|So zdAYxmMS*-*dR^+lMRAk#kCY^WG;&^P|KL__uU~@m~z90oUBpPZ};=T9F`*Y2dwZ1 zl9b@K9d@uzw656{xDqcItLg7GIBiM(-fEW&PC}Di5`K6QY$fs^$bN0ie@;i^+HYHP z0(V$NE5tuT6;y6d%ZY0`tqtXpc1&UWAC#kS8uyRH>ly;l*Ar;iWl$BZe!kG*<5S9H zOgh%aj@-G$CU7~Y-aI6zx8|~NBQhn2d89hkJ+-DRIj`3bg^dqJX*b^44rLq%kj7M{?=eYjE1)YT5;;ShOnTrUAK$s z@!{O9XUgy}rL7h#?N3fDjfjh- z#b%6PS8RLww{SgBpq(26&_K*Ay_x*3(tQz>ZNUwbY21WwkB9wb^69#G8bWwG5e{J8Za%_=4ZbExdiOAX?BFd zRb3VQ4aa3&q*710gE+~v#LIAR5Qmz63(n|E(7zq~{EcAFr{7IGO^Hdxm1zNqH8vBa zf;}IUcmj80K-lYsDH)wi)0aC(0_9%1rc<@JJE(O~L*hMkz2|$tO}XXI-$`SF`5^{k z>^80*Y7K938TjHS=du-xGBI@Mg|iL}9`PGMdi(TFtdKdD9{#@en5H0|_Ow^fV9 z^yJEUs99c z8{b27#rLRBFZ`t0tiTfet=mO&%oMxpw-$zYurjW2#zT>8Nw6Y{r(Q*Ng2S67?Bw27 z8R;3yX5f+ys5ZfSMIC_XC91KO+P1GHmZBAaDndn0`sh_8Usmw}iJ0^Cgg(jpP?nwM zChLrzHS!JX5ild96@2qPdD>;e70Yw=d;I|;8&O2M8M(Hyln*_4iJPoUDB>5u0rs~y zH>IaQ@J1!$ilfyb2NM^)NCYycm{YSxbVq;K3lTE$#c*t6`nv4}-(HQTZ8D|+-Ugb@ z0E2m$EL-mfMchW5Q3G@%p&e^+QQvYH-=4BMK!OnAjwNMN(sHA|u5&Y%l=nCrs72uA zvIPTL2MERwl<@Dw!_39$sjuGjhtI|Ri&(&R(Jcf(>6|CH~+CoZO zQOmL{nqc;|`z&#qgg!7N%O9I-PWYk(e?OWeDG&|fpt7y(Ht@QDc}*uRE=9`-6>1^+ zKUatY%O)GdUo0{2TQq#qPRr{Q}@J>dly~7d8F~MU~7mZ?d z31GJ?36aJFA8g`imywm(B)zeqS{%TwHByr+F^aqj7$fW>_g#}jCQnPLX2KvTlC!hKFW9LT<*^od?u`JC0kolV-Z6LVTqywET0f=aA_ z30u|@ckGpZ9fMSsBxksN@fM+A@hkzoV@ph>}?b7iG zgXDp+I=cP<^<0Fze+GIj6*#X}lQd@oZ0+_3qbv?-&QmNyKD!S)L6p@$q|Yi?iCUn~ zf?_TKbOyIN)nNtPBsaSuf4jh-JtL>xJ$N#ps;1w!R7%vmP?Rt{&+WP`+fL#Pfl|7W zx8*?mET-zsXCqN{;y592uh1`vo;8a{UXi3lQ}X6gpt_Hg(I?DPaeueQ5K&8vVra~J z6g?y*0HE;WMwQrZv&cr(DGv%0!W8bPuaiFcr`B8-?ULAI=vvT-F_e8nB@w4>#RK(B zLKQ-z?R2VOu&odF!!|N;qit@S>9HVQQ_eUn_J@z|VK4z-!6LJpe4q@Rd7N{_zb>_H zM}cqDi(i4Iz$-onfAlsR4{MDlAh4`R4C^&kZ&nPJ)OvOXJ*$*XA=`^KEMi?!^4{>Y zwekRGh*@fAp*Orc)s~bw^`9BN7p=bc3rPlmnnW-XlTI8Pve2nTNTh{VfDAK}unD3a z|JK6BRz#J@u@cf#^P9NdMQPdqnBq7v_}x8hfLFbj30wHL$rh7)gNL$vdUv9!DH%3} z`!r6zOXIgB+^r)<@JFx$yN4f@zV-LoaNKc#KkIdXYMli>y}V*ue2D;c5Uy)zpa?h9 zuIXyO*LG(OI0v}!o8U`vU+h|+85m{P_n_|?s3Gz=)j--|P~01Pf5osM_GlhznH(og zm{68-)*%zOy5~0}(_An|E>D$-aQC(>!T^P=Z}NlDcw)(Ato%26=hMi$ ze&yK|`uavv%4Fi8Yav)WdNXrxt@-M-f91pDwu8#SZ>aEBLT{t18`KEW(5-QG7Q_dI zii$T3C(KW{Nm8g{EfUsJ-}!3nMyoi${x_Jq%XSNSli{?2<#fx*6=FrB0ik*cb1z;a z!%EGudwI`#Rt~zkBsWEZdcuY%e3|nN%A8VYFPf+b9JcN#j;@m}*aifcCaL6!=(C9e zK3*C_8X3YN0&t51opIW)qJFmzlfI#}nRK%o4(pS!c$R#zTeyu%v|@p4bOiah&;QDF ziE-if;B2IR@^0T~Fy~3B`B*4a0dFeB$DoN3gf(7|9j5kiX`oQI3bSSzVfR@=m1@~v z9heIxmfvzG2>Qz*%EGW%RZRfj`rZ%5Hz$!Z$Eo|(Y~wC!v^_WhXE3`I`pAC7{#Jp( zAuDM-wqI~BDE1*+1-ygMgQSjysi?BZU+Eq9U0F3JN82`ly1&K1IVFLzC;2Yl5;I-g&`t;x48l0M@Igadz6-k+M@|IW$}`wX^u7e#>l`q8Y_<#!z>yzQwn%SeQf499c$_< zTKsHT22qWrSK*OwAuqn{skSsUj047crmBQF{t))P2yvk;h?0-Ulf(tXUS(-s4+Hp* zfxY0gv(7S>>9S7Del|;5d-qVodobHq|11qdn$ZO3+%JUxDQcm*Zv0M+@3=GR&6v=L zUC5&MZy3~uH0Ld?#u}&fp!GD&GW(5r^`Z+Cq`^8+_8^Lu+$+NET=Hj!hOOb!B^YmF zC!DsvxMp^2fFZ}Qe%GaX`t#5Y%9K``u??=>Q{z*jO@etm4DO|+UxCpIa!SvxDZLk`CKX8>y zsH*{7y{`1QOlCZL)?e>10w9gtV0~dGD!Ms-M4004CjSm_cr#^MxjAeVM3*ilBgJRc zxFl^^MJs8Aqz3?vBZ?(@NZyGrz}!I`I!ri9#`i)od@-9Ar;p7yXCU;k=cKKueJ`q0 zsb)~6w#SnyG@&mKv%gw_PIZ+8AFqt&E!}H^9?iPF3cJR z2nuFv9o*VUH^2wJo#U`2@#g_7Jq1zJ1@45wwp`Yjeb8PTilePSUCop8pl5A~(M(L< z@uTmz#B;|1+?I)^39A)XV2pS>(~7qeOs5X?;3|NpS~h9*RpAW0Xh(=gZ!M7gd-K79 zEl-JHv{hc#qO+%N!DFQ~EFghQ=Uk||GT*`_P?MSfLW0yLy`DdAW3tw;q@gfax90g; zbAkS|i2|si=+%xkS;-eM3?MA&{vJYu>-_U(kO;?a8|u7pq*~37!Mh(D{lz;*zzx`D z2A^!xYIf3vifhMg!;g{>S)qOM@yqq7X?fh8S^U~}@1PrS>xJ(fFPMQx5F{%LU|BIx zA!))Zb3W8|NSK6iLYwE1jEl7kRtN{FQFqZr>Q$mW{o_ISBjZQgdVmQO^QQZU@WZ?G zlGa-BQpnk13*Fy4Io_Z5D}3L#Xu+4`Zws=!x(>}n2#Ax%$tF*C$sz_J9@V$eMukhA zXFKCxHF?eK@Eva2faljq%xfZnxRuCebAF6nDaplSO*j(SGf3~BCy8L?s4Alru;^Rx zhI=Q!KlCmD1wK4DgZ03&q&(LAJ$V40t3D%| zJ7_1*JYnx2nhuL+o9qKFz>4#!@84hmYgk>XAOU@{dexBJSmiGDrTwWw^T*{ zXwm%(ds+!{b@hlvTk<9rPu~XcRO&b%r7x%oN)oag`Hz)p{#SjP! zRSfSbHi|cdNp`>4Jv${5I--nLvG^*9`F#4Ja$q|ZG!U+Y;V>yBuPKf4)(~gS1*=xu z*CWGpLUk@TVHxw7k4zd!d zvX}}NronsH3QKj~l_kt#N<8Bd5-LLe)+VK&o5n#*N5%47lY=qwS*tox9c)$OUEAj# zO(tSd`sBWLqR8nS;s4i*6wrld7M$r&#T~gKeu2A5K6I)C+=MU^FC6y_-NCa6>po)B z0q{)}P(_u0rBFwOe5y+zR>gZg=<4}Wx;7<~!#RTKYKOyfbAWxm&M)0C zmXuEDs(?#`Zj6S7jz4n9;cc~U*Dzs)SL*U1%gL+ufOCNr*4??_PHDP3$6C&%^5%eW z92zl9uJV;&cFj~$N}Qh14BL5})yh3SjFXD=CQF8ER(l66z<#78W5%2ecL*rm4H{rS z^d8$|t}4vF4=_>rYol;D-cLK{2s+*(Vv2PIjaRxw03*jXiZvbPHNsJ5?Ce-vb$^Xk z=F3TG0&cYj>AgDzW=QPH-PD%>JFOn^Nw7Sg=Ps>|;Mn;OGke;+Uz4vjxvhY_CF@j} z6pHm2?NU@w3b1d0H-iEB1Vb?IX_dq&c_u!8O#fH!j;(%#{Mj~-)K&x*i_0$2mZDw3>PP~=tM|BEMuuEC z>vQns{X~`FqGl2w{4-@<&PfqF;m4=$7?Yuh#zNNvTl?UgePlpFF6}0j*%@IYpky_o z9m%Q5WKhLVaqfol7uwoRxo$%R`|u_izaN`}a{p&>ujZ8|Jx!z`x`Ycp*;W|dsRb;| znv#LPmT@7Q5uB=t8 z+!cPt@0$gl`b9aN!3E)#gAsv|un5fzgEJj{AR}{gf-zrkgw?L3eP!B{$wD&6&tlnO zgX6O-cLF`KbXP2S^(dEp?{`T>I+=2caf25Z_JES-)=KNP2+n)b(ZwR83#gkb=E`JSHUxa#V)0riJZk7Z;|_ca?;MZ@r7S zMX1&~401kILH(jOzcXS;CdjD@-_T8s56VMDC=kvnvrSmN#BlS*1zjUTZ8h)y4f zE7x}dpqnd7GHt|}sWoKPNrGTC=p*hO%P7Tr_t5BE%9BA^Un!X{Ho;4FwnXMK-BaC2 zw1Ya|)371c<&Ke2(dC*mox_E7!>+r-srk#fz_cDNCvMLB^E+wwSq-w>+lX0+;I6c4 zU}W)Hy)BhqhB9QV`{JDBg7XQN{`T1vFOg$Q;aLge1c(m9;cmU3jH=9 zY1Vyln>T`L%xP`xY~wK&g{ER><-v<2;dW`-;TN13U{Ms-1}_~OC9eLF&Rh&%c_{o% z2*y-OS4+axeRHqE9-++=Rm}bn#D;`!Ew;7jnDD155Qy<|yj^YF(o16{UjAh_=>HA~ z8m)}z5jZIE$XK5>KGOUXGd2u@`%(9$1+ltm@2~H0P|<^dl}fXvez=6s3l${Yzx0|8 z#UmV6-OqjO#?}?M99Yr4_W8oD)u5i{Cd89wzDIg$rN&(u>)j-t=US*npo6E7YP_#K z&ii-Ps2iWj{FBCMSO$&8M4MSE94EkAE>J6j-=i24y$jt5k20m~(%wcm&jFV*>vVd# zfVf{#JB**(g}vMwlqj#K>;%eU&Jiv#d@?;ZLCUchvanj63u>YMpnSyZUcsLdq#)y% zB~P6IFgHbte9&kv^rz_4IbY>a>Ro6gu&Gq?hP+d_Q3C%QmK4o|(N|`*C}`Rzj92dR zDVQG`&(#44)Ir~0$~wM(cnc7mDuZx-`@2%#+cL2)|K4IgK2G}?CfA)pig`8dwA zOfZwp92}Ke09wEj^4P6!{^oOY*Tq*nkkBqb;Sm12+l`OiGy+5=<)UUmfwrb+MNx03 zJf}%zddb^w_`Gbyn0}dNnd)%oy5|iCQ#M0IkwUJ!0C8kW%xy9Vu3*^7_Y)o7BVnSc z@T~j5)x1-0RZ)!OLs;5+Y+*+{J2I1lG77zWDY)R>9i>~$``zCbIJ7>1z0BYySbt zkovY2%4;7P6-*$aAB^P?CO=?JIQNJgrLrQ?EB&(pIDZ$P2PhtD87c>xBjlL$_{->% zh~U6|pm8Iz-bC;bDoZ}>fxIde3@`(RRHfF4m21?dvsqmyySQ%n05aNmAE|nqj$()W z0|vP8b58K=dwE0b2|&QUT`Ze+%OH=v;pr)O=M!*lD+bdHFzKA82iXSO`81ei8R?82 zVvHOVTU&_@+>oKSVmNu>S{raUP+YTH)`VMh^v2?5m@sYhQ)k6CBhqu54uD5x5i%nl zuDGvXP5Jj2*tVJw%k0{4Hx~nsAz4S6F!Df4t%sq&-!-C@lYu2d%|D{Bf`hnZr~)vB z_-T)ksXPQhf30`IrgFdYZT0wP@k{tyIYh##+--wW2)_FE1<=6mX0rnhMYakFYodm{ zUD7>WbmaW;`c=?D7HMX8_SKNW3^&QLCjvuVp#T2ywGeC;te_*3hns}UlxNtC8eR+| z${iG5sAn@{`4jB{ZQ<$+gB3DPy<$Soou(Pb82IHr~_(pn;-P@#Y>P7VN=whotWW~8P50&cSEwGjTXZu(;ahBNItbss#j;AoNi6coGu)$ zm*8W%whx-G*D&KB^JiA#8OtAg%?B15nDSc@>d>u8UP}CXu8}S3RcDM1nUHp0+@x!h zKy`qf+e|e3jOvU323T(eNomdj7jEitv4S9(gy;^)nynU8Oop_LD<3JJ-f>+X@=)*( zHHV^#ESc@CB}~^&w(SmiXz0qE_ z&iCU;j`yatdy1eMHMpwXXdj(}K2_j`vCm)GPVeQ)<+inKa??-;=rY@)Q{qB)n-WMY|K;YZS<2IppVzBz7Z2AE4o5cB5C*}@a$n3dsmfOj3Tf|(Moza$j`5@smT zp^-38o}}r?vZyT@Zi>`+g%T=X?jZJNmicbJqvB^6WU+#QUe-l7`n&Bx!z#kncv?Bs zXivV#_(d;PBxH-SHJD^*A~Mm`C9puyA!fCW&Oi8A&^UEn)L0*%P9EJh+IN6?lQP5O z5jK&A+=Ug?eo+Rd(f;R|>0&CN2K49NmljhKQS#?@z+GPI>6+4pZkvw=(NWh4-1iE# z{9HE4q_e!hi+*BT_O~72rB>6N$L;kqv?-;OIQ>w(s|bAexC;0TmgL-Ssv{8KT&{P_ zI@VvOLz;L6v^0!n*f@PWpp-#-Ff5O6jTd0)dFG9sjK0=FT+jqqm06Qr=aDTFV(&uy zPw}*Jc;j2D4(4=kYfq$nA8obAD=&Zg6+$a4Yyp|8Y#jO{vkRW3ODkbv+q`s2Of1Rq zbtZN@4OvygrwRyKm3>Q;0Ou``BWu^KUkOx*;3#VJI66+9_A&mckL#|Y=(0^Qi{Jw0 zXpx|sI{Q%mgOOf4BVWMTe**aoz9`U#DTOjJ(h|Y3jfuqew;$T)w}%_0RYjlW;8?G= zn;Ns4{v(|*LYlwEvxp}iG1RFVbpG3_4yQa~NWP;$o)aXG7|b4?R=-`pWzMlfUpkyf z6CWilo(tgVJ+5E-#)vN%C17pVmekOUx8E8t_o3=wy57Sv3!L5`=Q6{Fn#Dv9JaOdL zUm3nOi|OvFJSFkUts+_Sh<$R?X-;kU>V{;uW{A{o{iXz>%$*W-PjTLqG z(pk2faM`RRQ`+tce%-uulpz)lA7diq`(fg{a+QllAn0mw96x5LQKrkKIMMzCi7WRn zOYVKkqkK41o`MtBvb!QdEj5PWw3?M16H|@Gv39*wwzi#@CgfURjG-i@9kJc!rNBR3 zDR~JorVW&)Mz|ND;ui7Keqg!XPn6aZJuNMzB~~;m_JH)y6MsT6s&A*rES5#3C8Hq1 z0<=}HmZ>I}IW@X7TG6W+>1dPv_#GR_+CVjK2WTY1;mPoz$Bi`BvcMmwHh*kpWjJ%X zm==UvrOQ>91Xq4Qg&EuoePzarVHJTQ=(Fq7}AP%E;*UM=21ISNSho#mOd zxJIOo`_Xpf&rU18#3{(~;a~N+*`{DO-UZ9!7uJtOOy!UHhK$YGTTlJp>T}3LA)d5J z*tsoF-o-6fWJ<78cF&1l2i0)_AA=vieL7npm&r_{ihV_+9uSQ_@JkeR(%0{SqAa(( zuT*Z6nX_EMCzGBup_!+}M8{8^@Z4YHe<_&&pL)T`Je9be@l86IxPL^jook2nN0%`M zeWNgK;j=IDV`;?yY|hvgX?y5>Tvp*wnAV{YMf8u7#Aa@*?qm{@6ryAflN?P)O(L4%ulgHB%!dk(bueO!CIBMM2wuD1iCrH)2m zepuTA##);1buaz}Cd5I3K_$Qx>X$m%FC2O(Sj+mMc{QtnT1kTv8>|sWr2I>ce+A$` zzfD5N+w^q1sTRGCYKnr1zPk?~iH!|P`MEQ~OlHHn2hlD)^fV*fcchJK8i%G-<{yxL z+3Rncl9APQDBu`fJDdZ;OOBvG(!Tp{d;U##-Kx1Y`rDOhdP)a77eSeZ_r{8K7^Pxt zUjv2qlq&~}P3#cUyx{RKI-1k#NbYv#aM{!CEj_40*VQh!?X#U|GQUp2x(YNTZ}Kw{ zT&5PF_k1;eEMU`C^D-FDX(T%&&-i?E^Q|5ITP*GBmmW1=1ri$gEtrSaNakw4PEnA1 zzdUOBGKQIVveJy*KoC_2NgW8bRowYVGBRX`S`2RYRZt3n#(MmXh}xl4=pUFG(g*bW zI_R+6YpXEk_}*BtDT}l0NtETTrTVRVsbAGrGXqfULOOy&aGxHGayrIIuN!_u-?iTxqM=;mgyo`6 zRW#mca3yoo)9M=U3rZHvD264!gGM>P$9`i1ALjV#77C8@2#MxSbiEf9;G|)68~k+Q z93TRW-?~MlJCh4;oM!wP6YFRHtV+gspl0&na*^Wt-o*%eGi(8~gM~bLO=^mQ%q#b9 zVe;o}JRRqTWI#WVsZ0w(_I(_miXSj>^t@kb7B9;|M8zKzkyhKqAx*qrkW4@bY?Xpl zmWr63Xjob~NX?c$4OUjdwt$&Q#Shv1J}c?j@_8Nc+4}i>;X}bFgmIl$}#hUpP2W;8c z_5^>bGuhTHglK%eL`4?Xe(jXm0mVYzgy3ei$pkL#{8i}jUQs_Qa*2h**#PLiH@(^^ zEg$=G8Y{Ruo@-|%K-(afDlm!^Z0om+cvgt8t{jU_r4Q^2= zMAHTpHoJVK*Mh@TUIJL5*-DIIn3?k4^VI(3FETJcpcH@Ks1%vTI0(YxveSnVhlnb+ zIp#%mdG}Vl}^)aJcQt9pB~BMKtzZ5@oq_1#Y_O$A5Yd6A3RZ;fMpeIzk?0-42NSD-Pf z3f|TLY2E=y-5i#z9B;Z#8b;s+T5wP}XA;yQlV#LHof@IN0*TAhcM7yao6XpuUmr5| zWS|ZofN)0;MIM_D3q`k-dz4~P<0W;)Smco}Kfw?lD+_At;q#c&I#3 z1%GP<$F?jiDsxE%jBr3%+ew9)5FNvGpHY!{VSJfUywye8c?v;-SkNm*{$@{qhS8M) z`^D1|7>@m?Db$Q<1i4DRCieS{O&B5juqrvT8Ge5!K|w3S?W%{ zL4%#)UdD-Qg5d-f>!+2S;Mua2YUs8`fF$`#iKo6G)dN%(apbi>0w1^G~=f<@t;W>z8wLjCaS%_|<; zs>s&cn!}_{g>|aE2HfK-Ac{Kuqe5n|gKc)*)lfDmG@8|VP^&uAiT?1)_2Uz?Y9;&% zAlsTxS=Iarl@Bp?E{K+s09i^Mp7OeE#%tw=SJ9E99okVTEb}OdChVo^`5834mz7!9zupAFD#lO z8EQjWo@2>BP#7MANh3pYg47n5!cl+AIK)|#ewJiGA@=|6747)D2C#jv$=V4DqlA1W zPEc<3Caf<4M6Mfo80ta3bNWfOXL09S+2LG;%D;tzWyh%CY7I2x0pV`w+=*g7yJ_>^ zsuWh|vOM?R@zy3nEpKh~tJ6+vAMd~|j`JywEO(+<(+cC-a+DCwLzCkrsv!@L{>7P3`Z^H3SQhPNqxfD1!O~W&nyOinl=lU zpCzWuf*D><#l|{Yi)6oewW;D#J&|!)7-s7Ruk(oE=loE)5$1_&2fKWWk~vxz(o<{H zgb4v>Cv~^N(|kxRW%cBn;9B@-_AM2hFcW;UkEfe!OTF;MGgzeo7B#{wsJG-7kB#y4 zlEYys{9))_DzrR{>(5s2fd}^FE`JoEC%OhB3{s2IZzvyiqqNVsK$ZCDJewsy;{sY zl&+dr;Kk@$r>c73H^`m?)h_1_{sOQxhRMryneSu+8+@8BUk?**hS1 z4_$vOlB+oB19J)_(|eK~V8!;_&5QLcZ~@m_+vbYz-^WcE8Q32nm8V6x?#5R=-`WbsH| z)?0JgaVs{Arbot*joweP*+8Zu9XFX~$K1}y8eXO}^ltBiI0myhn}g?hVf;-Uy1b%M zq|Wh~`Q>40Z+0MxSs392BcKgGM7-sin9rGZOdA5O)cB&x3^yt0)O{;o9PJ^0mREae zYj4INg95CB0jArBe$59CsoMG={Y~6=4i92I6xjDS=2sl{+1RA0h^~E%5}H0%i#9dg zHkYt;ug{A!Y?=0@o25+Z;t{Ja$D1;hKe57sR56=;Z0 zjvVBI1#>y0$XFYfK!E@PQ!Q38)Td0^GIczX1!ZqJ25)0bR7L2$C>+w)tJWxsgNtod zofYWx{Tpbw?49RPL9xl7mkTM9%du0_5rd3nIEnIU&U3OMDwkVrCD>Cf$25y}Hv)#h zfP`^3hGcZjC;0jl(IokN@HsvdsZ>oz+|hkCA}$v-saK$G5(BD<%_d!Sbe@*tVmVha z3VzEixCZ)#PgMz~#!(9dEKNi0!xA$FDw8(C2*IMH@IAilp95DMKGa5e-%JH?Q+=}7 z=gz8lEo)gD4irIwnz+ot&S}gcDuXy!(Vbl{G?_&CSS?xaxQf1;=Cp@LmP6Ln(4tFZ z49PRZsZ!qh#C!3jy5It#{DdzOlaa(7HJG%QP{oWnW`z)7zzUm=wPcC?8^|tgU9XN# zbeu%7?u!BD`0VU&Xej5sl}$RzO$OhDjlm}`2&ciL)F}-kRI1;cHVq_sqP60|MKH3i zxHl_sXKD>Nxm521u?$s7^NuQ|Bn|7S;Au>tq$*a+A$aE-t!K+&Yz|`xLM?s5gy3P9 ztF^Z^A%Y?m!m3*Bfm}UTh+~QjRLEHUi(DZv(3?o;BuG5G17bf-kj4c~0?Y~qDO>#Y zA`02eW3A3B|BT9^g8vP7%7RiQN^N9^*`(6GoijP(9CFN%a}4iLK-EV=gUoLFLw|A^ z#jUQ=9wh3H4V9Xrn7Jm`g@2*I6>p%LZFxQhmqwPM`o}K-u`|fAOgKGrb8yQdfA2{M zB$;FHwhB_>eU5T`^+)pndtW1^qT0c}fUuRjW1gpkmi>;e$kl(Q~`2wg{!P?G0 zXMh)T;XU-)`|>owSR!49*$=9NCyauyDnMrQsi<02tNiQN(kOPDwL z+}JabtJzHju_|{v{8D~g6tw1{y+Kr%;Z)&c8vg)K-h$J|@3|t2`6qr`b*Y_*qpL;+ zG9pRQe!q9)V(5kZj{Y~Jh3L0poADyqW3|~jHTJNU8v|G+@!^<4N$CFX25hdgE_J$g8gm`sv%! z8}}WhJ?Rhghz((PvM$Eyl75LSWcmIisA=3H@;!^neDG|j6-a#4CrZUdrsV!M991XW z=B|8tV^~zHcwpYszxiM|{%nKDkP*U1^5eX_!ctW5Gc#Hp=^)bV+e2QwAp{F;P2j?#4qsMs7sTp}NZRh%Hx}5i5Qv!FpmlN1%VsqZzxLB4s$8vC z5?QC}MB|>o75LTI8?-_)9R9y>sgRMyV{`oA9#+#@&vFxA#k>qG`OKcs`||L*CF5-# z+J`3?_gT`}f>?1J??6Y2^;7TP5RaZ8_Yj8#{*LMATocjZSj3fJe$2u>DS(gvU0^}j zQu~g*yg$FU0gFj3PJ9%1+17o%HTuSJJhim(`vJ5R`XX?K0F!Tvqq}br)%Uy%FJ}gE*cF|6KVm95S5qjG#E-JJS3wpmQ9xmlDNF((8UwT(5 z>%{h89zX6%x(OZs0)Q!%yUG45eItn}lX*KJDXK&o!B9ZX=z=v{7QIkfjy+3?kPY0M z`loR8JA0$yQkk)v;0>__kCG>2BpWe6_i*Rkt?(tT*+#LRl;RPSSHQIAHJbe{~aIaZ!Q; z`7B-2W+%|w5brKgqpURcoRV7p~z0zL%2@=9BY44^0ax?9@rygrx9JuMsmspSQ9B|U;kuY zZvtmaH=^T&K-+c<-BuQ3aF($KAArqxv=yhdp9+q`k%hxOsH+&n)3jt08Y71~^8TSr zM_5Dmvc5_~^o%3Ks}pQv6&@PrVXCD}^ol^23di!>JsGWN*WqkCv!_`X$0c1$0)3Won&m#oxJ?1T$ze25SN1UOKmyza-&r0f7;L zz?t1LGz(%+y+B=P--%r>8q%Rk4Z|n_N2X?~vhOM@@D)r49Y(O~9*7RE?0N!l(CIWX zl0EUgqIdLFyJmwg9g`JC;W*ZGwS(CY&$`yY4iZk$shsRAQ^wXn!e;b$iO z&~=1%iI|oj-2qQl)Snx&<#GFYKKS|C*!g+U_4z!L`-y@%C)w#xC`s|LjeFUosP{=z zs>(CAlSMJrXpuKaGJ1ddI{04U#Eq;Ko)Dti)1qnuP#iyvDrerR|b=5)T>BvP4us60TZoTLCGW zvknkiun2rQF4?@xcah>fnpliW+Ov2J;T$HlzHQ{`?C(J^5htinfZ^slLLf5n0?C5H zta6$Jxf79F3Xt&u3q_$Fj}W$*%PrMpmWXEX4o=(go8EE}YS zc`};MH>c+e-?p|kL>cNi9xich6CJw<#~N;@APA%xw*WEehK1u;&W|%1 zV04;VObD0?aA5u~s52`zp0X!-yEgKcb4-rt1djazQ>8jmux!DuI?1AP)9gU0 zW%`;0*V4UobXHhFF_QXs?&jFv_7wbGTTvXS<;{Kr@Q4@bY#$T+MSV4ZLjqwh$EvpY zck1tEaBV@OltPgQzp+8nzy&JIw5hjsc-s1?8%}Qp50=8#nZ0!C2GAI?k{fEnY1;s% z{CBO&r7UYW_H@RIO>-0&G{MdkL>CW?qE#jAcSwN=iQt9% zt$CL9Hlftg6sotad1qE?fg|vTU*=oU?SSZIT(T;UJe$(R9Ke`b&A=>rUVtCHhFa+- zdj7z)Q~CSRs+J$Q!PR*5^4RHg;OsjKy)zUTECtf9bx^WH9j+7<9CH1r<-iiJ^SgUV0#eGM#3?569w zOAc#O>y23eqpA=1o$Pjo(0WWTeql}qY(bZz?_uWoJN=plF6tzuHAF-I`*&t6NxS@A zZ&iEq2ykfBi8R|X|A4SV?wNYejtfE>V3YKv1wV7wlw6TBxDq>Z`=Nn~F9xl%#-lQE zl?@(6)O)GNa5GeFD9~5H3;kHQ#%WUzSZT~gU+AT(AE(rTuHnhCcVU%l4#fq%>==+l zG=E=7P|mUn`%^lIMZc`Q4>yIWhTLt*!ncPzhk<9!?k8oafKLZgzS%L|T3!qikeu4z z)F4~qpKLu$j@e4ER6DJ17FITQoub8|+w6$L`7K=GSqWOrRg2tvzPgCBVkn4ZV;zbH~{eRYdOLZC%MG^$6u0xio=}B})l9tK7&!qi@L0 zEu=4LsImzWDhIaUvSE*+BxFFO^#U^*Qk{g+7&Dq=wi&JUZcVx(tD9}1mJMtOKr~Fv zCZ4Q-+tU&Z0vP*Vr}ur^z~k`YKg~2b!WYI-JTAT=EMz@{-ukkZj!hxQ*_0=#PyS#K z`HqZdn;Iu|6G=KTW^*Zs9@Bc51v2$(39*>Dn_(@FYe@2K4&N9xDX_I+nLLwvOGLRE z+?>|^KCtomaoA`RyzM73X>_hVDC3k2$w%TRz-QWnY0C~2qsg%Ic22E`B2bnxJjw{$ zPX!5~FT0R(`2jf14^E6u0hTs+Dd{&lEp9bXBkvuWYd`z z3JOpeLi)w6G@xL!RuB1R4`HnF83Zi;9I3H{OzEPTI(lFxY-6%=iUu4DvYz$M5nu~g zn#uNNVeaFT)rWeH@d&~@dXE<^L_?jWZsyDE9}#3h%Ec*cc@%F(&RLp@aypRyWw87} zORK@u=Q1r)rn`+A)HXSdg;dJRAnhH_I0hI6n+O#RZQdro)3=c)aXQ(p+`0n;WN~^X zIkKX27V*HFGle%y zRiQh`3oTXsTfv8kN(>g{f{nzaLH;|pPQ-hwYXli8(#iLQYY&Zz1&q!Sgy#9tkQ=6s>Tplu`W@I*wsWx zuS)h+;d^+Ii)j_Fr;%`+n5(!^L`PwE{K?R>z)acl0UXi&ov@6PmkGllEqgyDRpFB? zTnwIlb6p_rS;HSBcp&Xh8Z0eI_qNG-oYdefx4`7ChXh+%rx)Z6J2i>{6UTuv4q4xb z^>0UUJYUl?!$W<|qYuW#vut^|C)ZBfWJB11L%8*6QME9x#rGVj=Fot^j)i9LKTx%q zrrbd>g`{Zm?P~g{JlVpd10*w#384d#R@5u49W*}r+X*p^wF*ZV1O(v>K*TgxtW%KR;3`7A#M88zc`;{X zk~FX|>Qkw*$U%c}6D)iNA0uPk(&CMpBX%)c2xb2jlj~Zqyw=7Lr z69fANkN(?VfbmE}zIp(sU$ZS)aF4ns$3e{lkk)#iz0cN3a62BSxzM9=MOa4$dxwB( zTb<(NmT35QG3-5i9@fy?=dcxHU}(@iP0TTyJv`{VnP%$bFX{$TBpK7f0~K(_AF85Eoh{S^)(II&AT+m02_gqNRK^rrMMsj!I7*UJtK zZqIS{KZ&ycKSG*pmnI1c7^+y-fDDW!(6*&+&UzjkNv^3gd1&PU?NZaGx{S$G_P+=_ zr{>H8HjBniI_cQ9ZQHh;j_stAys>TDwr$(C*>N)Y=4NWF%MvOmf*@>rRyH>kjQWE^_+T{wIahNmJxl+bage-Bd5YxqSP?^J zf{`}Le6`IC2SX+*43s<0axEX5L_*C8YB-@opXqmoYp)E!fLe&FF#bVc%462K3nslL zsDE?2kagpHi=uTaI`?7%Z+nSir0(4HEHiD%r2vaSX!D){x1wp0^zZ%z=t{w=faQaF zImdJLaou6OKaX8KU5mmBZ)KxI_n`SMsC|nigE%YEaWz>d^=*I1hnDuZ*MiH$m8D$%T0H=Cau zAjR*CqF4CUp@DIMU5VJl>Ce5ixf>bL{73jK87=SPA(I&>ZBlB))BFQMUn%+N|I}vw z(RK7RZe&2WG%RR^;Q750fKQo={+YfK$M=wI5A*nPc~4g;y@JN17X|m(?DVdMg)uXw z$>sA|xcX@ZdJl7WBF8Y1keP_D!7DmB+zljt8eA4M*Xk=2B2~Ryjt4iS@PL9!PY37P zxS2ljP53gnLFigP%BFDsaZ7;_&aA2rIwF@YNeGCEMnGwadmR1G5UAWAI+XlS!7WDp zZ%=M(Ads47z|J~CViuI1??;n%r54;Lcblbi9m~uSeRuvV`-38&HUQqZ?lr$%mpM`e z0GA<0a6IG~m-2a8edx%q9T+icCcKGOg5eQrV@Zx`9?mTcZoEZyf0!~Aly!`_R3n9P zT}8p*EXIH=QN!zC9q=zYYd1=dJ$oAl907%7;=PbIc^vf)jhW9G%V~M^G46OrGn_}R z|M%awGrMQ}uRHyp`PNT)Hv!{!7@ zMX+r3jy=OeJ_7~*wkpg)4pU!S3yj5`>Z(fxeWk)*1qpjP7tJarQqb4NzFrj|AU1`@ z5gp}#K|yAgwFc`6SQm?Vob=5ww2-nN|Y) z%L-x5Iu-l&s0#kX3iq~Fkdns}Yd$)uhBXzCDR6IhKbLGZYRGCN8}KD=u!E5~2|1kE zKY8aNnImSDf^|huF#v*xRVSEvx$JUIISe4j$|)Lg zWdo)yfE8SD#Q{Vcoh1vD7pCHaxvV`$B(6#v+4)4YnBGNF-45v~+{vv#j_MOZ&nu^e zpM9W^wzSYO@|;SZq?PUY*SoB(V8+aM0C3mgp?zHPKVhE0C^^0+AF>hk$9+KhKxtnq zH-XW{uz#L~YJZsvB@P$HKd6+B_y(*B^Rt4_`!)XsrVXqrUU9$*Ta7FU3O`cxgfaSA z>M!%gaj8DJzr0s+c9ln^p~H)p%4K1tbi;4?>PD>k7rwg(Rg$` zna)1~|6Rvl9$*axE+a?oPLjSQ_&e2U%mdmXeaGuq!Iy*NyjNTF2!b-wK6Ge}obb6r+&Y@3DIrdic71od%h_AS5e+eG12s0*qY*y|=46XW@ zzQc!bJOiz?%A$u~N3f=U$D1*L zjuB}Ed!UfV(s%mWC0R&JmWrrm3BnHn(O}Pj0=LA|SEfMI6Afe={2G7K2ednWbXMhej{@5I+Q0f9XG@eY<{7-DqsQv5UK% z*!+_$0V(zy-;i>1A@mRzLeAJP7SeMyTbr^csyl=2 zzS5!P`stHHA=qxKlbY58st~FYWf!s`fj@p(Zqc1sIUWXbcn{$ z835hWYJGOk-PmrcxQ z$1^guT4)Cp!dp7>Q^$C|xbW#HZ0Jn~q2`YHjj=RGTsJ{ZfD>;e;nBO zP)jZaoo&O)YUj}$v&ZL3d?sNy?$nR{7g62+CeP^@89`^xbSw#O=E*oJBFNj^9$n}e z4dn`h!5v!XBit16DXuv*CsK(OgJ9vL#Vi0J)Z zT{6jHZ&rJSc>Lglg+DE)H7fr5jcOLDG#D-1*o8<~6O`Ry3cI_wK=^bcYJmM6kb1#| zI7IUv1ikhik$Xy3RDST=SQ=G%+;*RB`b)N6@G_>6c+nYzSokIAuUa1cgICPT;yzY_kh#{=PNO)I%C)}9bp6*GSiRK~n~Pd_Kz z=Lnoq6}!s$WF_o)m2;4$57i=HrLM?7Z9rk3$FXxDUTxAxK9WEi5rN6n4}TNn|0+?c z3LCYI!L{7$BxCwU-<7)%`3~wX%5NeH>56i`+5?U4>Bk;$(9wLCR=yl^I#a)lL_^TJ z3VIMjhEM!UCi%GUDu={-$6)u!e^~1m_h21$kPS|@W-#gE68>auOx;YhRtJVSN3s6> zoduTZl%R-H)5~75C-LTZAm-Yh&3HsfU)GnIYOX{hutJFN$WqY>p&<}R680ob95cky z3aDsC`+IVv4xwG?QFvbzhFMk)LT|!HjSZYF<#sru=)3#-dg}7n+0Bh++y?%N2b)mwpR99EKx0fSTMBx%NKLNjx#}7mlt~3% z_{;1v%z{bv9u6>o8KvyOuSTtMnXnlc3;3G)j#JjvqXw~jIRHILzr`aewH>4-&&M^` z2bPr;*zASUHJNj{I%`_q?EL*W$oc*p`F@VUe;5~PKhRckEVPd^=bzT7pZ77aTUEa6hd2v)Y91!u$oRML9yp!LFZ%d7j~ zkZgrPZ`kGS;W#~3w5;2mpye7Xeh5w1>pa9qG`!ReyALjOnSKoT*-v;~3Rkg){3sW} zLr|B5$yo0q*R)m+FjG=m``8dkDDYyFPNr$DbD3Q56K!MlUe>>FeSn16TFR0~BAO}^ z5U|^Z{z({E$Q;~5Ny5!E=;-FF$EK`l3#A^Ys_JeusbrO+JqhM@Sl9UOfdf<^=3IfB zn?q>OIh%CZI8_Cdt9q6lcfq2gNOc<(a;<@^Yh#qw z0dw3Qd~Y1CN4cGomej%YJ_uCl&}64b%xWEfj#Bul{%*W2*F|-KBq5`T@TBl|w(zJC zdH6%tUc3eaofNu>O?e?GYSBb?Mb)|R*lPUCmGo744t-@J1*HX9 zQn!eIka&P`31a^>V+E_I_d8pkc8;3tm0E9RKl8Fg1g5AAoo%v-o+!1)Qwtfo4CUK` z{vU{5GyGl_p5I5|0d&kc!oMnhw#A4R8Nwdh36yDoe+*8b*fW!Kw1F^nZoFpUHn*9j zID_AHZ?enLxmXhT0jyD;56RV?@3|hDf!S&;@y~919>{O z@A|9NO!cmeR~iumMY(o(d@2yT<8;k2E(C$$RgCL=SeL@3>(7Cb{a|w_wnBEDud1q&BPW9tr7?PuKVW0-q(q<7*g*IM@j>nW;Kb1fO4Kq+S z#Vh5Es=nI*=gG+RC(!Ds+sNfRgC`WT^d!Zs=I zjmIYT^?0gMPi#atC2T0%&FEZvra`jqh;Fm-4+?M4Cg!KxNQ zb;uG4*(9T=7qc*z#2~77+@8F;IJoRl>A!Z3FbB@%?wF>{t~>?wk$Bp8af?%M(}_Bh z$36^6AO%KRKvGlQ6W@i6xxD^0t!lB zV_uV2aZU!B53y+>V-~DW8sOP7PDsDN7rVk~^oWao<|sLTA++F_{Xw{FiHRVghY@&i zub%AExUC8|yg|aZD+1Isj&mJ!t2jGKMH^EX!#*vGlZplt!4@F&I; zqZe$MzhTzX_S;k&CS9E@*Ld>_7+47IMNw<32?pg*#m!~z8!h5X_AkSfV{IJa6i`J| zY@}dDS74G&Vn9g9>Z0LODixula)2aioJw9Zw_~3cRs2kvIgI76YgiqhTewZ4O?J5` z46~faxC8T#%;Up3l1)ISvlBu@#;Zrz4qq}-GQrx#iU%{nibnrtgJ7Uzy_)v+QOYik zFklN=Aq5y*0SUfj76viOqoA^}7?kek5i?r!xV*Cd$@1olk!q4DgyzxAGvw&V92Rus zS&S~y$zEn~K7_l^I&`W}sjLE#;JW#3E1AVNG@7$S(lbQdgf!LFEJ>e4HwvyO<>@Me zwdnda(zyAaqp`6^Whea?{-1>lomQjz9q?D04r9Thbza)}l9)HQ7SSPX^t5@9^Xb** zrZPDB%ESf4K`b4zB$eLY$%!5ooJ+%0fe~KsvEmelKF@vWp?RWsL#+8YpJtCCtXLS+ zW%pt~v2@@K`Y@B(GY~Lbs4^RXlBBq!rEU=>()34tNR7Yxdq$k+7UA%r?~MUPh3hX4 z+pKt>@?FwS-1+R_OJ!6-!jv9es=uJ8OJ5?)(?TT#pW-`(TW?H7 zU$Y}=&f$?^iwRw&zWPS;?@drO`T?}P2GV}>io=&UYhc7~^MXct?EM!mwO>+J5gO^< zQU-e?(WMZO(F2;n4HVT1*C5mvLEbm>xZTFp{kZN4;7-t%uH z->xa%vg>U_!+r^lC7?puFN_}fTae$?e_Q4X{i6kwY0w^kL;+|I{=yppdc)`?Lj;S= zr>JF{J=1>71rjD;Gar*=%9deym)coUh?y!n?ztLv`-jbnz(l;x%Ec!w{UVgJUT9l_3Zq(w}+4z~4Ba}#> z^MSWtS8O;02!g8uPEgb=TdXP;`f{TW_SyZp#NT`C`h?RK(J z<0qNf^eZpiq$(Z0?uBtqUmFfQnRA#{*paK*c8FVIFB^?$i5nGrxJe#~xMg6%3RlV|B zU68LJwwdR+7V{0vYHcqarbHmM7V@(fRNRt1su(w3(Zusl+@&?f_Opes${DmW1_&6+ znMZ2>@Lj+s>JO$>lvtTI*H+Sa?`rQH44pO8H>`Y5iQtO&iL0y<^Bq}!;HIg`v0V_WBAWG`~Z@shUW@;_-v?r!H;W|tbPkpRvI2CZ#+ z=aD{=2KLct;)X_eY>-rTKCur3h#6zzU7z3F0X3E&A7+w%aw1=-hjwqCMqApQx4X@U z<(6CR%F*l8KpV@($~C`^Az~9o9vc{F$?(asT_&*I&j5{`Oar`d+3b3$#4HCxIFR z@0bQAi_$5izQ)F$G@&RHaTtFq{tL(Qp->T-&$+zYx3DlBU22&bMPT3^)1Pp_L{@=s z+PE$?Rgu+`Lje3`{Y#;vCbBSN=IwEW#}EeoSFFL7vVR*Fp34K^MK+aZMb_3)gw28k z$qwP7H&4%3aq=6QP6 z>+5f2`5jR0W&AWB&G;O?q9#5-o04Jp#WjU#d}K(*1q@0}(a*uyJwSt#!p$NDcU4=Yvny!el# zc#RAO$)%8VHQ{ceVJ&)I>@sNQs*aUU-}`0=JSriF%A6Bj8#lbuY-GdJp+GkgDQ{Q{ zyIBw{Fx9tVX~IJpEtY4kc$UPXkkxo#zV$tg{JzxW+n7Wi9?2F#~Nda>< z$xIG9;>dfR|2SJ%PsFQ+N&|GP>UJ5%fj=@jY!9(znPVaz-ys*|R7Yl$6UiP#2@!{Y7_;e6={;`mHXm6lbk|R%O9e*--*#@9Vcx z+{CNC_NmQzpi=z9lH*}sIcpJV`?X%?#T`$O2!u0Pd7-#PcyhMTJPJ+#Yn`mBSrio{cw{}uc&=4g zi_7S>DZfe-Z1O);8*@rt0%SzxooU#p95@Q`;Wy`+XBHNR@@)vx;THs+MeyNP79Iti zBe>r3xZ(!>WO|(-rDY1?c>I&xf+tHTKyd8kgqov+X@JnM6UF1=pcj6q}3fy+voQ>NmGEKSOoJ&Dg2xflNAlQttcHi55F zSip+hb`U0|>krIcTn-cakXzQgQp&BP@5cw%M5@?lu4c(%@QgujK9Wjei`um|(9`lg zOLXwRo8N;mAt+h+xioSJ0rN`D(E_I!)!yF<*G+?7hgZipT<)XJNe%9l#BI5;>LBun znSLPLSmvikA08Db8LWmDQ&vfi$?DUWh+p4~@jG=n`(2eiO!C~ZRsHd`?p4q~_wJvt zF0FZgmvH0vdZ(4W!D5Bg+LELhFQXecfPPKja5^qiVUx`qT*->ELq%zC?fZ2>eHnG* z+97TLQmo3c0{<1~O;6Yui2j_}T_*!kQX^Y+zp*$jN zL5KR5tNWp=ztr`%1T-2hmvosdZZk7z-{2zq(EkZfvxr>M>5M{kO4B4u4V2AmL)NsfF0F%MfPKcxI1{N7YMb^>(8SRLdCXp~xgf zQEin)G-+vh(m4hJIq}JXRi%ePWNnV+ZURuSl=G`Y*yX%NR*j*W6U1?w$1M??1$!T= zA!u+LjYpj^-^-AbH7**FuB=xJ)w-fbH5tnxG_9;`e&4T*w?Ixq(DLaY46Gja<~3@~ zhrlEDh<_?YXY|*$6Fsb}tHF4F;uUKQPnmgr4xTd>3aJ5KlWq#WSdxx3Z*|)34^^r6 zh0eTOViB`ST`vb?T#18p+DD!*2En7dgzHQ#0_spI^Rw?JqG-%qpLq4yxHku3>K4TM zN}$kWsf_Va%gDa1L8G}m5C2jm*aX|p84amzH$l116f9iWsIw~UU?jEhkklL{A>*v8 z&|#Ze$Txa|@(0st<qF(J@rl}%ZPn%j=%(R0VOLRVV7_l;*6)Ah0MUW?HDmXfOU z0LMuyJ~Qse;5Yw<9yGtIY(x@b3LiaPQdn+^ z%-Llg{CDp@Wqs0=dE`DpSDRIElrHZe076yKVG_^MpxjjK%gO-$F|xz$q-G9#n-~h? zEyBTtIshkafP}A~Ero-Xx2}T6udlvk`qS|$p&SPO5ZCg-kf~B!sZwO8@{kbJ-4+&f zUyRMbl5kf~sNT9z)W8NVUwH@}r^(SPQK8NasmJnn6~mNSf^;GlgAY6%n;|6c9KG_6 zATuCZ9JE2h#ueIL)0i)SG*q7n_38eD75MEDt)Ib-G$gX%l;-zCmtQLA1ac(btKM1X zu$U=rd-5{1d-HYXvkOfLGIq^@8|$8HPPz=YchWF~7O{GJfjiW0s)BG|NItz9TF6Q*5?jmyr#c5ms#Qp8oWsqNPFbM4O+ z>V{hvyx7D!g`C+WzeyI|R4T7aOFZo6R;4a#rsPG?a@^OIoYz2Mmep7o02@}R%}vLb z%)XMzB+#$c$b*ye)%a_11g&o71-!vDGpIU>{U|odJs%v2mdLUBoX&WyZpTplq&Qdp z*%ku-QOHqVX%$>ux=@FD&N#;$sxq21Ll_R~!Q?>ZLIm%3sp9XWBCLkphA~0ABUDT) zPj2pf1^$VGG>K5oqa3<*SNCLHK+!{So4-=XPBr1Nn{fXO+qQ3ilX!i>;*NZzbs8v{ z9H5@JcO7OzEi>-su*%V-)SXDpJk^fg>Aqzsn3;hLb0&Azp_4CL*ukq4Y){0S%t&L# zF0G5mRbPuVlGb@nJb096=Cn{q_xPBrpO{HB;mqI1YNKFbA1UEoA}?{D&8#uv=E=~@ zrUsnvO^zB6Nv8Su81sbXDr>C$5`0!(va!lzm}VX>Wj+F<3#9{%9O7(GYM2W^YZfXx}}i9HsiW z?=Cw;gQ80(C;e%FrpMXe!uSAetYL?FYk_o;larC=F;nINBwt}4iCEoU48arJ8zD){ z%OwpB3a|7Wl&bNYXaJrv7Sf@9IDoWgc^G&9Rb+_X69D*(yKd~(hV;gu5^ad~&^%ji zkaq@`C$!!w%E1n-L0<&J;@cIW#Rnuf#$(6+iqCNb!2OEM#kPiDpaJ5&YtLNcX&?rM zJ5rj^Q3q0afdd#UpC@;A&pSYw^CxKk!KWk*R4r~K?2kXmE*`i-^49OnO2g)=RaB7e zZaTjX*tUSx_0RiN_AVa*;EG#Yu2Do)$T^q z5MY^l=g{MdF#M-x};ALh%K zN*PWJ76mN66V;whUzxpFoD%lnlDN8P(0f{VeMyz^7^1aqG_=)?xvaT^q($!|5E;3F z=)SKlSH_LDba6MWGc+zUyfZ_s-ISs)kM4v2Cq>ui*rX9(W5b50}#W( z$7wuWBPC;}Ueg>Vk*qolsbvFplbCeUy@3Hw^$LfH&&|CF3hj#IR7)+wXRqM2U8V4j z+W1Gl6Qb`DiseT|VUa_lXt%hr*gz2X1_heEiIQ(n!baqpsVj+9=e&dx9(t_5n8TY6 zOLSOiet|0S9-ovfw#7t@t-cCv7&y`cp~;vd=si1{ia0eA5H4v{FNS`$QSY($F5=Vp;4Hi!jwR2OTpw5|T4!l~$wO8Cf`sk8L5w zrZG8!wl}5^`YLx+q0H$P0&3F!N;JDLKIn$TnT_nn7s{MSg$dh7-DZKXU}81`58AZ; zc)DJX!CJy=tp`Z`$KjpN9@{niJKbT_F3iK#($3rc65m=x4bblx?`=5d5okA-lMrQ^ z#leY1=RPR))0DZKstFp7#EVLkU-!MEf*9jZ$dJ>$9M@_yLDoYlmi$zeD3x=}Mr#7V>Qo-AKLfE13IqZYz;(qhP1|&s2}_ zw5j67u}#RnSpo6nhK=Foze8X73x%Z&I~wuC{nMb6C2kP${ksyo)XXhNhnlCIp~D1ERqM&RLZ+GGTa zFx`G_^S^CCgDy4%eU0tdt&I!MiKU0%mv+VW9(!$(jPs5phk-!fJ1_ur>QsNQ$ttc& zmUI24rE*`GsYk%z7bjQSJodZi`yS}14%*LhEgYmctaJjMV+IsaSn4b&nXo#A2Y`aB z%)aYQ6CpLiYL`4Pm6DLjJwcJWA0~W^RNb-q<5j0OjFACK)|1g=sMRYMR&CA5LYKShbTF)_2I!9z|_O5Mq zt1CYUy^xP(i4l#@TA*_itN;6XAJj#B$G6CEx=%Ug@?~;RH!kEY!n7x#@aJXfJq~Up|UTQZ<(OVDrBt!oj7|k#O!6ewZ+rp2-MREQ zp5I?YP2EkDMLbP{3l(4&0-a`pg+Z0A_?$T;-m!2KBX9DpfZ&Qb|5EfRllFCs^?}uO zSRx`Zqn5(UKtb-fjpURpBy4AT<2tNuDcV!`w%UnH*x<3KPn4=M%$#X$=TyBEwP1(E9fg^6+_8VD0#8Hvx+{arEvv1dh&lm?W*Dl zX7+llH_KWc?H`N3V*qE-A&N6^>{Kb$>H{|}K7IHS0^bUukdS*rekCyWsvQWC3LSh% zdO_xU%20ATeY2a4EpC>7C72I6$%2s~W`jk{(tO?^5%$hkokAw|&c98jq$4E;yIrOv z2;PF{6u-VFaz5|PzwhskGVJbGo?f?}d%o`<^gnX9=*MFoa=!j`)cC&N75P3~(C>IZ z@w%Q>)bxCAvA5iGcU~cR(9S>{fge(t@CcHpXqdH3`}AgJ-Vu;LTMOGPaon0=b0t6P zhb5?*UyZ-ta!1rYug7#X-=nzhBzycTw_-?UM0kzg4V&ATjfO*6S7P?h0(&~0nxR&m zaWmI8*0^j&34`QB%y0-Iv83D-KEP3SBxHDZ>l~5y&yz zm!h1NN&Qa{kDhbzZ(r16WBCcJT3gJ>lJ{??jaf%4@1+lcs zO}!IR?WD1O%v&EigVJ)1!}reU;jcVq`wIkCjTNywiY$9UC@C=pS_y@!7k^8hC1wq3 zr^yaiVRpJxWe%UH;6BI-tPSk2Rd=#6>OHMl!$m6^MuB{)N2tGpfWgj)JRL&p;ri_MSZl#6Sxe}#~ z#wW=!N;(p%`H9_|09@XaqF!g~RmA4E%2LSE2!XYXU%84FMk`#l2~V&PFg zKaG{MhU{EeZLZ@dUv?}Q;tlPN{E_73icbfv3+LGsYz|j>?;So*k2*=dHl#%$RT}Nn zuddz7d+kisA|jE42-oGCqX0;rSda{SsUFr9K>H?>Q#si+LWlo(hu|y=Zx)crmccFK z98@uU5j_-`@4X})(OR+;P5<)EmT(q7XNQP5U;~V;HK(Bq&$2H^`MJppoJM*)&I2+U zCCA{n&Be5&AO6)DSzY; zT@K~cVXUB7rPSmv;C~JJPU(q`Ecmk`*^dGA&y;mWJR$_kVW?iA6gePI^*1nkTJVh8 z2y;wF3Wc$A3j!i~^2v&#<=le2jgZbC>w`mURYl_JS2l;rY1x4bKc~=2 z*tk0eiZ0p*$OXzh+`$e(do$Y_bi9SJQ_etR=$wNooz%M5Cao-;Ex|VdiXg?3f{w!G z64G=%AnqBN0@l5ykwfad>`ozvZK4{gD1F>*mTWyAsNoth9tiYJ9KD?}Ol1qxUtadg z{Yvchd>mo+x|f;HuqLts-Gv{U2h!(Tb?1x1357ZbHe3wXX8jOEepP`AD10EpTS_Iy z4I(4QIGTThy}{WPlj+yoru%P{M<8>&M3e9VWLJ(gP|vf7AsTU3LhKlBh`&NS*EpNz zA!bjK;RR!K<-CII(ZK|?i72RTuIowRZXzRZ+y2q}ED8?W^?!5>*@L zQ7r`fRevcXyRI5qs&$jPK;Xn6nnJis8840j#$X!}%%~*P8yYB7Z5n#DynE-NqJ%q- ztAzy^5}`Ar3B1g8ew>dk6tkK^P*bZY$1<>#;RoIBr?;&@lHN&N#EcF}QK{3-4#bv{ z%|%%Kl}5g8^ThXE>?)l1@ob|C7?GLEVNX%K1smHSN2pW`l+P5f$AWzl2glC5CA0#1 zkd;tDwQ-o}2m=NTbJbK$_>Y3QzsyjBQyFJ6wrzxNXiksq7Zh5XK~suO?GVOnsV(#~ zJ)rTzBmz=K5btOVd>0YL0I0btW7Hmn`GikC?ZkHTQ{bGNM?_oDZ?QL#IsFQ5U5Hm+ zlnMTH)|fk)zo-l0rf1|^B^LyvIBn3VQBQ!_tCdPbK{DXp$dOlz5{l5`0q#KjFXqaafjLc>;1zwWOcZIL9cP`NkGIP5;N0Q0foYrwTQjt{lvM>lZu;O zD_*Oh!pqbGheq@laA*H&&x6|qields92@;Ky{w1C`B-MT4Q$@f=Xee9{FUgOt}1af z1RyPar9{8zS`KEJn`knAD0E+^H5}noU{(0Y@cP-=!);EzJMlEFC9J`S$O^Ya7RC#V$S{L)H78aX-e8!4d1C>FBGrk^BPRuO@& zqgQUlLd9tap4Jl|gMS!hcn|aCVAH7QwlsRn;R(cvpyM_W^c9Ka)-#~J`{Ld(YliM& zSHYj>$Wu7IO4!OVpufZx-@R?aEdnKUYKFGvQ4wy5gf!+-&K==1Q+Emc&vBJPV7`Ba zA;6E?NLAH#Xp~pC9U}cyE6cJQtuNBZ>NLR;ZH6}V_{~2{;0lA#DXG1W(3+?3LfGOr zDg-Gt`_JJvX})oQTa*LIXZb#n{{5Hy{`TB63!FaOZRoEI4c=eJxz(O)e<^rjwFiZ> zlZ`hiUYMY7DnAloQTFoXrkuntbVjN@YP~s8xbzp`TQ60Ffa&J4&ex5Kepys*KGCo> zFthZh@>CvbEVrVjWzv-Qcl5`~vggH}%W$$nuz6FTbPv@PYA?SN6UMOJr@6vlBK?4- z9`lqaFpg4VZKRxpBP9g6u3o3-$^y`i#+cMndms;yqaP;Ofr8auF5v$Z{~Cy5LE$A| zKxFo3Q1Bm#a7&EM@jz!HVh8{x!d{sf5+hV2W}7h3JYfZ-64yuN@70uxV65M1i5+Hh zm{8ouk6AF=Q8F8u-xe+E2CEnl8yKmuFp4SY#sD}U=im`MseA`_w>*FF?B{1b1p@cm8;@4G&=}ueyp)nb0 z^nQ}|C4QTA(Tpxl%SWMa7j(fb<#L%jdt+)AG@MCqkM)IYE%|4mVDCI4U{BS@g9?fW z_EBdEVu>zO+;~=eQ}R|Q+Zl(~=X5)AA6SgoU&VT|_+!shLb+QO97Ln7*atbAu5+vo zYw7LBaoqN`#JN&VFM?LZj9HtW=(qo%vUWT%w|%=cxsEE=+O&uaT$FGYfm7rQooK=# z=PnPQ+Ub9}WoB&3V$?fCq<&?{S+JdA0noif{5<85T>FpFO6quy+L{RCcc2U5JZt9J z-uuhvgFiQF#ecrV*(7JFncjU*=uIQf&E&;x;24!eg7uArx*ppwkn^Q8P?LBdyb?eR zB2pwpSqP5f-1qD3Odtjcm=I79}8=m5RE8n`TNPl>#VW4{E@0) zHt~={~V@4wB+zFJ=Q$j1x+ri|4=-?QF;bh@{qKY|n9p z`{H~0C-ZC8ZOU}t4FjD;aC-gHyj?+U={7}!=Gg@&Op+4+e0yaCVY<)=B@Q3<;6TX4=08+B_RTH7MugWhSH_PR|0>`Wl8Fx9_^BSfz2bwCv-~@_ z);&6R?mB(wKS=Di`z@gY*3#oPV4Kc(bLO#yLW|;-V5hEva zNq2|)&(Ajp8~>5a0E=9EOu~nS==8{Fn9T@ilOS>06#-3tINA8apTl#JOSw%lA-l?C zgbudTO(dx;huP&>Xhv?hvPHxKO0F^C{VS>ZHw5!&Rb}Y3Fwn6D7O;PLJV;4_n(l-K ztQH>QS=cOG)*Z^0g8?(Ui1(%itXotbWOu*AmX&6IJ8^KyRW_5w+bm=Wfs{*+z*g9X7opYEzrz|&Gs%rBZpNN0gjBI!^=5fe_!x2xP?uTvRb#Wl? zkq8!I>WjC_QKKtCd2t(Y=y1WiEoKK;6ZEKO6yf(TKG(weZPNF@!@;yL&`H(`_QPR#p##H2YSYaFOjb{2ogFs)-}ZqRNITTcXP1A%%{WZ zxvSzgDu}7!lA52M>d=>4Rs3OOEISvCK$wjN$c~XzqOxZ4nU}~0~3{( zcw+VYcV=yGZ-VG@o#KSxa}N%3lZSiAyS3)QIM(ln;3x}3OLhhZK0zJ*9WNT9 z%see6rbyk*XI(ixe|>7%xNvxGJz!P0-RPk)tHWrk!yf~2Bab~a@SaA24WsiTvJ;$v zr9==xK=<+pF1R`W0G*Wu_ByOxS9QI4GE9Fo7XsV9?z{=v zTZmqu7G?NuPRdkvd?|!3{ETd;d7Fn59cpX_B38#f-=4*&5}WP3+U#>6w3;#J`Pk%1 zCn*<+u@Gio^ftq~fl$&}%F!m`M?YM?`0(`OZ68qG!{~ZJXv2Fuw7i{J8dfYOCezKs z`JtHCc2Z(gDSg?e1DR+DE6 z_$I!IEB{dL0dk-O za%QMGVgoBIHWG&ZqshrU9cKTqy~LNcJ3bPU3neO$uxBxH9UAm=8q8qJ6s+MJ2DyTJC{ z*O9lczJeMIw-pvmJqiCb)7OB_KFn4j>|KY-%Kl?=(f3|fJFlR`F#2N{qOnva|QqJ+rnRU1gvUQ!}A{!lHKtfQ(2AgZv0iIlP79l zFv5je`jNyTV)ztIOL3D(&^GUmV|LtMlJw(nm1x+q;t0}6GQr>jjBR|PY6ua~gq^G$ z(P|Y*zd__dTm1_dKnO&!68t!XHP4B3m(*mGPRM{())$qOz|n$$d9I+XJc0Wrk^>a(j#m6)Gk`yzFB0>`Q^aP&X;G!M~khH!0(Dm zna5vzbn;y&>VCh%24jRzpivCcjsGxqWE@ML2z!D8(bg3&tP`!Pv^bZKzZ8?IdKO7M z@1J7*E@GFcX|jSnkyLTj;314Ue!*i(>Np&(LN2vhhRb zk?;&P1eJhtFpj?f4$L{Gi-RRAD3k*;!28Z|@VW8ZXM)(_EQFSlpq&qI4p@Yw{4)}4 zGX5jw$uZDvftijPZAJZ7@N2q_J+Qyg&go_7d7|`1pRxSM?)b_( zggI5U<4s*-Fg37+i265p?k_SLQ{KEC`jW%-4F zW_ugnbmZ!iG5y49gTxV5r+51hQ(>WIRnW`SxLUmt9sP&ZW8#@+k2#bmCHGNLpt9qS z!I(=~Gv(a{ve_@*_H4&SAIiW>;ru$3A~;l#@b(Fz?6d5$6zNsMLOSX)9<-&wJ{dYuHS4)l0C29SDV%Q9kq`+1Romx z@N$5>kK~ahcyoc~6Q&_o@zm_c(t4iMXyk#`@+|4qqBM#_YNwwJ3O>Xiq9f~T^5r+d zZ#ANe?63~SS+r+3YifbArW0T8wN3gc1U)ld#lqzuiNFYX7oIuH1W=(#4PorVR-u~u!`B6yv4^fK%oR$Mc&ck+TiWUGm1JM;0B@xL$(mpz;Tiap70Moe^LA1 z%$kjv1&8NLer~XvArwG&c$C)iIeKGU;#=clgY;DA6kUpibb*%sATsN?$eqzIgrxE_ z))E|)K0G~-F5|spP8evyDphcOs1#XGpq0ww@2d#jX2Q@kHRb`eG89^Zrwk#Vq(IHu z*T{yM^3i*Tx$k^7gyPYjGssP>^=Ta}6j@`yn2GhNFA2Vnyl2}u(g)92QV&>K`c@9f z>5W)(Y*T!Ry<O-x~UjAizL={D|O6YrQ8)GV+? z&GM=~3J!UU<@%^|6xw2}J1ThsE)QqL3FW8L3p=g+|Cc}e<$ZnLAzg+ALl>x?RQ`O_Tx+a=GR}o`RaS@z*k>?`@3&$-+g&%n`_0%C>+Fyh}V zRf|s!r-CmC?QAOF$us9C(c`a1HD$T(aqFA2V^7_7rfSqI4$*-)Y?YTXmI@i~6o0&!tdgG#uatMob~2bFYrer+;m!O9?a6zP=85w!AaiJwC`| zAVi_7Fph{{Z?Jyb_^3W77oThE7kk&f?fSjT1lIJ1BYCjiV2TMu9GRbTg^KG$NM9#L zzD{~0)*ad$a0;KI6*o?J+>whTP%+Dg+7Z1{X_b2w^lE7h659b487L$|KQ8l@IBg$H zu)N5^t0{0+x8gvnzDm(fMd~WFlR~#n_G%EIPw7<|AyD63;1n65E2N(N4*Owz>p z$ieq=n|!k8p?|$SHURNBuc;pzX(RmWBbEW=r@WG-ZHO8q>)*+%sE*dVzogLeTNGLy zxe9p#1CBu_HTNXm8Tp9t6c>$3m=Zws$k+dD*dVKrfr?i2{Gt-m->MpFTx*NL%71Xj||DF9t@VvtkgA_fC$C-vPzmXPkoAZN)y z=i37j3_Z7`usI<_C~%o`TD8EUj@(KqY53!A97UxsiTv&EzVHFb} zsR2UIK`ozqxBO?YVtPjm?;49&+LTif{wI^5;>^U8IMkr@!1i{Fl(D^?SK_mHiai(t zMMbW8n=}IL0CjYD&C35wFsKw1jJz1`>~HE`oxEDu*59i}Rflgo&C6;kG{2t3FlexH zKjkXWZMvAaANW6@83?p|fd59)?Sp5y#gSwnbe1P6$-tq6sN%tdB}7Hv6_IdN1eknK zrt3A0(~m!x3A?92+joOpyLnJ>%x!OwAO=qg$Ab>yuuc-XJB&JtLHJjQjC+@aeA6nXLtD!eS8eJ1HXIlRLd}S5;p(K%J&Q zcG@5oEdd)uLx(}Ddo@A&dKrhe(BWp}ua?&?PjII2-j0hyEP&Y&)8jt5zG^zb)kuwj zQ|+v{*_#jTwCD{cY3lyijP;K#8~JiNfVir!b@(Km$a_T5=mT`H`4O z(lCqB-9cetQ|!t!d{;Ln)%L+mdl+kY^RoxbB&_unJ7nD*6hYv-_}g+2goi5`e>dE{ z4u@S<%qxI=49!Hh!PJd;ZEDDCaUitGjbx+Ey^tHpo3)62bafTZ`?M&2q@v{K;+NNf zj6%3C4`k#?K@@*}M)BIozm4g>IOr7%^88iusU7{Ld`_xw7Zim9)dnY3$-APP5S6?d zziyU&ch{-$qv{pEh#3gvLv6^39tNa8?rsLXK(7 zo@&$PxLm&fcK^-q&|GO*S%f=l#cvz&O3wRdmex=aX$(}9XVb0<$kM=8wOT-yf14m? zDQ*;iI^}65lM$aJr7)Ocm-4Q>_P)Yfb)R-ahxH8)@U1Sy9mTF#&tgvTsp_ zr*`a2ZV~ZbT|jCb6l^`7Bw?4y$FMnyHGM-(vRrsNj}z|D{FF1xl(;<-Y7W4-<+y#* z2e;V@D9f#JJG)MKiLyP}iliV@B%FDg)weoD>0q^;4+eJnl793@oL$`%CEF+ zY@GN-*(AUmZ2^La%Wv{;M`|UAZStl9LFmV!B7e2EsMMCzN*VZWR+Z$7t3kVbgKH-D zfixJBm#YOZQAwf;FA!~8FN|4bmVCXg9yJ(esCjwngamM?05YIqFw`L|Py8)lWRh<< zdpA1B!x#yjd`L+SO?iW{>#bpqo5QSCQ)yS~E%1lyzVY(G@*u#Rt+8JfguEZf89gCx zm;=QUD%?+KUeD3L0pKW)`9+P1s|ZRSRzJb(``vEj=z2CLjfsO$dH+OSVRK@l;Gr}d zG!!Gz6AwciWgw?0Mv*vlx-618Q`z3hr1>*#1l zgvU;AIL_DV(uem=T0GzQlCkf-xPLn*74GPmMsrrxepfDWQ0Yark!Yg$mcn;S{WZJB z%Cf_ZH2Ykf2T+g0=Kp%R^ae(7eB)w9H!*hlaZRCq2n`)XIK1%WvPzmghxC@S;`{Nt zPX6q$fUi8|Sna}fiD;P(3=*SxOnxc%o{paBC31=U8%vAADNi3vVn`90UE&4=yOm3u zyb2J@t2>w3av|q8q#rniD9Ln(<_K@<^u zq2$dVc(HtI=iAj$c^-YaArS@&x%olupQ;0J06PXjy!fyzm8i`0K;*cZ_`w@Lu<#yU zozcWoH2?j=m+XfjeygB}_DVPDFa?KheKg%@G7Ue(ml3?c4}n73MHpOLq2@ZB$L|K>RQZ|klHqwrX+#f6{8ft6HSY@Qs>#HOpKLbEh0a|efBL_T#kcys^|zg5az zKZoic~teGzcK*=X#K8qbB z+=*Z*m_bHC2#U7`+}&8rB@L3;%aD;v9B%ETP2!_%pa5`<-U5Kd$-*N=ynXaAW=Q&~ z+g+B6ljq8dMxe$$wZSZx2Z)FsjNhol)(Q(H^nj6-;yt~0cWf;Ub&sp8s~kkjw;Z8h zRM+NXVg=xG=1zY<7X(7kkdAuK_&Ko&S_nwDL>aCR@p?vjEf!Ap?LdpR;(F}vj730 za7%g0u@y$;Sh? zRe19HSLsoX3%B&>96mIuCd%(#c+wah{`_Bgv2XvfZFo`bN&jjO+ViyShS_-juXd3= z4*}X1&&hUp4Fr^?fM(bj;^YUapD=l`PO^cX7!qNpGSe{L8wM_!<-+iMC!@8!B@1W( z{jvPqc%xvk!ZSbRM_yy#RsL?yvC=eZF$DsV6#pJSp!|LI#3$!RM4mxiYaBLS6a)U& z$+=}XiIVOpVU-7eNPY_~BjXC(hO}UzU+RYLaO*f~N!Ed#OJbqluR`7{^tQboYq_NF z9N%#02-_$xVb9Zu5jm}++~TEIO&ad#{^l7|Vh8Vngfb2sl%wji)ReYHW6iKpTRA*b zB6p^greS-%zWeiGvw&$CYkJAGglX#B=?*Ge?sWcbLIoKswh|oukV{pt#-=OUGF9zKq`xzeLwrcdtRKL3D3Xd+6o>`vef=(aHa~|2q{0^A>Zg zmBYR&(4}Db>;pn_m$?}SAfZE_o=8W2t(^7q82dqYP z>laoWLz)mQJ{I~OekM;};p*!yR^=WhulIAvN+0nYv3u8(+h-7(Cu6K0DNaP8w zwYo!8Mh)l)21771BvQrmENjmGM2x1;W?)B6FArKJ?4C@>RWO0;-nSF%&10==*f#sg z(1GB9cB`S|$H62vRVUkb{pyVr%}I`i%hS4wm-N6YRtw&}8oI>MdUhlb1!!=7C!vKK zr99fM7YEavc0I#fT1|?c>kvO4kD&Ycn0iQ! z5HyAXfb8sMn`<@$Sb?sgTTbiqm})!55LA0~`KS1Xln zBlRioJGQ9J{I6+wdCSBtR&%$wmd{G}PYUsTaF_}nkg|0~l;bM2G#hM?#$sf*RN;PF zD24{i%>w}7sx%0_Mg`XFBKs>b(2)XO_kzWF`*eYdE^u|}ml<;hGe=XMN82TdCz zsB=8)%|eAMjWpY1{L3L1EN3>HIm2ve@bO&ux!6PRAR8%;-nc6#SegpYTg?(k&`*Hm zXYXE}6?@CadRwyB^UN?wnlVPS*5 znWtJMmH&r8NoRL*uMW#5^K;`W&%Yh1m7Nj}B(#DJJ}Z*i(#@5pdGjr>VmOD(l&^-w zKiuR7r^-v;wgZsllRQ?F$BEoN7dAXlu$WP)WO!n-;r!cyT9GRWJ)s!Nb0Ov!DpKT_ z)VE2V@5AChcLjxIALq=I`0g!6)$)y5sOsum;7>+9VDI_zWj$c}t8vcyak?slisGxX z{C2=rwA?)pu)wpwr8zP&)RbKPdk$X!qHtJ-z7SG!K@r`271rrD9xWE4;wvM0zr zE9;SPcTeV#>!>zzk0?iZWnykf%QQzh-$2y_YwKjtAXy#vE@TbaJ)x{*PZz8fPPQ&N z7&~a?Bu-t+7g>dUuu2|0_n4*1PdQ-vb+Gs`+&Ur3+<*ubS!T54oRd>K-SWkOw!C+8 ztMY0IdHE75VNJBb-9~)mYVYaT^V)jUHeRICYqfUqphT{R;4gI-S`9Culwe}69bzRO zy*}%Me1XtgHpIwIa<{+XgChK(2(`?yOf}0TRIsxV;i(SfuR3+EQUyq)8XXAvbd5!i zfD>*qL9f%w_APOg3IP+=D19WbwCU4OHoRDGO6#Fo8vOMx$M-T9ukN+jU9E#5(!}Cq zCz!Lo{;WSNN4r=0gpD*}Ec#Y{x^{BS8dc1**TEVbx6&K{VDN`dPhtuj?)CN5zQS-y zc|Ka9U%sv3T&D?R4BnHG9Jt8Eb0GLRMg74SjYHk_sxO*H(v%Z2KiwGsIfrkS|Nru5 zzx>(1e0=%loBVZse)s+Fzx@0cKWO)h^5gu6zWwg2-+p|l-~9T^H(z~^9r)_&Z-4jA z?Yl46d422JqNxeoM=A&3tTF!JdT-`8s#u)z?9_fVyxQ{)ZyA-RHeGfUv$q(CgdE!C zw9Ft{#yMfHx~sI@D}sC@v%c|09z|egL8JTqn}^-*0~p6hLbP(Xu=U9uScRP&UiQkN zvo&`icIa9cy&gO4H*CugN!Tn7O76=_8^)vBKz_>A23lo^Zis#SR>?zzxkrJPa*zjh zkmOG*42a>^n_4l^wa8+1%fA}r#zu%0T?v{^HmzC7Y(kycR)tn-TqH@3qoY@b&UdKw z5K>+(O)`P}f4{+A(pQH)E*7&_KSFK#Lz~t~uL>G2JFJ|I>VJj=Aer-k5vU)?%|i^N zSAGusa-fg^S{seJmGz${gqGEOv;oV8z8}7o*WBNHMAjo;b#{fDxb+0w*sp=SYc+gF z53Gnl;Nf89)gG@`h($2Zk95diE=D(Ack#V@euE$DWjLIB@bn)=xe8YvK@^zDPb(ftg2#l0B|KLP z#cCrqa7?1Od19fRM2baENv6+gZf3ZjSJm9QH=++`>zHR+RT=7aqCv?~EmzUkG!)b? zBY{jnw)*^(hllcLSKBQ=yR@?)rpS)(IOLsxtdaEaG`5n&i5O9SDUu8d0Ahy_nOT|A zB%DQqbf;NZE|0#Fr3-0C%{CfRt_T~n&U7m^WtDnxfB1pie^nSNzZDY7=cn8jKQj~z zJj`~Fp#WJ{eG#F@m~rajq07uaH_V+MS)!$GOu+o!h4eX*>(B}|&8DVdH1P>yY$U5b z^mHTh)RO7um`vB_H0hh^`D4HSFn%9;Ka+n9F7hUyIGZ668%f$=hG_3+4w-b2hZcGK z++q04NzCbOqq)I3Ora`5h+No+@lM!y^i^FO6`wYi6jsaOkW&9(F7$Wtw>(V$mQ7MH z5vhyYW<=CzT|+Aw`BH1M7~Qx?Vz(p+Lc`V3xsP;D#(5N~wvpo4;s!f+QQ9s`!znpT zj?o8m#^LCs{7^VMeC`pTCh86LNT4t(?1WK?w#QH3ILV=WEvwK%iuj$}NTcf`5bQu3 zaEF$A?3bmK*obLTms%L2c~7j{C?k*k2ZdlYpUhr$H%f~h5<43P%WI^Oe#<8|S{$^v znP_Qf2At$F$*p(cFevUEMqk8jX9Fe_+;Kg523u=6-r+FG?>6c<8A=|lx>wqg&U_U+ zo4vtS6Jxw0l?$f1T2x72U{WfLne)Zbzo=q>W@6Rp=;*@F53HF-7PQGfvm3&SJ`tTp z3i1SH!PH?YD};eYaS>C;&RrEn)0$WP@X>}EkO^3m=Hg~X!g|}>MtbM2(Y#!sd3-?# zr*|GiGnX^@c^A;!rX9j(g|%S0l_cIsO-jC#IW@4O4^lSZ*IOgnw{}pJkI!+s+Ci zd;2FF$ygJyjxIkxO?K>#jFz{kx`DfV#U9?U!NB?_J7or*$^s(5*{xqtXlT6&>9K!7 z?uVSQbz@LMjH%1hfpG2o+}orRxdk1oq<3Cr173kKy6A~$%>fEk#30x8oYY`O73DRU`L{{SS|$0W z#V~k;mL^JL_@zLDTYe}KX-s0~l7v0X*V1$T)?nNnD5+U!C>sayqKd)|A_{dt9F(6{ z1OE(Cj_QsX%iJm5?l?msAj`QE1K26+=Ke1x4^-bi%aNs9a5biM1Ol+%$tX8Aia4F) zo>{53S*iGzdGLbRN@Y4}53VF7sx@fj!0wW+LT;02EoU+p-sk zFmI$`1JCmZlQGAbjFk(^a9*F)S`_0RJLq_olJ+Cf1pu*Md7a>yM~nT5DK);r7PoE`&Q)DZqU$ldplosHFrPOD8QJMHADl;fVl|dBu@72i>BR1(~t4` zP7^;T@)QSPL#%ppg`aYtNw87u^h{`Ryu}nd5hGmX`C0598c1UK{IQX9ujL-rJZpJ^ zco_3tKol;?$Q^&am0a?7gV%r5UA?F!29Tc@DB*BI>^n^8Czm=SD8`RPqf~{6Tf2*F7(Buq2 zEBGf0517TBAX!=!8-y3PfU&~hHlXr462(n&@-mk^yx59Ue%nZF{3!2CYiD~l8cac=?ZUH81!`y3)s0&K882h>IjNnYN4GZ1J2r)~{V z)Uf*&LtX7Bja>&knr4z{9y>BgCS)yL;5OTGVMwhsj!&)d+|$JcCy#^@e=owb+0w{1 z2{M8BUY!k|g(GQ1z*0ZVG+`1~ z&T4+Bi3%s$kuyN?eR{!2_umHM`_PvI>H$BIN6Bz1LSKVh07lY6Hww;`;VU#1?ak7u zUTp(}hc6iWyGFTjbPI?py#+9GPN@%2M3qQ zVfCRSd7o9!P&i!#J#kEgq28kV^_@)uSagwV)D3OVydo!a3K&+;&karrqY%81pH95+ zuf&Z9QTSzX<4zx}@01}AMSxyfM|tu%zxwsJ_rF%rSgFHVf))ms&5ohvoeS-_=rkVd z`oTsaIyyf$WIUWs^ioM4Dny7w6SKf&N>dP3x`)shcL^ia);zuTjF+LL?Xhf2D|x7m z{T8nZJGDOOi~Yyn@5O~Xi-}RErR2O<@GIx>maoiVK>AbB5Aqir`)FJi$Xbd z2P7kd!DU@mT;}$Sz1Q#`O6qBL{ta+xFp%C;K5l8~$geSzL$M#NpgJ;Hgl0OWw9J4A zSx;$|Tf0UK)DHD&FeEp)C6bX>Bt|dPMvRifehThr)F&7SS0vOLKJ@U9!WR4WxU6XI z05$(y;b#+4eclqT_OyWw=X2hKq9BA$)KGnA2}0qUxFZ!@`)LYGAvuRuJKU7PSWK-L zCy#a%sX*i@D13m8VSQ05kdK2xtW|xRBNWM1fD+4)wjd{UG0^xWJvkA;qf=I7;XbiY z<Yfi1dk){51H`iXiCGDkWvxxPc}uQoW%? zT8sijXW2oDTq;5{8VAMPml_ATH;8SC7UWtRm%Zu%=jC3t`j*OkkG0~Rrd}$&tV4F? zAs_=FbXJFT=^kS-%N?ziuPW=Hm+s3}r10xTZzp@Y0{SYf!E@(D@OVA8-t9FKs&)SD@ox@sKuiWp>#9U`(ljS_T-bL zE79|%Wa)q`ruM{sgPOFjUu=mmR}0sNJKFnNTRAi3Fjh{9$Lhr9UTvMi^&Z8ReBorV z_+lE?$FvwxO`pLJWDQ>RL&iO%`K%ogyKK zEw5B|Y3!UqQ{Ayte9~JUnsw69e1-Z(o17H;UB*fAw@p{j-7)c4jO`r)kW+lt=?z*E zV~8SVxCeI>j>cK=PiDw(a@;&>(B$`M8%?M|qnRrB(cqne)EFN$S76l3~Y8cYUhFXYx;2QmOdy+8Fll~@ow)GT$t2S(& zz77-_dU8w@BBcp#?6&*I9x_HRG_-@pC+ zfB*HzpZ@gU6%W_7Kn-y~P+nV;+md(WHINd0JJmzjNN;6&#q}~3+zmAauhzMiD*_Tr zyJ;W_bUsY4Ol{HW)L4ipr=KQ;+|~lRqa{TocM=e8m7n0nN8+GuKwG3M@2u}#Yq3k# z8o5VHRGg@7x^;OxD$SYR;_`jW;3yOBR?U`~?FoKDOGWm(1JDO^OOMFXORyUqAiI$x zdI=|nw?M}u2d_Xh`9hoFQD$)b8OSwM^Dk3=)C@w;t4tpg9v-6qw?V#LIZgv6WTA54yF&hqS%vt`&Kx}-YA3g^zy0ZtKm7tnJlLymzym5A_)7mPOcWlX%4KsKa6KbYH}p8R~ocX%S_wccpA?E?6ByG zUMT#ZY*emFH)7R!aqxdN>Pmf**0EK6E ztbl9B#&$TxdZ4j!3DvBeu$A*b`3p8iSEFK5jteU1^ewIqoFo%l(X%Fv5;r;3&AGwg z6t9x*-_>;?tL%{qsUhqLGW+}m_(d2QGMcWv~&a*$EzL%_&+{uqw zu6VWiR5VmI9(uKx1uDn(T%5?&iqApfPOEq~n8gX9XB~~B1a4yl_DKjTyd`S2d z3SwNe znx6x5j`jhUnm3nHKbPAsH|4;qx*@vIi1!NJ2Bwd^kpqTWm+E2EzuU;PIqgP^H`(<6 zr|n;QB+HF#QFvazLa32yB+~dErb2lD0eMTRu5t*~6G!XOUmrl9gsUSB&cDAT&5Y!B z-*n$JrUq3z!XuKw&8Izqn#Lp^p;3@M_+I7ALoV+OeCxfCeP95MTaN~aGe!LMzpPs zC1WPV4!aqW;#M(ZDRs`!3F;;uLG1Y})Z`4)3H?yCgLJo| zTd?1AE}O3I3Ed)RoTJGeXL z&Vn_AZVR1Qz~k77duE0i7^HPBlXe}SUH<>wH{X5p%a6}rzT~g#^QWJG|M?f+{!zPc z%a8LP`thev-+z3r-~8tDmrp-q2R{A!$KQRq{q*^w)$jF=h#WYYPahXv@9|WK812kY zd5vc2i^skMC+yu7LUK`sG&Gai@$&B=38vtI7U8BYLcCH|hDpMbtB6*f zt7y3+P90TBU)S@otI4QF;groLc)n$Ay=5u2>1j{{mr8ot%VFZEv<`Y`=c^gBTvB(~ zsig1B%sGhLEr*6~c{0$e^CuhQYG~x_F!DS@m6celJ;mBOZc&e;7&n73+G(y~t*Vz? zkR$iYdbvp6Z57o1X^=u2l+XfE#O3*-2o`xj+2wuF;k?Dkf(>mQlJwi z>JyEb%V(wWh}p_d+l`(@L>%9J+&g{by4FKDZ#9TOuV^}*Y*8-TT2YOluFgH5(9Ze1 zRoVG9WTZJpAei9V2d@wal@)jtjISTab6W`Qug5%oxlxdU<7Zh43Zug(Yv*D?8{1(< zyTSuf1011C3P|JWI>mCv1GOiou`8A7i5U4bwq|(%DMpkx?!>7Ln%;9m9jZjv zFdOTK(O=ydpC05JOS%o@BFq-ag(i8{b(h{E+E zYNJ9s5nB|N7E1Opjt&L9q*E^RX2jo)!Mb3yJbul9aU&1U_Bg~ij*mxqII%C!++GxtApLsD$8h~3y|;!FzE~vKJ;NRjY1_@zMa!iPW;vOO-(BH z@4gEDZ1U>iQm_Vkyr{9jJjYpHRK}d_GQYFS5VK%6>L$km?>5x&#QVH>D_-ER@|zr1 zXIpw;+mL|YUeCI_wm6&|ve*v>4KbKb*t_gyyzgSVw!)GeV93^L-ZPLG=J}F@ za|pSw78K{!7PE(2O!uI;CZ)d~aC3B9Pii@P zBUT;TlqVhjlW}B782PLmq(|HX6_+?30WLmuuWI0R?2htAdW3{)j&E+gls;pqO{u`h z58rXPy_Am=4W1602`B#0n4-o81@J{eN#;4z_i7>!RkOY1(^F|-{FU(d_jv*b(HUlmf>vb31s2nu z>0{4=xMvK3Nj++xs*;m*PqsEp4+)nRx0y2!f%TN+f%R5MV)K=cQ5h3@oHpO$?TVuI z=n`}1`)NXVq+iqqJ85j>W}q7Xh*xnf`cELp*dbsdTdur6clFj{9ijhxbydTp}Z3sKZR`Td+~VB+svnC<{K_ zwIWF_d9{4*48(fw=xmsj#7Q-L&)2lW+7j1AkarJ68mu9Dx2%+s|8<~N z(cFtkgAAZCZv+$Utc^=X6GvY=kD1S<>zugu*5>0y>8XEha4Qv5NQQA!+T*oLxr zffNj?58EP&hNV=g-|3Dc1S|vLsP|z;^h-5&`IS4-uMptaQ1rGjHuiV!wJ*yR%iXj# zE$>7DIIqN}*6C*Igw@NNiN=6a+f9SC4}o!8c6@Khx~I179wA3>$c3l2-L?jnb9uF< zb2Oe&a_Ms1YFs1~z(4lgY_93#TN z5OlS{h+op-=(Z&*7g))|f^~J?ZQR?Kf79{`qW5=zLyTxWJnmI!i{6jUEa1PCbo=1k zM~s@*q~D-Afk^sU6;3W)lO6@c{YGtG{>Ks9*r?X*ZxiI~?`#br=ZKFzl(bq3Mn-p| z96_Vd$If=Xsw<4%Vk=fO5xw0QcSuYWGG4ij;333>CDU;OQt>vXV&enX-{jYV9Cz25 z!1VpeM*`dJV=N-qoEgmqGvEiWeaA_|PTufa&kp>KXE&jfJIz4h&r0BnPX0wj${rfQ zs`(*QqPntJqL{R4c`csfmpQqE?VNgmcwKxmPss@#B2@BpVR{Q825HWS8no2S&29(A z#PV~4=Phcq7~&vYwhn#M5k-XZQ(hjDr;n&uwUiY{o|06G*cog}LN)sih5l@vmUPA(_tiVii}>{`i5#EH_Pd4QPd zgVYn%%Vx+)=Fq9(lon+UpM?`rC)AReb6Q0zAhf8j7P>Y;9ue#lMTgWe$B1=!1!IACS-@xE+n<=}6wsd?#W80!Uhpp99Ujb@M_%jGm+*m$ae64ob15 z;4gK%J8A3P4rr!?4F#Rt1|E882Z*T%LzI$S>G%Cm#cM~vt{y~Uh7RS&T#DTSMmz!O zy^#ay^S`#p^5KUF+bSW+%_>5-sR3rRYB`iQiP#q>ho?8g&%~M){rTY&5Z_r3&<3Oy zPkKvP?kUp(y|7lu*b#I~wWbb;G+1~hMPuAdoGJFiP2eVpTaF#o8zzrVmSj;jI5{6> zK7Sr8?J#6pSgf5b*+_*kb759RRbpxbado3U<#!zD@mGKMda{c|3*5SnPp} zWi))270GlW)y@>z6zpGog7Vy4S84 zy|DqX0qAY*YRa5a(+xXuig9QaNAf2z?Q5U==w-?V`UE!E^+9hPoi3F(jup zB;!f2ws{sIv{xO_g{v;vfy=5msy#BaT5WX;3VlSUP1rJdUguLTu^sqcR z8Zw|5W6!7#XUaWO%cU!NZa=VApE)nbadSl;}2 zT=6`#!pzw`SR2vX)=ExX@uK4Y5C6EA7vQ>Ian*nBAc^$q4Uyi5y5ZAag1mw_U)qiW z1@z?(7YJHtF5OA}B@b;k~AlQKhEk3AbP>cSTc62oy95?CAxnI8FBaApC#szx^Fu zIr%C~JeogYX9FixdiA`#oqUrVj{rw{l24z)b`HaPcSmBj)Oq=}p*M|uzYz<D*%lfywReC zA*@1+hRZ^=?+)7Aevzh=9)i!S9ph+wQ#q)a6P(G;7r&gzn$V`;HD$s0osld>NPWFI zxfV3l*mgV-f(rCNr)pS4h3|7Ru3enQ-AngE>)u8sJbOCUn>)!#S{OL@S91d08O__i z?%3ne8}1`ndPE?bZsF*bhnr}2Q&kd^yW?P5@bV)LN3d2D(3jmsTTMJ*?;Lf{V`>ld z5Hc6Yfw6dlX|;DJtSW;B$$C|mCcPj%@?A>6g4ruR*h*2B+(j z$J7B#!Eu}tw3mWLo0Ar@RX>o(v%yoF)doW|P^f7+D;E?J27>2zTEBxD?qd5(8DA|W8iJm`=ZK#sWy`e&n%kx zO|2L$LHRo0oDa11H5jq?@esAvcTC*pSMNEa<-_MA4|-)itzSHI{$GvE_EPki-xiY+ z(4%RF!o$`v>X(jLI|&P&afVta=M3ezqz`voFxd)=;yqF@Fj)SuQ*!XM+(=-@?l>&L zVmK{7H%p>DhuHzBbU;TZ_nkE{Qs?IegkWT#`{5)j&a5k3lHTl08i9$;amzD}E(0jJ zp+z}^231GYSzcAmvs%to-mEd0J}|JMeVnZxdcA=YRCNpaxVFqI6`RvEdb99>;=ji8 z0Hr`$zY8*&#=k7;a!%jT)cZ(j5baydGyV1sibj3FF$qV;WoM z4H=3~)0#GxK9~rJPI~D4y!ZY7khyq}`qriw7^It4-KbX1XjRdM!aLaXhy^f3Dff{N z4|{PsvEVSx3p@)^qmX*0fnNsAl|)RVg;`Qa&@kzz4)b@=@=`q{A~7%4d+NdB)v|y| zcg>k+!#~^SmC3(wr-WK?oxIeL9pQl1lA-n-%qSs@LZF3Fau$Urc?)7!AJoH_Eu1GG zt`Zp*y5k`Uxkw>}lR<-(lVLom{aRYAHi-|G zyd^PnWQ^O$(;1Q-HO9%09b)cR9QDO=?%)Ons@2FR`W}I+=d?lU>AHFbuBg>O6YUJ$ zH;Fv!)(+bc@8r}#kTU_DH5Zk*IXVscaggNmn^yn?9&=+5zs zjo@NxKRE&N`ysi6wMOpqUJR=nHPD}~hShUNBX-n=J=elm4jX7)ougBM!(NNn+$Bb9 z8G_QfiDTRfUl`?QogC%=78m}qH9-|{>5K&&OV{Plr znQYwA`t3YgmTq;Q{P7<2X_mz%7as-}Uuw`Dwq_SlTi=>sD3N3~h(PneBpRR%apCv) zd<9n)p^s80ctWY9=IlS903^k#Ko6@v1T#P${2~V=>z;Jxsg*yRbJNpf;~y=Br%H3X8H20wVl zltplkj%Y*eIr}1~?3jg8YoZPH;e>zTx>S2L50deBgIJc_o}7+4|LaJ5ByQ}H;1jj7 z!9t0iAp?m3*Tr+`mmWFo(TL8@CUG{BS(^9i#7MIafsgqqk0+eeAOUo09Uq!%PV~|` zq-m93wi>zz#74L0gQ#(d%+TI7$N4;}a>u+k#1EJ zPFvc7WNJ7{K>C-Z#mYCXCm@?W$qoPPnW3BS>_!m=SY%_)&&`Z>NP(j(nDy#;G(+iY z%N%%yt{Xeom3;D&20f$Y!J3VKw@4)8PwL@A7*jI-c;tf(@^ZR7*2D=nfI=j$>0>%O z-$TtZW=)yEFn&viOPIj;AHhvXO_ukVJUm-o6!Z4B+X2g=&vp*|$Dez-p=~Fr2IKf7 zg$^(0inRtWXGe5Gmr(sMH%~hly+P}UCeq1i?ZhYQsdH7*aA7E{S_c{hgn#Czysni9 zRCMPT8OyY!#i!odI~i~xK{DT*RM?mcS+lc~k9o{9xk2V+U6w@QZDyR`5WUoqa$YXT6}3i@ogYeD zQLZ$~vb8~{J2-m7UM0E=G@>1liue=~2|N}WA_Q6C9jF~~VQGbx*!hDQ9stQ)jM}N9 zJWX8GonpP&D|@S5tGVy~oqE2(+~W;)o)PKpIb_R#!e&wI`o51d zn+G__Bz4lOfR}MBHzFo9Zby5=kr;*BKuEU<3Ww-5#tp`Xg0X1}(PA+VrOcx{(j5x}XcFhHYr7N_v)ROpH|Khe8cjS6VFS_by^zTskd!z z%z?`D{aI1||J^s=ee=tY&tJaeuj})tpMU@P7vKI-yKl>n^B?{3r%&I1e6HX8=JS_N zKVxS;{rbn>eYySg`Bm4zj9mkHdgM5(aV&d;rU&h%@sKkg#&?r+*@wxirGohLGdvfh zU0pS2v4Cjgu>dw4vf-0&G-Tn1u5fKA;AI&}!Q~4!yi5)m6Ye!JakjieE3^z)A*vz= zF$2&CFM#xQ*|-B)^E0>a<=d;CJ@BA+UBbA?kmjPe_R3@QqHjqp4xfD*<+4gI#}AC0 zDmkxcWIr+9Z{S`+#7eplgFLm0TzrN$+7K3AP5xm+x`MOuOC`RUa!*jPFF)lWC}NRN zEydZ?oC&(tQL)q#q$X8PF|stRJWk>1hO;|0zWH4f%|hBtv9v5D0t#t5IwW&>Bb*#3 zk!ikhEC}C-tCP0qGfl#?-A9l+;5QqP@7e~~6Iy?byVY#QXA zVv7F_u&LEk0`vLBQ6WD~xwdNt(C%_oNZr{raMG!?dT9=0Dxej)hZY7Ct})nIV?J71 zV;gw$d_rKE4tTp0NHADv?cG!I!@^t16ek}1jC!#(oeZ>#-=Io9N8JOy$TrAalW0%<$X1kO=-ClsqJUM!l3a1YEnzRFka3w>@pW znheZ~$YEsJ_ze*bj~q6X&tN4s2x8UXGg{|C&2^d9`QQs{^`uawmE7Lx6H(=zhHvFH zN<~%@MKIDnnT08mvyjFcWyJM$s$fqM#=uHBoB12eV&0Bewe+Z-2UL637mN zLgO;3IwY*{56Q{#@6WP$Lp8G7(Zvgi0_w@j8Dly76hc0DQB!c}-&DldYNt?N&Vt^s+@Led?b;EsRh%H>>_}PH zmQ19CPU5Us!n>;QjlanzACk#Y9_u?GUxp@=Jn%$0q0ks&fp08~IS+i*WK6ZI+LJLg zNtb17Tq7zeY!qYOjKv_S({f_)#@l7w9TL(hM;*mp7`i7awK^qzST)^wie$+p#%Kpx zk7*LOZb}0hg<5RfD6!!2D-vMB2h=9XSwFmVcf@UDclFVud)d* zM;N#BD(La_^4lR23qBi&co(`3Vw6co=f)a^3*bBVP$9E!0^4*z>9d&(8lK$AkPmFD z+5PBQ)&&QEHA+bBz@0+d6yN5`r+JXTMD&eE5Dt#meOxyyX zFXRU?T)Y8(4~ zFpn8l`-p;m<<&SApbqdf7X2Co^$6b}WILoQ%hs94riXmk21i$A=N$;ZlpHa{l{h}B zJ2CCW{LA7q|2P2Z@%{a9-Ep{(75FS`lf9;HA2?k(;+8Ob>48Ufy+tlz^?qlbsT;{pt08sRK(eO5% zC^TfxJRE!>VbR!efE|bj_-$Vs5cTzMZ4|3VRi$pqQ|^88xPZQccUQVR(95xr$aovp zHY;MsFGGGRmNZWdZ^W=s;bY*RSgK8&$qVs@Z|92}obSat$ooHFhe17)g#MDtVBp;BOFNu>oDmjo_~I zAcsQc_kf7+19C1<&dp|D=MImgQxlPR)&86#<1%Pw!=Sq$yfOfvX4S}NmHY1sH>$1^ zj5q_|nAjnT1#E4?d9ulF=#<(-B#_CZ#pp}3wx=k=NrUkP?V}OPokoq^ z3{k6p=btzQ!Z$q<#6pItFwxe%!hbVaDQ?>ddX zwjAB(5W3j@6E-w^A z%g#Jk^nW#P$mvU|f2ZXHp?Mr|fjMR6^~MF%jLFxup>lq+RM!(W;C_Noj~k-$t7OC+ zm3PtK$^Hx*DQ(7_ncOjVZ8LN&LYsi1n6v;L%NWcQ9|B4%gs=;NSSBlRy#(Ko73zEg z(h-Jc!f=z_9bzEgDAzy>%y7cb24sCs8-%GwAqg_1^jwX;awxp4khr#_JMA<$E@OHO z8_ZUPfqFgD%yw`~tDK-!4XUNqpj{s?4IL974W0UlJY$mY|5}e+o`XgqO+8a4b)fNu z{y}`~9|W->mitu$>BUZgXP@aJK>yGiV;}oxjMy@`>7-G?xiDDoIL)m$79RUIklw7q zK5iBb+49^ZYVura4yM8Y&j|YB;4OR4ge^?-L(>*#rRP?WUX$9;Yw8?4N8TH~kykuI z1d!3cMC;}DvO*s5yKz=bm7%FpTn};Sjp1_YFkCyYXBDPwtO^@x1Z`@F|3FqHbaR+= zjkdy21SrwBsW83+B3W+2BSkK16Czt~7p(?9doD)so|tzBEw;v{!_{B}LDdtW3^q`0 z5&BXd6T}8ky%WDQ;tPRv!h65uENI2vATrtwHrgZa$cR{fd)w%O&TBH%?DwaLI@#3roSEv+fK= z&$4$M(K(K0gUi4uL?Gm+JRpganEK958$HVRf5I8GN^Z~uK-hU0v~T|nc_X+KIq-Y5 zrgeLpP~cbSw?pThSmqP|>mUC9k3UnXe9bZp1SG2jz_^56U(HSqNg!#BNF@i>V6c7Q zUti_WJ8`V)Lihc;qifw5zV7ISjd^i|`Dhw?H4O1pNb=g5yxIfC%XHWvQ})iAHW^_< zZh=DiJCS_sMC|?PDR#l30Eo;ErEW%?d`X7H0S41br5{YyROMy)s(&%4nqZFLaR<8KYEG&@%uW zNhju~+_q|hGkH>sA#o2^Y;QIy$iy?A>r-e>rjQPceolUFTpu6k^Mi77KQ;G&%2+B7 zvvmJNgM^Z?D8p?yA$e4xjjxfH!Q$B*C{$n_!ivp+Mde-CL<-*ozX}T+OnAT#`0qON(AB!jkkrioITM zNdnnM!$#qf(P%E2f#qZwfp%j#vl8~-G*^T2cTbHad5?m@Fj_EnJ5`l$ns^6=fS>`e zVyOPXa(h6vHg-l571$0p8^xooNHe7DCTMD}@03;SJpSw2ir+}l8|`U?yu2>p$r?iK zqF};vF8C^-=>{H=gj$7-_sd82GlI))@$v?P_38P!$r@%EH3*V zgO|_ZQ(R~Es(N+kGVmxL6X<8yjwNil6i%ddsMhPW5=s$c6@%V^4Mw!-%X7eBFA@U( ztNGcHbWgRMUzsc~>Q%3qU5<FYKRfmVha*d1AuCGCwlRbcfNpd}u>aALH*ryO%_jY0v zKsO5vH_Lkbl!;1o*V(j++=!&KR2877XaX+6AV10{3(bc4BDC`O;D7w(|NYlr{?9*u z|Chi0`G5cI|5wI_$0ZEf-ARf|oP^gwr*3F2tFPu(45#dXV+b3Z3X`f!NVQOu6ZtQH zYk>W&*3G}~LAufdkV7Khw%x!&uYXI-?tov#I@JC0zAUR2Npu@7*N@w z1VrrgQeoORGb^E)1w(y+sFx|W3c>KKY?P{f*>v9tC!@J$J|A}Iz*==~`#w>w*2AyR zg<5g!K2HsbdgQ14tn1kXT@8wlf{2zQgbMu-Rw#g#qj@KB4ePx4CVYzII9lFbzP#Bl z1!wOO(Ls7B`dfFFElTnQy8)*-OE=jlPd7a|T8fr#^zx+(3~4E>)VpB;tKeRXGB}KE z?A^375iZnk2Tc3me4+FWfvVR~RSou52q>rSWFF_`&|$BR9X2KmBb(7rVf-nemQ-P^ z6lWHUL8c*qfpt{^mO|PqOaPb-8)#xx!PMXaEeB!e*T$L~fPoKZbr1<^^hi24_7UXy zyKW{|ju|xG^}tlX?9z>FhoVa`0o}DYY++po)KD0tKSd~$lUKGchGS!Wde0=P3zi^f{UTMmVZN672cM(FEhFjXuEp3z+-_nzEQ#CI))k76Yl(wr&s?2&dh3Xg2FzL%F$>690!zp<@fbX`X@~MJW zxY?)*%bn3^<~c1tD9*sjP%RcbuQ$Z9&n-xFamZ42zJU~<;(DY;to=*r;CforFTYms zzIk#Qy3o|}(tB_Z?VZ3!!Sxd7b&!hFmKKhYAGDMD`1yX{FkI35bXob2a3jvXKs~#>%8D{KACRm&F127@ApecPoO-_Qk zI2*M}iN1{DU^^R4K83u;F4%AQVDwBdg>vl0W_P@Ap6c>%Z@R{>Pv4 z8!6TkeY~LbAS4(Ki76Ob4H1nyt;Mu6m^rjo%L6ja*VBqdWkX*21_nh7D_lWdTC5Rt zq>Y@-0n-oGwxlg4ILrWfSVfrTvB5&&{FDo$OfEIz+p>1gZ9g$t!B0(AqrbN8KX($% z0L5u2OZBiKCPGA$tI`jVAzi2*8*>h8WN5xx7)kmnEC~%fY%rV+X1J|ZrCZ+9=v*rk zZmy+@%rU{qkM_Z9_A{03c;MaLgGj6={!Jn7+GIG`e{XV{MTyr*yN2*<3N1f zodi%XGOBei#}4tGRWFCg56C}p2f}~ca)SZ0NXvXP#6Vl=T)x%?OCuLv?9{Mfy7f^V zu;c5#BhgUn!O;3zZ=TZp&3AC+8bfcT?_ZD9jr;uK_(?;(CdK@ju(oRryif{a1uQ_4 zoWi=&_QSF?SF4n&V#9}>;7@29E{+N&;EiJ36Rj{WceI%vMwKKebOVqj0?~%>h!f5` zmu^LmQkVII8C{scWS8El!no;(Kwo2fpnEeftL}Hye-1v$@qnGI+kmnsWl`=RNFK2{ z-NY}NG~f%6)>%j#(@{>zgIZ_lEvGi<)veRiik5@jXkj;jH~Wk%DMLDF17=gnk-M)6 zT7vg%J}PH^um#jGvLLU|!=oYvX5uExv`vU1JJfP~#n5$UU*{VSZiVZ>&}Fycbb`Bs zEz?8-*EC!)mH@VMayN-No^9>;gEu-*hPt=G&UIe;3f9R>Uvr00_$fd4C{j+GY{aVO zmN#OEJ^{&s$&*r_e~lNE(Vab1L8IJKVi7c7%UAz($iqtO4ZtQ^Z5zB?Ww0@dmgLs{ z#Q}alkA`ae$h& zlYMy3qDSp^qRM5eypMzsfmYOY*O67dHC4srzR|4G2+ruB^T<)PPH^%{CweJ2PzJdT zqqBK#&U?A%78JodU5IkyO2^7_&=CZ1uPMJ{VBtEUxurC++u##?6sr087r*|$|NP76 zzx~%A|H@Tx!x>2UUv4}C9g43&__`H`IlL~7+BDd7_70k^3C>GbyInT18WC?TeeU1& zMYqad%o7}95!b*D_5lBK{o3i(gvD7y2u0?8X2-B;Sz;2%byh9IY^R1^+>k5oCKYIH z@M=V^es)QP*A-=fCe1{{DHo!BjjvALmf=d(3a7=+Ov+9V+@(&Krkoi>qH_&61t?aC#6~ zNnzx??8M z^!>-@`ps`XfBEz?cIMNsfBfB-+fScgMD{}N5MV~kcrC9?$dgeq2l?p-to3ps8&U(7 zr=0b*4Ybwcup4=l40nlUiRU_8A#SJvk&=6>wX0G-dF;B743O<*I86eSnlO_YI9t6H zE`0Wb(0SH~A254|db!OEE}Ly zuq*95&&$gKjIZ3mxXaq-wAy^WPwoa0gCV9t?|D(*i`sKRr#r#9jPERtECmu;=929( zH6xoXWRe;%a))2b$B|kvou+bRB9u8p5L(J0bZ%5V@bj-awXL2HV9cCXHsW5AvPzkU zl7wu7t9rXjNyV$fWdm6V{kvU4Sj~C;#KjWWWijOtc+|klPdV@xbrK4sFzSs$s(b@R zY9;Jl>DmX+3MZn&4zAiw1%^e9)+*IB>7W;W*~bXWJ^+>GtKDJOu{buxS=&s zq_Z(;7&bJBFT@xgur;$^lfMb)3_^B`v1q3hL$~9R+`-Uz;MjJz=L@`Ty%v|l@8og0 z)`o{ei}KiE3+$$JH_xC3kMy}jr5p)V!+OjSS93l`COh1do@9Q?nWWllR$Ash1adSd z3Bc-hifxps=2h=79J8b0RT3!}toBYW&U*oa9xm-6vt3Mj*h5~vhj4yUYEv~NhSrrR z>gBJ@xQsfhpLR_1(JpN=&*0_RoQ=mkY91x;V;BEi4j&ajCq^~>*vew0`~B=*QyhmO z0<_K6bW@gisZtl^CAc5SR12y+ zop{FRc+&^d;K0zec)pP*qPw-P^#*PMs=Ucb891sXWm6YOd( zHI}J9d?aU;==;JRj7e?fs`N!d6Y1O127TLei1O$2fTn4;6X)VA8~X+rg!Re*ssP#k z=59#vFG`M~hC$tZcAtJE6h?h)FQkDgRVYyV%+Iek2>Lm%nK$3v7*b9h>KaxNFg;b6 z$EmhA^wSSXLe2>?)pYa(P@0i*2Tc5zr`#WT*}bsQy@6=7kePh{ix1yH!t2^nPE!y* zY#5q(s1glX+F-Uak;Lgjl8cPA1gz_Mrt+O!f2+0O&Df%sm$W|v?0QhtY-8&z=Z(D| z`x~D%(N=1;8U|))GRdP=rvNt7k?LG1VpEe4=F(_3ZJIguf=&0Fb!t{}Upyn4z{S$> z8|u*Ce9^{bdg9bP9r}RAXae0n?^CkV?rtd4_4QT_wf=38Lkb>hx($KOLKg#BuIZ+= z&`2pzh64&JyScE7DkWsIscygd-S~wCpYB?rR-=(Cxfib#>z6_gntuK8g;ke)U(M>+T%%g#G`i^q?`9_?CC|s-5t{K$9n^@(d z#BvSO2$yTJ_phVeLIb))N686JE+#y+wVHrwa6cx>rFaaoI^Q!z%VH9JM!bA|5^RGi zR6-cF$*bD5?leQ13=e1kqG;)ZmlPfr@l#Ntj8`HCV&vm|~fJ2y{Uxny*@}l8Vn0a7fljU2Tqaz}Kf7*+-TaA1fHmDC1 z)=!{5?|c4e+suA#qk_v|IV&DIhO17jYUDXB$G|7Hp~;W06?$lR!sCbyH}|TeK^g(p zHAr!MY~5~92VoqtyP<^)R2VfpP<;mUAfp=U_ExW!hYR5GwR@GWKpP+;TSZhua(!J# zNdc-mDWl}AVCrm`f{nm!pc>(*tbE)FaA6wA$3KmI#}fhpX$;f&H&C#iWU(Su+T|yR z`^Z(Zg$wbW{D_WKeq^YCTBV|iEaRniAb?SkMyU$&OM6maj?pO-!^UzB3VAb4jL&H8 z>!R(7w|-A5ulL3K>M1_+E`q_{5;0lv(^^m%YEvB3aMYsfqa44Tnyc{^2!J(B|p{-3Q z2x_aAr;rKyL^u;-2cNGCXIcdDmFI?pWB%8PTG_ylnSd+`L5-Ar9Mfx@k!+5}HX*{I3JGvV+^Nm%sR}x&`Yy^FQ-jlp>+yiDMtxFYOCfP!kxj z1aXiu>NR25c*G=?ZZ){sC&v%gls;ZjP{K~F#W`2!>~C4K!CG5k5?+<@(Z`IfQ9BVt z#y89rLtK;&(OZHwsA>&gjbM${0_IZ?K%D)_s|ZEUqLE)SPhu4)FBS(y&2^<|9nrwZ z&*%K#)q|N2v5?IJgSAbu2%T&K42)IjZjh-p>V82l>xF-Kq++QU;C2%-(6Av7$?%*Y z4~a%3a_s?7ll^jCR@%#Ng$8hb%H6ajNc&Am0KDDm&;lu!7G1oJ)nit zmNi*9)KCcCy0~cnMDABo=7%m5Zy2;wNg_%hMoJBN3mC>JPdNsFms^uKCi|%;E2sw4 z@m{ye(+98QZBxr75dlf+JUA^q#kJCnw<4W4$sBShwbe(j^TDhKSb`?>PgU}j@`r>9 zo@EkNy&-w;6mop!=Z-3ysqP>;QH^L8f|72GTQCTzF>=HxZ2=pbL4|RV4p;p3wf$b@3Em2atkCHyTO|IzJu;kvevnQuqnfWs(&yxa$8d|e0;5q zLe^vkXug6pfq4th^=r$;#0Pl*{6t<6_mR9o+754@S^O5bR0utly_*WwzD4Lf(1tcs zK8VR^r;&6oll85f$Ra0*PF?75*&4Y)R^u9 z;JX?B=mJcrzOVt?DV8@(wzE?U&3bHlu%lN^`=UKuZTkF_A1y>z%hov97B6n)RG|mf zC?sL{PURE9=t(HWqIrLd8=TjLJQumbl-_02%4ZoPL8`AvC6S#=g+g=S*qaHgHaTIT z=VP>zz2y1qPIjyEBZl(2r0$4c-16v=%>;Q-S^}$%B$<0&NgM**)eE1nk}#wFR9x*x-GIAbS9(U2$wmH zijny#ukvpaOqKY$eV61VEFF1IxtEUmmI-7(dY+$t^W*(*KA z6j{A>^p{@f-XXoyBZoJy)n3j(n(u8&ubK^MLVOw1-^*+ewMT=3v-xSn5&ObDhS?}J zmKjSizOX0Z5KyJk5Yt-B`F8AuEjzJ)>-x2n{G+AA<2iA~4~JQ7 z0(WLBi)k9gdhcB1$=`ja=i6@UY3s+xSS{p$RZx zUe@P5;mMGkJOqC#_E@*vnGo+q-~?%NaRAiBXSzWALoH~R$jV4e!=5~$o@Tq+kYSyl za$rBLuv99;P^g0`LA(w6lA7dRdz>dq8QntD&vRuBDFQ|#-q&xm` z9W7@ZYI{a&$ zPh;hG$13$6MR^dKnXHskg+K)RHq`I07MP#&rWk!D36DS14T# zP-1WOn1ll6{ApJ)C!t=&@fy#dA?aHfY7dN!e$@5bAy*$02-v|)r!xyCoA#4Pz^F~6e+{W#lq_gdR z$B=%WbT3|-`IQhGVYc{s4OAzbin^G4tH_-Q3$h97t^*f{#eSd_>Vc|QiuYmQ#1>zW zw0!NW03HP0vMe|NdB~jD=>RaSlAn88LMKdk zT2IZOqCO@xgJun4{=hhSzAyi43~uD-hIT+D;SXsnyBIQKrZY z8Vk#r(qE4#4C0w-h!!nnSY)ZsIYl8j{}hF~TDw-_C$#nllz^wu4Zpf}{J{&lF$gW0 zj-qb)Zx34a#D3LyO&H~3CI@X})3WN06@{h24&ecEeJyvA4S)N-Qhpq~_+o=bc}?

c27c5qbL<&<283;jUT78>7Y^k9u zIxSmb9LKQPHLg|w*BPb!J(tG=;inDLv^0JtH;HXIZILb982PbEf<5z^?$HEYt~U-2 zOL6m4Zt2fzx6kew{@IDn0E2#-cXGZP2pmP*)PZ>I7x6xHuZL?-lD5Qx#KL5-nV3zw z6(RyP8N^u;HX@*&6nUrydUTm=bWCmMZd5kso?y9@F;O1-$K)IjSV~>zzF$v31rCNe zC$gnPAH1Y4JLp9Yc!g!X$QA|j_pavlG6vOCD(yt%Z~}=R6ph(eDQlHf{vTSmw(AU9 z8epGV-zgTGUU-3xjutSlczP2lxxo2pr#psVxzjgIbuSyr$GHavp+OepS6yiZ9RX|P z%j+Di`23VR_3w)jjJmQqoPIX< zF4madz>)%O0V(V-)|VO%8*Q)1Y9DOiAc^XKZZ?9FQ|rvUnfV{<_s}v>u_Z=LVc?nD z?f`Nyc=VAR)kJRap9$02YVj6BuvK*|DRHzF*D z?E>L(1A&|;j#Ip*YC$2?(03zdd6wt|?f1Yl00V0Ok> z^NiWN?8|x*g3`teV&aR82a|R4$m((US+~rVQ;Ei+eV3n3>Swb+hV5xpeeK) zuLTy731A0-hUH2F;=?J=4LS9Ezz)T%8<11yF=boSj&|v9M<3sHV*TZf$L=U+MATi! zCtN~kX*{$+;g#M-wh$T~AH7oBk>f0I0Ibdkgt3G;+a?kHp(r=H2%p!=1b9(Ixe<~F zolm_DWC$lQTsBkEB)n3qZ}F6l6dX+)6b@8qnJx<8z0XS;J$N9CEL$fWIw#V~Zi7PS z%*PoLi_3T9fgH&VRuA$g?S;MrM`v8<)%kkNX)o5CXB!GBRP$4=>P!8Afm&q)0sl~( zcVHunPHiAzANg!U6|EEIXNy}oFsud}^M6~U+EJ15mq!NrP!6FV%HSBo(#2H$VazKj zWD1WP=jA!WuB41e7vq9_y`1j3DEC-9=V`@Owr}MT)wWo)zSm{_KzpzG0M!bE(VEo+ z3xpLvcv+O8e7n(b0~!VL1c>#NMj5D0#P}n7pphM9dPIf0hfplmS+&!O{=JEYbL+%R z5?@`qs_7tAtFX^@$CL;Z330kB763WvJPh2~wEp<4F{F>;CN$NpPfdRvzmr?9T|OM{ z8aPSWI=Cv#-O|M2Fxh8O=7j^IwozUE-eMGoA@IiYrlCe-#c;*WioFaVX|H`;<6S1C zQ75%v1o?O{NgfyQ9Lnc7m&BKQ4{5k@@Pa&t*xnQlZyHXhDlblObN;hY*I1pJ;B-qm zF&u+$DAK6RHZ_k`nXgZ#D6-tvd%PY2!<&8(v>(Z}fESzy4S(hs;KC&}wCYmS?v z0|AzccX`UegnNY>J-v1hw>gqhaEXpr%iOUOyy7X~|NTCT&4n?PV9u5^-HLR5+i?CD z`AqVitg{S|8*1QJ!CXjpeBu&nxo&2oZcs&Otwu6VQX&KoBrn9M1~wuST}@Ol5}0zJ z<>$bNzKVgp<`!$S;TLz})6kYPW*V;(7L@;g_sw_T{PN@TmoNG2`uyqV-+%taw|~^` z+w$Z5M}Pe3)At{r>o>po{N>Zn*qKki{_%HTZa;lKcQ?zrL3E4pS`OyVvrsb~`RPya z%~uob#v|&-_ziUerbe>|DqHhZ^{|qTe)6)sk!NpPpKKgggx#QShMKoJTe?BKee+z_ zbZvenIcS2zXeDxT*YKPIwe==yAf-TuVPMscKrTYEuUL&76?nu%^D`veR?QghvR3g< z`KT~x?HFQZw=AM;xB%iE6LORM#_vFyxXaz4WLw-wlb!f##&>FxWnZo37_{bfP2X<7 zgF{5LYkuyjHQ=GE)Hnl4UI}$v!{Ls1aqec4vmNB&N2T@rlow=hsH&$$G{}dJ3V^wK zDs>9QksEI{rA;l^&e5r@JPGuV&%gbB6PW(tNRm4Mgcb{o2~Xt+8m*8RSV(i-qkf4z zBm8bh1~np6s*g&3akQR*9s9Kbp~Ru8Wt{Zo2Fq4Zq-Pq3k&@s_-=M~ezZUc+F&qb2 zynXgCJUW%Xr4BFh!*R?i*;M|A-R&6dbq+M}82N%h_*jISc@4x>mqc)2N4MJ2c=#PH zLc*q*aSLol@M;?^f`=_`M)0_XRYRrdds<+yK|p8&@(AUpd>H$C`|-UuWR|FUs~;vC zb)dY7O5E2Ve!*`b53oO}-wqo@KySCmHE1)K%`(pH)H2;XLc;uD_Bx^?3W=I@bjpNy z?xD8@5Z$;OqC6Jc+Nh$yy~S?Ett#i>Yx}@5m}pk#w^w5Cu6OdV`_sQsSsaV4F8taC zzRwyUb7L^X%+ALJLtA9FSVdszztsgkwLHv0~qx(#)%^&-5XGcV{KrqT0Ymv zVW}}!{(6UEJ2t>V?_TE*Z_F9cO6o!z$;rS~8qB74UYI4c6xoI^SdS-q*DlJwD%5=o zNt1fuM%W_1pHsLnJct(t){$A}*wd)D$ui}wcZLe-op13dO_7_I1Ph12Pw0G|qQFmc zxDVQZ_{j!e>KX7l4eZYX;5CMF(;W-!^P3M`X3I!JjY7EXCi;&*cLf3?zl{RXOXsKD z9B0lcuZqcAQP&+2b|?&ugfLI+Kqg$7g;sdhL5BgzG_ZTFMuCpK8QJ6Y27P?#6UJ=Q zMm+;B6zAby^N>U5e9ILkY&H)r%}GL*3tg`3SD8C)kEuH?UV^VW8sr`AFqypZP#G@_ z`m9{b@0btsY|{nqShvK`nLUBgNmqDKg^VI`mLVan8I z7h-lb6;6dYWt0ihn+jbQPNgPu7!WV#^}tw`YLt%{q#Z-7QpEGkyP(Ei_7r&2YHv7C z0*!(>zrzO1%;Ck0^-k}-npAPMiatL!=oWowMknSz+VqmMtYcd_T#@iXq3+o;jan{h ze7*wHS$!@2Xv1H0NIBQm%}yQ?83(dk1oEQ%u@x_Fg`z&q3|mR!pUkgQ1YUI*%Xb5b zuB1#5g+1N40yhkZ_hBi02~uXZ1&kDkZ^uIoaX{2q0K26-?$AKuuAjbDd0bF$m~rTET3{UtSy zi#$UCzSV(=Th*{A$9e}8TeLBH@i}5vS1U}~HM)7k{ zIF`AUe`hWsURxgn-N2%RN*qVqLfc%tas~!cKa(ht?mN9WnI?mWyqOx-{kx}oR zdVEVS&j6Q|i;_wa_K?u6H!X9}Kau+>r)sS&Hev2NdaY5BD6_JAySKe)g2z`+PqkD_ z6xM@Hpr4xVNAGHu{sqt^-$tR9fW0I!q~F>ohYuQ%*)#ec)lB4Dx1a?rM3_k4=c&Vp zPk!#u1gIK^LqS=gz|rYO9||0oH+oc98XmV~cMZ6PX14>;o0uc5DOCMj&45j%s?-Gc{P1g6tP8&2I*{6hhu?dQ6F-lvoB2_%w)qA7BJ>#FEm^yYzRob>tQENLlP4C zejZc{xE>lFw*4&AXp_N`pJbksJy%`9q6Ue@Gtq3&t9S(Sdn;G_z*XI(FpNVcl-MH) z>qHScdRp6qx6GV}kvECw;?miP5|OPM;?T-!()N9!99(i32C}Vnq_4-}@EfY~9n_3E zI5v6tPB`7qlsO?=gsQ;H=_?FkymhaHPeL^S@W?0ylqRZGuz56ffq>tF4+z1IrxA!xOPz-V=B%G zwK80ytF3$>SijgOy^(eya8ih+Mkh|nF*bsF8pE}>er`q`X*0wP|H~w*g-cO>c~Wt6 zjlmp`s5-!>j6TX9T-1CF0;@V)0XI4}vpy#%U`78>v(O}c$B1LYwTj^eTa z`c@v#$=*2zc!O4QBKhnklIV!q$VEWHYjyC4`g!0Kg3$6)UX4=n z_SBb+kXu2=A^~062*Y3s0-6`Dxyep!!t^Naksig)sALU-nl`8;|J5>q3X2sxhH#k? z^{sp4;8KWx5O!LgEIgZxO$?E!M{lqj{7+8d`JJEgGc*5dye8e??XK^0NJNN}c4R~Y zksLYY%~oXd^)z0SW*BHk1!7BWRKq~@nOc~LK46^}VQR{`OWwb8c4uZ${}d{sPVS?j zW_U4Ba1pukp$YAq8|ha6a8!}iPpwRfe41xvi;&Hw zRozJ-4CPO3qIGEK#qC^E5)qu8Q+Fl-mqued9lo(`+qTiMZKGq`wr$(CZ6_V0qc3YN zX04fvxtL#2S5@oObI!9j?cV)z#0=@H+|Lv=3jTg zyrxn!5-HUfM-j%`E0Zhw;JrTorej}vljRVa;z_QC+y7qC??Wa6Ipe)akWY${@@<1> zn^D`=F>+=jT~@on1j60Bo=lpAafC%I-TyP~Kh2=G=<<21t2n-K{zaRTxa()X_D1>g zul_!^jrCf?rxy-=-0FnD;W6~jBau;eC*;We-eNBhcksYlfqj`{xZe1upRS)$(20YB zjd*jt&9aR;%5=5W+jM+_Cw-aiE}g(T z*pecyDGn(WzWO$;kTe^`0(aTrjb^m6%V=v4!2IR+b5RnqSB9o+2v-q`pPC3Vd*IMU zhXS|h5%1V#98qq@jp7JA`*pw7<^)Rx_ufz_lB#e;zD_%%IWa{nBXnIStORbFU$9ol z9xaOvYLH%{R1SN$@V5zCaZ@p?bk9p6uw9u%!Gl!y9|nu1j;QQ7spa?_)cVRuoe<2u zin0NMFjNFgrgygFImGjz#uQMiX3CPsL3|&Mm;X%IVokevc$04ePIMpZuXRV5rppD0 zH?3jMB(QV1Cbj@OEx;G75QUt`Pi^_pz=Dl;A z>iZT#WCnX%@Do!&W1j=1%NN>jdCNGhD{4|+3$!#M+NY^lX-B z=Vtvk_ExD(*`5*esa^|gvq^xlIR3Zv7^Ne!#3Lt^1+m4Q{L^?AMQSXFTBniOHO{2J zMY*xg#i1{WtE(=iEe!0hxfBb`m9X4m>J=Z z=5KKcupDSyCS1Q4)blAY$Bj>n_Fg8o^%A_SzB+6FP~UVAk$Kt7DJ{@{YotE@URm|p zYzbHQ)X#ZjU9Diy-H1Hr8;M%E9?m2zio{CmPhFHB<->A44_LkzusbwR|FX@YeOLL0 zs%%kSWAp-Eb0?7JJ%2DC7nWGmmo;FM4HbDL`YN!;%m0KDHaW253bGoM%K5z-^(DT8 z^XUylhriDYo8;P>O0mjgWoEt|iJrP`gTuQRMD;6WSJ>=TH+1Wwi@Kt_YFkXS1i0vi zX^(vi57d7}c#Vve=g93!;G#8SSFmz`?Jws-9^%F}&Zyl_uzmL^DhX;r@~tBq#V#Ok zZEB;-k<`DWYq?@5TpnMPNT}Opo%stiHtmp*WatjIB$~Zw8*>J?(4;c;NL;Epua_s; zU18TP*%AVhR}~ltUpjR{8P9Y;J0jHt-tV3=WgAe4O^vTZRN|rMOql7hP=u}HQN7C= zK9#9EOoD{NhLlndEm;sNNfD!!GrQfdVYpb}xhp4ye ztbxauv()f~l$4lxndy*Tw1i!8$_fBUIb{^}1E#CbxG6F|Aa3!j%kQ7eA3mk!vxJHI zV{HWeUp4bnJ>Sp5XI9=X0nMUHYJqIsqB#y$Wf&YC%aSa0`5bwW?5wMk?0Y}jZwoAhXo%L zaqvh&Sq1LKc&mZKek588AvFVs_yT5$>+2|-0`XU+o&ex}U2HCw_XZPpzJK&5q_#`M zV4+3WZ|&;V4hx6OJvtZnzDc`6678H*SRFAuR^C}WTPaW}-Mnt%4uqMd}I3{d`b#aQ=9Xn3vRr~*hpnK)c09PVpF9r%+0PYSR@LDb0+;4*N)-Amc1$^wWc*{zV%^l7cZ#2u;4?X`((lSnbW z>FYpnh!ziNn+~Jyu1>-K>5FNIb|C@AiDJ;v@0|M9y4KBaL@GXHW7t%WBF@2{;{_7!b80&NK4CNOF$a=^|m9$=q{amMP8?JdNZ7p0o*g z*C6S^(&VzxUC6ix_!9$xXSKZrIaH_vduKdN-K=eeLC1_xtMHx+T&T&x$%cT$S|`H^ zLm#lf63iPFVP@#PT*($DJKz&pDWq~RY3ZX5A{aAU$Kb^vj6aMM$yqIHK4`FV4jA9y zjZScHzzFlkntO<||s2mM= z9^%0g=BN({sD_y)E)%;UWgCHfSfD70gzrrf1z|b8^_bxH{d}Z#7b^u7TwShktB!lTCg<6Z;K!aDV)6CCG1O?J_N{3c>*-Ymb?v{8@Ms408!1TlvRp_@y$8`jhSSf zN8bY^m|=TTSRmMntlTCa7{s9=ooK^!I4VTcjHi`Ap5zrzCEDq)?5vr$#|6>Ha7KVA zLhv1IszxQbmad@;Cp237!p;gWMxzcqQI~usPsqg&r(-|EB$hO~@iZ3(yz|9q4L4)G zCkDk~rZ`(+x?Wr-Nkl88g|v3cdV1902`m@`J#@0L?{n^L2CLcfM~RW4&yk0 z9twTlJL2^4G0(7uU8P*Qp`Ra|ey^whGGRAar22P4;}oiC&f#H&V#Pi+zymudE~ZNH z4X4AO@!#?@OC)2H4u3md{Ng;7ZmpP(Vadw;^DAg1Zat!ah-zHP00HZK?G4n4*Y_x! zy)Hg9)l@yt@yI9TqI^8kXAg_@k(=w=Dom%_$dk{!fyv@lkXLtwytk^&(R}xUWNfxG z?$ZMv+y5Vq?GdBrk>@bAnv?=5VxM|PR_RNsKKuN@()rS~axhq)S0WOO3BnS1wR4|6 zqY5;i#xsmgUf?8T@6Aht3=m7T`x1$E$PDOI5*5Wg-JjGufowj|gv zHZlJLmQb#WT3)u&sXy8if*V6pCK_ty?y;}B(}9MVy@dq+kDg3+rJ63I2j1|3f*xB0 zBDf71@DziQf2x`~-c)>XTi`3^>P1YfUFAEvyES5(fUV2`TN>r;+os8Rqv@pvFHXo zKuFtY(qp}4#84A;b!4zPD)FxAfaA;*1l4-#=f3C?k&mkV4HPe!pIY@mt)mMEZ|eHa zn*SZU-loT@U|lXpjNJpIY3AUrz3{QaBDUwRiKO6B_s4x}*6)x*nj8bJ9xu+E{wSrN zobjqzn%iaN4+?h!&M1>JnihNVyTXzM=0L~eOuX>*M?XoB!WZkv(ntrj!QO1UJ$s_N zr&XLEb}9Oj+45L*9S z2NU~nue)41x!u3|!>k{oMNBBI-gi@A>R)Vmact=^c%#zl5w=<)ubY-8gdOXW87saD z6%u)V?}z!_L!iBZ>iOe|A}<}?Lp$NwvYIMlzPzjfNx$uG{1llc3;KO#nBH||ewfzx z_vk(KOyuOFcy7<_NcVhgY-!BJcbEs^YRL-C;Hq&`hQVw3toJqux811tMEG($jzvOS zGEn!~ajbR}9xApUqo*DIE(2s@!vB6L&tEL3==wk-Cu#(MI!9Njpd<~c( zlmyy2Z`P73j)XAZLpc)G1q$R>d7?;{*YFz%VVFC)|TLqTyi4b&)-XRKO;YeoM}$ zTD418(*##59TKk$9~+0-SH|(DSeq-x(TFMNrj<`^@Rre4tW)9NYvWOWG3Ji zv^+h3qfD}ElkG^f@w-Yt{23R-KA2mue`BgHaiO^f0 z!`PLztJNb_m#pPbZy$V^jtfYFSPz^Hi>3F^MB0mz(N{$konRYI-^VJ5U0gl|ZpT~2 z_>!oF`-z>wI*%8QINwv_C5g|pP#L;%m*0XHKeEc4I$uskQzJt;bp`q!U0uPXtir9| zVe;?heV)=P(qtc=Tx$I=J%V~)DJiQ@^**(qxO?!&_%6^x^Z8xql!j=Z5L@)-c^2FH zM}OXP)by~Tqx&52g2SSy7;RC#F7pg?L}gTmNa(||6D=0R^hpaVNW~X>WGwK|KqL>u z%8pExT~IR`_9Z}M0m$yZ5^eFP9L>|(qqXo{1y9?CT$bZzwS#xqxNHt@NXGR;e?^co zS(UM6Jsh-QJux3Lt&&%VCXT}Zob_xU!+(V`?3bMELr3g|7cG^rK! zpSPomIe_eX35a`r6=NvS+5uD|&qzAy>m!yrz-v`@^~wI${VFE8vm+l4>}7E?g@Uds zaFJPHaRX!^dax5gD)aq0qKM6eFVFGkv$m!#o#TY18oPwk6NAzx7P5bk@IN{v%WXGh zh#K}9EXg;Q*{8JmT^N$@!iSvi+dFhXdKCK95sHY>9*kg@9LM5q+=?={o@kvc3;5^2 zoT(+oV3ouK$71m5u*#~gezG@ zM8te8E{9;dlV%EtoSGbg`rhn$nNDN)H$=)oHF;xx1-Xce)<(Xs&~U1PlG300jTwc# zWqoY5#TJePRB@=V{vkz^2Xf9uh1?Z&4X6^Rl|WS6yQdi zgcjO%&$U~zsHq`0UA%^))S9{W7}=H%$Y*|R`?=&{KPOR8{0bLkl1(vepNuCPrXMRi9qWRRqR7|TvJmIy)4pB$405%dEJd*boH&Z2m_>;wazfW{->}7VIZC@*ouPBC zD>$e@NP*``Hb>jcdR-zlq{&FgCZI+B5>oCd+Z!)aL8XZ6wdK+eMehOCyL7VMnk@KF zxilqRXyS(Zz&4N6o9qbl1p8OH&}5QAQzl;usn3$b&{I%ANjgd}v2twl(rY-6@LhbD z=(Pqv5_^r5Ou`S!J`ZniP~?%vSb z8Tt;Q$x_(+13f`d976!Fz~EJo4PG{oL{$xXyP5|}($5t~vZYPF`l4%f5-ZP?Cm0l0 zT9TZ%JywL2{UVtrf!!{+x3`L%ef{DW3K zv&}QbR%Cz+S_-?y@xz$7=7uF10xoKGQjOi<=naYWrarV#n%3(0LD$40x#HkqRNOCrKI;2Vsq8 zS-8ZpS^HS8aIm~LMrcmv;aX{C+3L;39oBC->aE@1^e6I)-{v%~6cRO;-r~bzNiF?X z`{(tY|BI)N9PY@b;n?P1kJ#}oQ7w^ag)e{M3Ch+=rtEbTIpP8ZeJWtQ6Sy$VHt7o; z{eunR{O~ z@lJBr1Qf|95|by&A`%mi^CDX6Tdcip0*68^@_kMUc}Rf4DO9*$AhUYTXw$B=kqoZy3ml3^r3&;$$mUDY3P9W-W(Dy%E-)bF7SA|XD=^BS4 zgyvqO1tDJ<4n=|yBBIhspB}jc?b0pfx>W+^6fyzwv3wg9O=j}y#2EtTvam2L+6psy zk+8V1aA0lhUyQ03dg$VCL6XJYCkB`kMeluJ@JCGV_Q`&ft*ew5shg9KJciT{TQ+kq z!13`U)OJT$QwqeY-9dG49O0cZG~!VQgPsF2#elRISt!7_t`? zbHw)eTJ@2dgGfBt80(&J>e}YKPx;egqybXE_H&O4*qZ}i7Mr)b71u}___^IHihh}C zx`FGzH>szPHk0w4khCO6{XuCCd^ARD@d>y--)|LImW9%$xvx@?8WXxo@!yO1SSI;` zL1Z#)pJdjipl6xyLsz;-I06{$$vM|tbJ&AQ3@K!A@U-9d{j*~Q@o4hUIp2rN(&(T1tAzwWp)h~kAjy(9paI4A%s1Ap6*aaX z#>CJ@H7%i>8~(6vI=+l{FTdWdta0f`}?W-Vp$z^LQ+lJR?l zHe^d4dP-<9Ci$~cV<#C%X{sa6b(O$c0)>|3QVUq{W|lPyJeOq$Y1&;qoSfom{(JD^ zJBS!1JUcmalq>iJYv6}B5F%u`BEr`Y;;7e~6 zC!H9aJujPT@t{x@crP$Q>ud!%b1V4%b4%?cGS1 z`1De|uDl-EwuK|pnab0z!+WnJr$%}*)7-nCnA5g5e*-IJKmVy9S>2+;efAUVTw(HV z2_*!@WMq6JP{#&t5-<*4$;-ecvFK=e3fRtL0(0kOY!!Kv%g}C?b_80E%@vdLE#X_6 zy7hXoWJnuIWSQ1vH}rJ>(_f;`ttl&U64R93Bu0P>sKkPSPf`kuuDU5=^VVgnN#p^| zFoQU>e52%FL=s;H`qD@+kGix-R`vU%Zo`@#B3-Z%J=ku6RH=uW3?MpMcE0qnA+L$on21_T?RlydO=u__6=7v zCd>+iJXkG}h-a~peiCUy?JbXT(ZuckO7!Rji?5WrLR7aU8LHUJb{Rd|)&h4y9OMXg zrjggY$-b#0IaO)IFkrCPBJ|QmJRA{@Eg{!2#!3$JDBj;HY)6nMi+L|)+Cb|%c*nvQ zh-{F<8|+z|dxtQxKJqm9uubIQwf=AYyV%r>I9z{;sm!&Rr~uT)Go6NoNVy2O#a93i z6-U3j=hVo0S#L-y2laPP!*8|~(;NPHjE%?SN%zC57`HjZ^y>#HpfnkYva3EFivXA2IsVR%jhF3*G@xMj_cKK6zB$3T;UM9G}e}L zzIy|`N^HhPlsdi#XI-)BhO)IhFBzbX zs&T^Y-Q7;{#f{>iG*>VXbK*jF#!;b+BVR{wL2lPZ3DstyT0~w<+4Wg9 z40Q@5d$GYvj?_JK6luQ3JN$l>I}*@SFdQNd+axHopW!$#UN;x;u{w-T6>Cdyq$IkZEZ#omxixpoj% z&(5wZ$0tz@-B_%(0#fWxcBzY(01W^OkcjNT!d=d{$E($NVMV;IHyu>ZaeW$=znW_W(cySmA7O{TJylA3VuMCI5{cDe zrvF3}r04U+h{;&@kaT^7B|y7Dd4O7RZtb@euX5qahqerHruX^uOVLCD?G1aJUuQ=Q zsJQ)PSY_N@6x!F$f13pc8bVxz&khLyt-zHW4D!MK1QqyW4tGO#1@+E&y*m(XChto- z&s_X-xl zfN-Rc*TTH6rY>yamdjP)Dl^s9d5*5E2W2{xlyBAqe{A-p*~s-P)ee9RR>&M9aSGKx zo|g$Fc%5=7&ugIXi_@=Yw3ol=zb*5wK`v=>qNOR*hu8yE%%;S`Fer%w%0vM3$xV=%?id$7XoX$N#6t#4#4^O`QJrd~WDGU=E zo9!N8g8O$CNaHQ5vdBTJ1l*&y_JvZ~umjbTjeCW=-soNTVxSl*2y#cjNYG8P_P6Zk z>yf|bOTZ4D)CwEkfird_1~n!+xPph_v`)Z^v?$+{;+Ds zGl^r7_@{!f@qGvvF5`w;5TCAS9a`halGP=#pqV9P0VoSJ=BA)V0d!5}FFf_@gMY#3 zonEJ5%(X{J4J1KZ<*=YV)@HJeIj;>K5j4n*?_w>g|2Irq?|;Lz?fx64?a_f~Mb>09 znDHlhG=Hwo4ml7W87{EG{FqXn?bN>pdHe&8cb%Fjfei_hzH(gsVbFuLpMPn-#R12Q z`qZ_f&1rCChIeRNJJ;*@v4h4k41p5mFyxo#WjOnxhNs6s`D%EuSN91&e20C>offLJOP#VA?R7Sz+K z9%SU=r~dCAo$R4&cYm8SJg(F-dy`Uoo-?y3HXm>Vs)spL#wmSpi5Z?JvNPKfQH8&n z^hwplh`K7yUv?(zYh%QiUtQB4La7L!SfRqJbcD+q=c#>vpA1-7d3w~`m>loXv8Zca zs3KK)5PL=ExV^X@IcYlUI<0pDq~(9qwkH#Ibfh(460u^q?}hhu z&51Tvh|a@+Rvy?EmCUeE0q3)-Z%xPe_MEU4>4ujHJshv}ZX)#PE^sxz-a8g8gm5Y1 z+?8GYLTEDDLH~+%(?k)1+_VnqNbuuD*;jTQE(_<9wS!~qg@eh4#_41&ZcIPSk-*@z zvgL;tDj&MDHw%%#suF?|?kDG7zMSrivi@qFkbB?7r%Xc?YHyJugow51bA)XlrWWB< zue4{f4f3>iOb@>{vmEa8?HYT#vtH}+V1!2T7B5q*^iRUneg!iH6QB)oDJQR8K3934 z1Q}Y=*3pP>T~_U|9b|LbyffzHl+zdNRsE251}+J#S;XWYx7Kf@(K6Zr3g+3Q!#ZY# z7$WvjFUvW@T$}GHgyA7UtSj9bC(*#{pLNvJ8z&k10+@pE-)IuT@2c*B9|-l&m{Gy| zhqzn$kdhDi30`@}=?y4iwWW7P`8u4{A?vd?C*>(V1`*0k@DZ!jdDf+>ht23FyTZID zmVGt>efAHiue!`{ z4v94`%;3mtbl|;2H9e4B(_gX1lTpSQ`FjM6iS1Q;!`jLuV{vu1ceLptgnv8NO_DxS z3bS>s|1v7Xbqxr_4X)OL{`0OMKw3+rR9#OtF31N~L36ZDvPquMZBCZ$T{8opZ7;tW z63}|bsAYyfPl&4fg-%0&Df8}{IM6ub!6CrNmVi(ljX8>5=$*WyfW!?bAzh{7Zj!gg z{El-vb3x!SmUls5#_smJ;ze3Ysr93|L%LDXVWRM+KTX+;1lHbq&Cc@Z-1Un4%gZWO zyBEhXwAhXtg-nsi0c~~aF&v-<5Y%Pf-Kk3^J9NAEvdtFlqu>-Dp^fW3PdwK)QI+mK zw>Douj{tz)e)(J%$Eg$}AaB?S_n2p?m9hyaun4)At(mh|NZ~f9a*)^V5fK8Dfe6b! z2`NDyz*zxG@JVlA*73yXE?fdUd=#(a8TK7xd)e0eJSWyDmiV zH$3p3;G3hb3Rak=wN<3Tg&BX}oqD_aO!otWE+2!|Ilb}ZCFjoB%$XqPy3$bQTNsg8%f7OSA!nmVFJz8>2tvKs{{#H@b)mPMY zEQpAce-j8+{`}Fv*~ScK!_v3~;bPSNcl6P<*MQ zgnQKh%5HC2J6m_%Av^h0+fgZwkHfw!-8{N&e8xu5NQ$g)Ap}@~c%Q|iV?)Uc{YA}m zRq`y&C{x3yLuue>3BhSJp9pZy0Z1J(#+WH2UX6p%^ZWkBI(sVO>I>>YZMFiyuEaP@{x$<|bZ<3x=qKk0(9`*n+%Iakl#FynDZ zyrQ4BFNa3mL3;Q%WXRWrL@abnXAFgC%w+_dz`EBw`V><`W~Qk4TGL7GA> zp(E|3!^UXdWWZL^)_57OJ41lgfh}w!;^g75)RDsW82FF7coPM7MYO_HhPmJ}4`pH6 zGHz1uV1cQ+giu#Xv(q4ga?Tw0l5fGs#>^~L8Dbw`c|@tj3rvXoVsxQpt)!)`prFLL z+IGzynoWo;ZuhngVX9n8bZkv3(vjjH;a~vbbfA$K z`IYmosJ`6SKmfejg*Oyw#2aT>EyQ~-8Ngy2*Y!ILVbW5nE9DG!iqx;C(dX726k_X~C*hXmhp-m5N)Xagc$T!?^=p;DR83l{x4mEgYQG=&O^!O*SWFl#d4x?v zjxmIz!sv1;x8%g6KE(A&27U0UJ+U8M9N9I&H2^hi5;q!~pp&af>jciNPz5yASBE-O zn!r7|asVm6CWrzv)6VwU0DC!{~<@aiV2^C<=e zkJn<9t*Y^4j=}}CDUeMUe*2s7_Ut93g=W^Eo_K0ckwRjc$u>50)$H~|CM}2Y`VMn3 z;t-5-H(&$f%-w1YBx1iQv^#4yD<8Zl9JV5_G*Y+00UW^`jXy-N|iFy|nK~wevcjXOQQX*PIwy z!y`!kwafB+c~D6vFysVglNIF|gy_(itPV-iM1gF@GT7wY`#N`e`iWW-F`al6Lc3+r-<*^2xe{Fn%I!R* zpxe3zsSZ_+F?*>74D0Hoos{8qslxU(i@Bnl|DMo+7_akx8HaTmNlc8vwU8b<$vJk> z2RgS`+t;dEA(AF14}}$s#{`VVM6%PImXoFI!9xweNx6IrKA@H0Ri$AK>e_-|Iwhqn zn)m9{y$$kv@&@hb(|gFE7DzCJ;9lAL)L^{7A64|fGy8CE-$+;L`IGq|A2nq{)bNcyCo1v5bE&L)7uFjl>_S92H% zQ>5#4(aIuT0yE-apWs1^iV8Eky2}bs;JR&DnCdSC{>~Z8Gt=&?G?QX+!WY?3d8){v zuZ+2J<8DN4MC)^O)UAYqymux(luQkSTFXHC!d4pH8`t|RV!Oz=%; znPN(q3b8>=vmacu*%DLuiU~2nKDYtt&h|tDdB{D$v;m&A zJn-CYv!TIAz4?8)Pjtz_aI&Ibz%h~1CKEVrTjrX9sbCS}h*v>?Zo?k|m;m`H=vl`Fu^a{tJCCY8QQkY{l!4w|q5gZ~u=jlp9B>v={<-mTa z%II@I^G1XCg<*_M`MmJTY53k`+cOfbgf=WpyC=I;dB5)L2Bo|c~pqXdc}3*_MV^9z*)x{Hl#f7y_Zb;>`s{n91H^g zA|QQP%?02+-eUAOkmr>3Jf9b|<6-tW!bkVsDMRn`BW$(ooq+yNQyXfxhVGZkr@xZj zoWUVsX4Y#QF2#(Bv?1FBb2*TkA~x;n!dwOp>4H?uKEjRcIAf94Ej2x-?zhp%-?esm zh)sob3g$H>^QHp{J_~_nY9RAnj6LRH!{dm6tG-SN7pMPT=Ke==BuGdvH!}@z(}}^czLhIRlKTyZmW&1HR}f*u-&VT7fB_rG ztqj3|871FUTRl3UpipKzAq>G$g^5ulAqy91*zxcQq*f7$xIT&ezNFs*g{IgNfjg^1 z>Y{(;N33`)iqu^A*pOM_00#L3!yyK0ezYiY;#Q~=Hj^u4{-nZt&VWUQw^S7w$cGW< zK%@08!Tfn=HLQ>oI5-!t$#*gtK2*#hOF=#JaIU^-9Jsw9A zAgke?v%+l-Ks98>V2v3StiEX_#9E#JNE?r`R9Ev{w_vftmsX5VR@9coG|A`GXzdBA zVt5r-rtnvKSII%w_s*0attI8^MbQfb{m!zdF#AMd+pDTN~vSnL(E1TkbYHeq19aT_fXF>U^sGUkB06a_&vRl~wgav-K2FuPy zz`$2cY=Y#doO8ceQC?RV zZxywvpZg?8d^3>+MXeJ(nWMI^5(`6auJZ4_Yl@a4OrEb={t6vgDZmX66v zuE)~I6SXr|Lyu({Y4l8wwy$%}RVTL-2+eR$5P=1W3~?&-qs=osOq=xD^D!Um7?`ZL?C(WMSURNX6#~bMAi_@w zh-O2#oV}cXx@pjC6@t4e42yj50H%bu$2=eGBhp}*>9TCfa?C4LYul=_fr}F6$?`M`G>{esG2kt3SybYON>3e$6 zzZ*Wjm=OiYZtwKBAa@EIeSMci<&>C1biJxdf>_bqgStTlbt9<4NOKyOa#E zNC`yrc~|Qgal&bF15mx`G&Y-?5#`fRDdo}N;wB`&DT~HmS6&W1@a3f@7=r@CQlI3P z_i@m*+D{aBo&u3BNNV_G^)ZJKjIn^BNfVv>0inI0^&V~kGoLw)7QKI;Z&st{@5;Br z8CjVi?WUma7D3&GcQ}HTFz%I3xGg$qqzsXn9|48O&7P_L7GS&ft?>#r41gh>R#%@k{eT)E4pv; z1+g5TaXxSq!lra7*+Ja=FTfCYRTokjhKj$^V+J67Gw6KNGhp*N_}aZr5K+Q13Lok3 z21R?1xLL=zx@vkpS+C+TfS2q_6qxqv{)-3O8lzC~ijQDkYmXTCAkS#yAe)3Y!ZqF& z4i(sp={sB!Ej%WSn$f7lA=&=}9YoAtcun7iXd>le|9(|X=EeYL{~l)yt+fomDlMZY z{0skPE90gb%i|m&dyMYPnY<{$yPLQS4Mp_ zc^jA(->$A|+4@(^vq%;8F5t)yZ{#g_pxz&S7YU$Ao(UmtS_s;1u7DdijRQ2;blI@l-E(KWV{qWcO7@%*rheHPJaHlt&n^Y$n@hVBY_ z;He6PPFo_i>MG_d_|>)!9eE@g##RDgfUV3-6;!P6T@C5o zRC5TrqX@g;40BLy=IF&$-0C3s8UC5i!bO`hW2QTfSU?jl&4Hkkj5+5Ewd?M8FR?fX^^1*s!2bnqyKd zh_pvwiBnrV0`u_lJ&r`oV(*&H_5SpHM;|K5dc?vlJKo~`g?)E_+)PbvW!%@bD?}w0 za|2%9v)>^KwF8-hyfb;w>U0(d_KO9}?gT#bW1pg2X;UX-SAAPW(8l`PDSE$adri39 zbqDYs!nukZIWiD2H9R}AieiHfu^6CKL(|%8`S|X%>v=y(#n0{a9@kqvmFNHddZ6$5 zEOgyX!9V4HJCx7u`F{P~`!;<_pX)nP0a}sOJV4I|) zP8Ybr2M@?5HR@k6#* z;H!zJm0*74Oe}=1oP8#{J0NzF$nowXGEPIR`>~ z6Nf@(FX_ji^-AoQ(WrMq3DassDbYCEIV5nUuT4IW0ummXS*{B%{n#|y6U-A)YM2re zf38Fa`pQP4t3h)QIr>07MyR;iXeqd9YT$iIXB^Z+^x);Kr+E9DU_OLK6G_2<#`89g zIVymW9}Ero2^1!XW$hvCv|R5~W}}|x%B@nOpGPhWaYL6bS^--vMZOZMs1+%E5r?Z~ z`g_iHRkhNS`!FN&3g8hrn1|QLmf6;uiL^4{y63a7O3>I`e?J!@5h1KYlT<{c`pOvp>Z~`hI6^2iFRHO-4qob z4lrlg9B5AjhxS)SH}*MXoFlZ+T!=SIIMA3WY$jJXpcsnd7ueJhgWFBDNmi#&;(!#P zBn(r7S))N=j-b0&%;EjNu8xN~hHeW<)wDf|2_NY0{DA!pJcMsCN{}|PAb7Z;x_C5IOh@KGz$jtd+S+t_utS7k6*Y|fW2u&Zq>vf8At?C{d7oSj@Vu^f zKT(w*oVLx7!QEmX4ErV#Xo%Q6BL??uN@homppiErMf(B9KJ?ksHu1fu|hyyf51g?P9oHIJBl@ui36Fg90<7VIAp zT~6j)(Kt3UH3sao&4~b@K>RC&#fvI;ROcdX%wOkfv=ya!@&s6Nt9PZ99uq0j`3i>i z_cp<&NP#~C9oXls9A3)!wxEcz?+p!8?CWKm=W-v@p&~5gOH^KYGu%OT4v|`9F~up% z{*`-+yNy5Mw`EcLszY0)5(y=Oq5o7Vpu|N#L2C+^I6N6Q<>bNB826NXNW1Fvw1$E@wO9Q z$jGW9T*Z?8*l@0z{7h%9O|kLcDg;^W+Wl8`JZkwajmr4$M+&Hd*%Phw6TVt2ShvwX zf`7-LDz)qyd`j{%T7Sk$(-QB0<`)L2UsiB{`jJE0)H1Hf3hXwh1tzH!=tk#B%Ldym zo=T6nHyX1eIoA{~ zR-TJ`%0%cW;$Q%U-LY)x(9Xkrk5SZ>a}OL7cezR(x75MI`Y;9~EzZ%!$Z^(Ce>KZR zxF9KwDahDe(9n*gI>^hQ{DHM5-yEY08ZJ$?Li` zTA2e4ZS^BsRJ2LWd5_2! z@~JNScicOrtZOVdb*Sy>5k}aHO8{`ksRNrt5LfZ5n4cI^m%0HZ`;=jkC%>cObD(*S zotTLH)GLZ?MAQ?G==GEg5bu2o3hMX}YyZY{o0{eIo0-HL05I|Yqb1fQO>#bh-613! z6ru0sxR2A9@Gh47s4Te9ir+F2@{yi!cDrc*7pZZn-0!gCb(f||(<^S206*Xg?Y z)zpF(NZ5(i5GfG+!n}aX#L82@VYO~wMf?!27|6jdW}Y1Nr9J}Gsfi3z9D5womZ@+G z&@pCiAZ#;~c>Tq_7=NtCtx$;p+^m;M8vKw!TL z-IForFN_8U8{?gX9!=_`2+OD+f`8(Pm9(4#{N^P>M{PD-2a^!! zn|*M3Y`O4?I_!(xU`I2_QD5vXOOi7T5hMR94Z_sRgOnmOrVocWRCI%oXX?Y_by#KK zTG*nWFUN~uHtfE}wHtGUygPZ~B05d_E6A%=2oI5%#wfq5JN7-#P$jNyuR1{AgD2Pk zqs9W>MS1jIrW$4?Z-s7gqac|F!B|y=Qht8;L=GtBa$8+5s`lL=0BXn|YWjgJdp!|+ z#Ncgb?5_u2Yj_JGa0@87RG#t`FtFxu2?2e(K_BE3N~Am0S;y98uOXorHzX}rmmccG z!{PnRkn&iSF1H@vFTlSMaO~72l(^gQlm`N(l5dr^!SBe+b$l_!MNgv-~liMT~{Td z-gov<#(FN7u=!5;@G2~ac-(fqgdI8VNnamj?IyoAAT(D^$uq7S)k3f)CJr5&7K0_Q z6IgaR=nQ~KPK)n1*apKW;5@IK`2aSqrIE_6)QJ#mxT!#rO(DN7rL!nrr7OKyie?|SxGC(N?y>Vt2Ej~{cK*=$I1A6zH&P<8V1;+3Nd)su1k zU6(;XxkJdknx9^L?IFV|!)Qp)>c@Zn*FTvxY#{hRfL`|P@xIe!S?0ertF|O?lC2q0 zVGlcSbVNyZ%5KZDu+2?LZ6HrWY?bvEi>;9Ww^witGB(G{GrSfXQ-snfj!Hm@c&#)V(TjV41OZN5O5YkpWGl{C?Cn!nJrSlds+=F4|#660HT(KMQ0;4dFke_nL z^2FJ?GN)aEWStrz0MDtDAC38~iPB4L@NVElRy&-&jN$_pN0P~vNF?0pPSFdDZO{yM zpnR~?=a*f{ebTxe$lh0}^B!uEZgE5YtMHFw;${bbcBW4R12G!~WCOeTmPql#tUAzX z1ckUEr?Ff@jSC>UwiY%@Ay3q`>YxpY@MR_q4Uv7iA%#&h7{Xl8vsh>^Z*so5>N+&& z0gWK=FeKeRnAxKU0ni)8bRSwN!T=N)p%4Z!apv`w#;`KfuMH-uw})+@AsqObR)gUa zH*JVwFTZ@Ye_S@@$rN(6=uDi*0EMAvP+o4Z+@c|HtO?t!kvgb&2NZM3R&JCp5=)0u zes0i}L8VUU_0;lRpNA)53g0C8&H0x8+T2UA>n8oR<(g(^!r5OKswo&O2h1Uw=f#|_NEA^q9qTJ%YB-Cte_vi*Z)`UMBt@e~ zhkjAMv{hEAkk31`1xy#~SWeay(FY9tDcDG_1*Cc|&yDb*(L}0T`S$tjrU7AAw;qm} zkm9yN{(d{RK)Njz5@b+N^oq}cR?PZZSx{>*cSIQ$J_hl!%|nIp#QfX_x*s~_FBeE5YBn~@H9Rx?x+4uS z?QqRy|M|SYu%%V!mROPLL?=RbPPk>arg7oKUk$`Kn}U-TdIE*yky2ZI%m4OD)`|cou(DxN#JlfuXv)?GBgbvdMzbQ|IpKIt?c|3cW|5vc}acUL-?8&R+kfq zmOi*t|6`l=HI@vnF5hFL@lGLIJyuMt#-&f8JRNA2w`8DXDzw@T)>hCw802-qlt9kn zl^yH`b;8XUtPnBKlexjVMAsy%WA$l!J$#I5Iu$ z=43V;M^%e~n&O5_Mag3;DsBU7zoFR;YUctrfO-OE!#H9@fl>M8D$!g@T?JAHa>Td} zM3ejzOtx_T*NIw*a$F1%Dm7|j*Tt~gy$xJ0*(wvMQ9A*&On4&o3rZ=92eI@zBsbwq}Uxua?Q5ckmGheqa)H(mh&^M#qR_ za4fC{&C^*9{hb$#0;a6eo{4Gv|Ys{-(+y!eeSJ`_qlB~`9FUlvB6`8`x)+#~hk z9eEs@9y?whnp<#4wG!M$AzE?xF^K~kNV$&$Sej)_kAyX=ztak`v-KY@j+n^yLO2ywQP{eUKLi6vz_h zVh41WAQzheJvz6c(UXr*qBk5+56h*S7ph>1FM|PKVC3j2KtY=FlouYCfCGB6J4{x# zijS9P5ch;cH#J6i?I^Od$hASmPNvBWBMQqv-#rvDH_>psn@Zr~tm*{081+VBPE##Y z{%)QTF$G{}I^6&jDI|_V1p;=Y2~!E9CPDiX^W(ee74CLBU@n&<;RMQudd(Hpl<CnM^zpGwKbc=99F|Su)_LF1nb&A&n!YrxxMpM#)5b z^=UMWgBCqI&c^L?ozUAOaBT6Bx$p2ZdCkY9MSG?Q!#~@=o<&Vn7GCWs0hU~fjg?OP zS3f^|vSCt0jnwhM>mA!rAs)e4Er;kH-pR+uw;Xd(tH5SW6&%`Z)OfMBnVGMY0OCty zRO_uHxkKFOT@z|-<7eez2Igz%)V$e7WCHpi4S$}gI`qN^RtMJpD~DIpi&HEO`N>sE zHlTVcZQO=`q?L_>>!R<2!M5nQ;5eE_^^nN0ZvN;pOWz|&LOnM+$bXsSyzzjfULBr2 z<_=?S(kM?r(^-t(qJmm}ZlqKL%5;?Hwj1(9-{@RkYa}w34R+)^jTX0J{y^aev&5ry z=#AQu>1lPbek35FYpVwIEJF?1s%D39;z<_GOiW%4RL9Hy=rijHmmr&6rjwB9l(NXs zTk#CE_UR6>>_Qkiu&tR%Njt1E%Fhjyk#&})??jjOs=|0NNzgL4cBh!dqE`rmZz-VB zs_St;waRN^C^SK7tBOVIz}Q8FHN2*hY0CA8h;?30L6>(;r1d^v&Vhp@O>NA-GgBF{JRH~o&o(?pA=`w*3Z>6-cl~_z9`}XdRaigKTV|7t%!4%pK`GCNt zC#tl^BXPoa6y*alTLhiM*b>Myw1fr_9nYj1_{3_dD6W&w2ck&L25KXtVkqf~?eZk^ zcKDeUUkAKOAoS7}7S^kR@e{L~ylFiPAQ(p#22O3_Jybg8 zkm3SEVSc7p0SYnA$|=rlqX0>48xbiWEnI^21tZ7v(<_?cb@$l)9`!#$=(5kt`XA5L zJ%r;7PLAWmnRbB#Wdlu(?(B*ZW_>iK^HUxc!h0YuC-!t|*1SQ3?%*2^lq9O4abz$` z_O-6aadLVE&GO{j@-JW--K7mpZmz26Ze(Lh^Ui%OH;+L|7&iAxZmdmikAX>L`SxIs z$Ce>zG^Rak&&U>VjmCpNm<4Ga(c(HSueRoH8FlEFISFT6RrkoZ#WRPu+M)37wa$>2 zHf0gJ^GV=C&qX=buz5EfIr4!9WWus3-o~mr|NT1hsO<@ z_A&}MolVP*zLm$C(dadbO&3&Od7J6d-5xRIi#f|QN1kr|NshEgBhXFK!=TF?e($>& zuD!`dLH7H`o>po{N>Zn z*p*Me{_%HTZa;mVu1V9Gtm`)*2ZoCKH@{jdRBVu(z90UqP2ak3fP1n6T zq;|D4|7piPYnAadD>Ey`)`;q-Q4ek-d|WCW5R+w!x}ZFt9f=PwdO6=^8=v6E(F$@7 zgm=Iqdp}eGui}dGpb@R05AuXSD@M`{pG@CwB=B?3(D?HkdA;5hIB+U!kP}=pnzD0O z?yzMH!Y25(8{w7sg=O2CUf*c7qVKu8fe{BH7UH&5NGot-O^dI!N2ov>t6##LQ}T zRArc#*6e&F;y(u85$)?p2txrBAII3^Dvr!p+5=u*s%#Y|UUMUxq9WPW=G$03qKhXm z{Z7weDBNJV8p2qv%b$U4kZsUz&|2fkRxgxxvL%qp=IE{C0VrjLBIT9iPMbZXSBLlvBm-e|-4pG4YDSRO+`1pzmX|eND zo@Y2{0ANBXx7T4Dt0>9xPV{a)a2c|=jBrGd~;M`c5K_6{M%Rr6Soz((tsB4=* z>F=Lv*EYciF=PHZC+4fQGv(Tl|#&ykGrhdg9MW2~9e1mOdxon{NI zbt!r&dLfTW!f10!@@O;BE1UsD2@%y0R=^i~gK7|Bc|wQVl9%p~-*)nA=NO4hqvA=n zE-m%~7H zsh#DJe|Ggy1O&o>?vs$1zI=JBFU3U6pvt3Jw=+GC(WLl#4E_J`i(8@o788f}Q5jlU z9Tm1OP3{q3WtEMCh7l0&j%VZ%E3Qq%M+(=p$KzozUp9`12@L>TnyQ@uw()2VxD5iv zw)(>%uNL-NeErVXq9Zhp$k+Iz4<->XMI!bW=CoX(C^ zX-5|}82kXulcL@(+#vB1(#`}Yj{6IunWoS7ax|0T4vLGs;?s0@zf@at%v4Kva?t!m z9$zOSs7X3KHa?I}tzKcT7eSCOf+s~sEI^7?L`=w#b#t0DCkH^`vN%`n1J-!t=iV*Q zCcr;!Z@;m-eZ5*+HNQL>cIZ(9>9$Wg9#?=MVoyExT(E3mLpJx>Lq)yBs0MJIFj}Xh zzh@KhS1;ufbS!cSx(Dr9zSOonJ(+H^D)x|2eM+vsysK86$;?U|GFUbm|3?sdA@NURMJ~$MT(nJ2~4gbsJ`*=QShrXpp7O z@3?G`-8}O2dA;F2c+7w0p6I>;SBs5~Dnu43-2A|Gy^4+~jGshrO~7L9#cY}vJZgW% z_OZQmYF)g+Cgdc}&n<;o6!n6#5Y44d_e>oNu?C)2NA-(gF277Xq&C?NYN%}fBQ#(D zgbN5rR3OojEh!dL)W}&Z`noOSf*&Fz5C zG30pL5Cc1Vtsu`;<<}u`=@{e-uhjr&=fXT|VrGA)Fl%y{8tsCY!Ba3%!P4LSB<-S@kT_GI>qQ>E-)HBUrP= z5xL+xxMnM1V_}led%r6$dEGY2C27vNRP+NM?f9doVE1*%Ey6L+R5O@+g^20o zsFl3Tr^}iTJTP1@1zMVmqw1lS_$Es+b-sf2!^9+RWkcwUh+tUTT)V-@VSnHg*~_G* z3$$kwUJ}{lm=^J)T3LR|;a+(bv1!si)vzA|ARj74*R*vJo{x z1oBf3v3NMWfkZK$Kkf?i?S`smLQA8Rp<2;<2K3S~ z=ZR-9PSCDL%D%ih?c}f6W(j%n>;hXYoQb@e?@*&qwn0 ziptNfk+=*(ekSTY8%Dp&fBozdjERL+t0PYdDuCsu{0L-gh)Z6P$WJF2I)-PF~5l=bhC=$`O0ikZk=>&C>>tySmXTAaG>1L&-jPys9}vouSMc7iS2~uw7`LMW{}-l z#}?-GgX&~z6a9u=X{RQByCZ)E3fVw)3Oxj{T9owLyAIUKaN{lWVp@&on^kE~(Cdf} zl-9TQn4h*?-Gf|}pj$+e&T&u;E>DrxNq!Ysc1_pj26-5aY6O|~OzBSJ+cziB0t z1?XtE(>meOfc34d4Zj5&aJ?-jC;4eMqESk2BT~@SHomK9hFh?fZFP!AO_!cwLSP-K z;BrD^+pIsMhB{OUy1KKlxX1oQxrFHpjre{7EHfl>+`<%gX3U5g)hlS1G^r56fsaiZF~K%$728ml&tLQtHx|a@bL{XaLy_-qxTH66o{* z!MA*kc*b#2lsnBXfb>}SGMPl!5C9B_Ybejn;PgY5CBJ;n8W`ftc03J+RDPVa5zaUSMBZoBMUt0M+i-P}l}G zSlea=*FSt;Mn4W!=4?1O8U)T1y`pZ5cres2ow$V4C`^Xu=T~^sfh+wf8CG*6(p*@p zCF(+JdHwc!%Z5>C)scKu82U?bZq{NUkXG`e;v?I}n8yY+kQzt|+d7W@5k$N}0g(MY zhc9X5LUD}u4W)XD#C`P!;Zy$CcuL#iGHt1>WH*&{_b}a)FHBcS+NFT$#(GiFnh3Mh z8#U$Go(Oman;=h(;cL~oq%XBNAcSe7)UG$OdImNsu6|?6Im4Q>6XSZ+KTOpN?oL|Y z`zp|`V1b&x+ve!n6Fdvh3QY1LxB&{!=3TqNSIM~L!i51G66*(_K@fm2tVl;4&l0f_ z6hNi4yM;st5guB}nJIH0H1%amf7M`tNPcc0*K#Kj)VY&Jy(J8srHvRN9g)Gtdq7Ri z?B}*Kh=iC~8|`R>oc!G|mEj^|EResZh)75|rrB-RKHSl&&9;gbM=h8Z#=ASx zHKw4%YqMq1L%NgNdN7@Q*%C*JZ;(o=@5u+?Y<-c*y(5!BiJs<{B#iawPDuw8{xiUS z3EjbFLeO96yfAF7cEGj4kTR=Dy9fbJE$1bM_%M~?&KhG`WFUc0=*m;t)4%43r*{0o ztLPnc$OvAq1CVDq7s0zAXmtm)p)BZbcCO1OArn-2%Hc&Nlwv8QB4^%wTR0Ti3wTpr z)Lcn+_gYk+YxrK}j$&u70SX1D0#6pJZ=54%Ep$k!NM!w)DMdfxg$_U4ke9a+mzV%bZtILNJd`^6>P8)o9-1 z4Pm5Hy_O@1oLsSmTrAzhHq)_jvw@NhUNMMcQZ@^zl+BKs+KY)WG@D9Q_YRE_noWA6u=Gjz!J@XR9Tdt$bo=?$8srEal0jmf z4e1_59gwrHA^_Q@xdQ@L4D=)u-g+|GP;CoZ5?xbriU)=SGF7dxV{O>>i^M5!7xI;GEO2fh6eV$(^i5FU@2dwf{ zxv?R3wEDiMsh+Mn3a-WP%vLd4U|@ho;hv9_Vi!DGO_SoqXL;tWO)b^ju?DM5_!F~0 zpH&a?&o;p4f#SgV*xe$GM~5Z&l4_~8q8VgUzmBg0<^{*;2LA76Jt-*{HacMyM!}24~Le8lK!F*K4qs-K6|xB-m&`PM%i)*61by z>_nFx)#f=P2Qf5)I$I(pEK}dkQ{z}vl$rX-G?*!nov-z97D1tH5H~e{f(y-w?~7*i zMsNfSPjWL7CvqI;{d~2P({ozuGUuMAJ(Hh1RIVCmMeW>$b>xC+gBau|6gFVGn0k=I z|62uah<7CBEDTzEt`D@h5+Ts^5GP#s6Z5S?t!!Y&isT2TeqEB6eV5v2OcB8brT7!) z{eZy=JaV0+f7P+MSxaCdt2T(8H2Q>EZy;R3P-e*J?d(<`)dARD;>L0WTFt*RDo6N5cC*al%_c3v+$sevH>@XC{M>WiabzYf zKGLZjezt*(iv&OpUde-pjM28SfVRhCHT-159*G+1@q^!?tJGxGXtP1WO|%vSJ#yMV zzUPoWTjq8q`IPdMkJe;;gtPD!|7@UQT@-Q1ek`({7~82TMSW=;+nk1NmkvdypFsThYqmXJoTcH`VEL>nDaJ3ztIGFHA`N4e0;?XSa$HJ4wj!A z^g;>Tvt8(_!y?scv`AJ}o^ng8s7|A!Vtcq%TAFjn-ndS|ww%2|!>K3sYmGBgFPI(S z`23!jj0X$du#**uE8lX~2;GOCuUUTOJYaZW=I5a0v(x|%G{aZcM=nyBta2@iBd^I6nwe++{s)I5b z8+E)uqF-KKl2IZ7m>sn~i6a5eyf?$R!3Nz8&8b(;xjT|5@;)0~l1L^0iPLKdl?=6h z1;mEb5fezETv+v`Y=wXN=il#t{MUcq|NM_X-7BJd!qgOp;V|^}hGr{jl52PwkY$ik zAbkTj$cxHKl^?jDPE>ofyhiKXbTNAFwd`bRNv{3m6}H4go>D(f4PaR^V>za45`8cU z$~1sdQg?$!SO^1y)2f|}pcKUI^Vjm=ARbE^?_J|SZg=tSwfQD8SXUo{kqZib0x4`%AhA{N zdX5CRUdM(;Mb7mTyg{4h^;8(#Bm0tZNgg}Q_Ix#2pX&AH!dEvRFi@ADM(PS-DY9)P z+#c=fk;i2fXjwGoaDVF!HVNyIpEp0{p_4{1TRYUgGax>|p62g_d|d5uk7ol0&!ISI zm#s&<9hRQLfJ<1msks#2-Ju>GZ3LY>SVU}L#xhGQ#d7+Ur9T{xTt-71&zwL*w+*XRbDUf#7_9oZvE4Y5n# zZU9uNK-fe%l;KRe*>?_|Wm}}b*uZd^h~aXB2Iq0XqV%;Hu#3xBdTZsjXr(9}|MZ$a^CKb7JwL77$sHwG>v=zD*{&8> z60d$El&naY0#NP#DDfv2ZA@}d8SBUgc=`W#-+cGYFF!ti`I5h`&!2w&{pVkN`$z4* zEkDkG^~aw+egE;fe)F5pUq1bez4`R(AAk4d_S5H6i#(!xoc*hQXp2O(gKo43NP)zZ zS*k@gk|JF(4!}jc9-ZjjX`#MrB&RuPSjK|nXi3Vkb2cfZ3XV(G9LnwU*$3cvUyKW@&enV&v& z@})#4Eg!VW_65+x4a+(})PfzBla(*0PUWcCFzd|DV$+PuBl*qs;RY-W;aiz4tC-fV z2D^I0Znt4d@L5XQhJn~^)lr~qnuw+L8J9BDW9xJ{E>;f};l`+5jpVLkZ6dhqh~K>WY{&|J6F zInBUkb*pB9*F|zJ`8;TZZt3tgdSA~;XiLtapUI06mxFck=sCV&QsWy2Bh{OIk9&VC z?wb7ChS!nzi>H0FL2+@2SYczE=+4Kp{=!%d0V0y%;k=O{SINz?Z8i{>Ti7TqJ5hDE zJzue`>&*JH6<~9Tihbmlmmw1#*;ByxDCs(T3V5Vq2j3-Bm;LplMe6T^s&6Z;%}4t`Kx4Y?LMBwc;@1Rv=l@HPcK2 zr0p2K1-S=+7*3=G3$TgdG<;-+zzMZ3oulLmlr)dX*+XB;QP!OX)|9=6uWsZz_e6$F zhog7H%5!oABF+pPoX3H(xmU&V>hUzF7YOS$K_pGE-LzXx#vUmNfB60vAHEl{HjEFn zR7!Yhjpx1)t|_<;=zBvMaC-PRHKU1=>6Dke5Mj!K$;?b4TL+1RyZZs zUO5+Vg3yiEbpa=Q+0IF|QCbr%9yT^=xr=ZsmNdRrr*i-T(%ZyZcXXuNI4MpvsusJl ztEr&e-How}#-y2y?SnTgM8h=p;`en49SHR{EYB^nmwYkD9^sM?dBEh$%^7(x;>3nF zEmeTj({dTp3&501{0IX>h%DM-OiR-cQC{fb6iw__zyq(zh=obyq7BVaUA037mz|rl zgi5})jo3EIn;GdX@>325Ej$vm;=Av@JX|-Qu(HS}FDLKn>18^sygVpKYl1v~XGdQF z<-i7VoaK1G!ydZuHP~PH@*Pw6rFKkw|GwR9{u0z`kbqf-i#x;=x+pi1+pbG)+=I#P zg_yEuOS>?|cxfDbyTlC@R$9mDIokDhy1fF5intBJs8X=?xIW|+25;ZjYJF)~M%rd! z5jgHd8=f|&^->S!eZSMCNVG}vQkuiNfO&j7*bw-_I;6u=2wO{`kGXs5X!qQg=~~IW zbEQnJRG=JuHc&$dFCkN8p%_GDl0xkyoiFlknp9p7xhoqgWup#Hj$Vt zV}}bY^K0g}Lnh1o+)n6Brorq?!;>6o&1&TCo+y!B2l6NLG!;o+*pqvM6p;D34cIby z2s`KWM1DfYB0m9gjwRyQL=bEAa`+0@peEz9A%wCyD)bQKY9+&2D1P8yh9-QOr1Z-- zL@qR}a@=ru6(13U5asF-(V`)yQ_nwWJAFFN4e$|>bo=1k&x}OJ71eeP#~5S|s;h%o zGFGx-&*?HtAG}g9ZQ_^~mF*$+ti*BxwQZevXJtw?B*s=DugsrQS~;oSx(M=kZvm-G zparWo_=F&mmRmIP6+pZlOdFaWIRWPe9*1wpax>BJgj)Jw=5+=XI`JE_m4#PbA(AE8 zO&?FQD4He$Dc>WaEqKxV*ybKjRlO9p@l`n2Cc|&+rCBmY%r_KBwLPdIMX^k!W0R*z z^70TC$N4Raa#2^xfIwnh;~@)&jb<%w(}MH%3%k#}IeCf}^9)Sd^Jk(H#6Kq{;=M&WJ>rn%7qFix z%wd?MHV}sqnT5)8gFPZ@)HaJ6)Y{kd`tr5-~3w}dfA*&c$=qb^Er z*sCs%wtn)PM8#mq%IQ~eHz139Zcr}&#@NPe2i*;FV@Ew`92t5Wc73fPMCZ$TtLW)u zbcjMV0w(cv1R44pR(6v~d}x%GXbKWqlot!2Noiv@;z zMd3Fh$c9qaN>MCBQ74lpVB3UN@8R|~6y13E*xv6-UR-om-fk+&WyH~>t^~lRv3)%G zr-8CG@}Ct=BcTEE48UlOZj!YrD{8=13Ochrxk)es$RWk;c`IL*@=yvlPcai4_s_vB*fbMsDlY@Cw zl%1XJMqz<7qz!&KvI+UlahLM4Za~G6qF9reYXxktjL=7N&r?!TIlE`J_F&Sg-4nDm z6q%1G6BI!ydF-F688GakHp+-8M#~(m7Ar+{8t6a`zMNwuD03^jcs@;2Vx+Sl_|5Y7 zd_S_FEmg)TEl1d$kRQY%5i2D>z%3GVW5Gxr30-0l-I@fzt{Tq7F(T9G8LcT2qhclb zP8POzM<2*Pc1Txqk1*bChlJ}!&x}6w4CIAen8DNtq_oPVjX(rJ?elZvvd;fHQ7dvL z@;)@~K!u{+K;*M1dhr~Ft_2T#!ir4@rCy=7rzZB!@v z9g1{T@AzB9)LT??Nb!+mgwM48$!0iH8=II&G*RGCEJSdu5QE3QM!6sg5rhmhF3*Q% z8`0L*c`x!X_b-wysaW?T5 zbYsaEWy65wgqk*!nlKRc0@D0uZo5yR^-0UARr2Uy83QOUhg{8i9z5&SR?f6cey9nq zXEFK9uzm+v5#gZAnyw#04zMC3Keyow!Nz;Q7>OFFJPmara!kWeYm=J%6L&2)nQU*7 z8R9zMH>DD$z+kTsw4v-K&hEKi12k69FC@;%*4S1(3bJ&8yBmw#w?loM6#NlXxYH~8 zDBnPV>3GcsUWQT;O-d2X9iw~c#d3M=yF=UUvGJn09C)o@CR?jPU1HU^ZEP|JY8g3V z|7L)UWu3aMx2kfP--+*lB#%&K4}yS*g=AJ-cWtR+!-Cp@5L!)r(OZ21>dOY4kqjI} zG}@lhS&oXIBjN0Dbx8E?QbEz89hMH2jjM(HH_#-)q|IJ&^D{Y&enh_$NH6Mi;p4;_)K0D&Lqa^XLu z`QkukrgtS>AH_+IL}^lalINP0L3WW34;!USr7y~6A>EmD{QYkYMBS@sxM0wi3_G=Z zjn+2YF%GMDhzE0D5EYl7QEmwYi+6Z_ZuqygsI|Tk$nUn}G79WMmL=Ye0=jQ3_bkx~ zVWznuOYdj*%i&YrlUN1oOm+u~ZT;ze(=fu8{ncs&T3ExVHsM-9+XlV3f0xlxkG1btK;w^fXi zcXtGN>QVNkd!M;vfwDWpjZ8ym+tYxdiU~#uG zJDjQ-Fn^Pu@?kg&V!IxHTMl9uqA2A1F?u6oX+o{`#Dd_4*)|-V0Zm4p;=+15Jj^5$ zU6fETLjoYrL{L7PXw_47@-_tmEQE9?4dmcV2ai*@$v1rxQoHhY`i4~K4Cbqp@r)}! zz1Z-Bb-GHDnfq!nKLi`eWGaI`JGZ&}^4Q>MVQZyO!WGc_UmM(}Ri52Ri;(7jZCe`RRWM*I5m~+wbtK|g+riqFYS%r}epnKo z@o(6xZ7e*bxVe!8*6@LMi`-4?OK4-Dayojb^ zGHc*DUiMU*i)GavS;;AnYq~vcaHLY=_oJSrd8SDP$`S2|ff!6zxj}1w)ykYmCfR}S zaajWhECwc_AT>7XG#s}g*Jr%}+6J(p^Z3@tM>3C6D6}bh+=apw<^0@0cd>d<=Jl^> z{dX)pP+qSk%sCqXlePMi6j_PquAcs4g+5ZSZa`;+cM4G<=tz@hz>nMX=yq<=U^=* z9Q-Vug74*qcXVQQ?Yw>i5QM~s_znH&s>GTG# z7^xcK-6RFiV;i&QhE~`BP%vbLSsp$hd&%mTT-gvJ+!54M;zhgFk~&3whlF0lAgI1v zMEqXkjzTLQ8 z4H2`4jamZh@%plU1C_^Qf}zjmP>8+?AbRi9xl7WhdLz)*)=*00ib_Ge0tmU$N60kW zxl#OGL?8AJ&EgZO-Z0IP&BWy%9>$Z zuDTi@2|BSmLmr{2)l)7K;&}|%VMO5-nPfTCJC6%S)3T)_r`sug!Slp#LJZas?Y4r8-*a8Mzi(_};V+=8=AI_lYGrzTSjhY84-D-Y6XQJ`e1b5e3|f) zTCklPbWlVQ!m%9GP&-x6}45J0I2I^HKGp>Q&b9 zn*j`TfFIzitL5TnL50vUN9&Oe@FX|l2gRpl0-`2b;)l~~U8FW5B~E_IBXbmkWK4aH z^-;E`SFqFjSY`_~l*qE-6>G9Tus(H*qU}(=v0K&n}zv$_F^>S*qCw@oUvai5( z_S#D+UW!ysqCU!TcJH@>y#~)87!;RRlSQbi4OV_sK%iP4A3E*yDucvVD^_qVT0jV3 z9ow{Wrhc~c5(%TnC=Xv0WD2Hkd>o`d7FoDYaw568cBpxhvWq-Pp04(6luV9BwBAZ9 za>UX5(U0oVB=tbUGV+H6W1S5GY0kT7DBpYqffJ8^Ej@%09= zaCs?>FXvBMSqnKm$QRNAF`ZUrS)(u{JfHG&Q~Dv82Do*j$Ne9FzLz-3Lujny85rO| zWxy{04hRy_a5sp-4QVK>U$wORw$N36_9Z7u5}BH4Ijy|o+sfZEPOcoL6C+JsUcCTr_tH zxta5GugC$DS;tiQa4#*Q&3nT1jumQ!h9ijYlwoRBl>-0pLu+*2K*Q=2zXePZVkc9e z5aSZ!a}oE|LziKj?oc$*2{e`$%z1sOPIIzP2s@OswZAR7joIyibYSDje?G8j$%QZ(1D+b(#m_ldbkNI9h&pq|R4?`BAGmK26K$)AE;Lkwt zzcwKF4i5b0Gz&O=Wh$%3Wd87@B-ahR1y9ae8gr@euu($aITPO%2_N!vPx-VaMH&lS z{cb~;?Lq)-K$E|T_7y+NsLeXgz%?62AM`P;JTyZ^IkBN6Fm@1zqMTJ4hqvQkAIZBW z!_|$eR?Ogm9YFK)JZr2?KHW+4%5~ONVG8OIJR1fc*H!RriRfZnI1PpStA*q^dyYC5 zkV?ckxjTPhrC*ynBlk`@0uR~hEilURO5Tg-uI-X=*fU>;f_(D!)?FH;?OdSMjXoTK zzqL%7?lP9OHtdj5`IVdUvxg9=6(^2izJKe-%AVP;$=T6bauF+2T-=Zm%9aA+WyiGr z##KCdTYv2a@jJm~0#4_r9Gmqdo#fq2MGfo~ zUSI$VV;E6&o5fJD$y!ci6Y;H`_)Uk2@cwaXx(MPj!lyCY)zD4*t_Sb5Wp9~7<4m&g zl1z!sMyH;7+pE2nky14t@#`P8N6FLg%QOv2$bt;Tqg-rMR&GypqlBXS@`?px=@oH3W@jT%k5KMAufI@2ih81He~V2W?CO zb9vjM1eFSVN8!tNZ#p=ye+$I>vLBgw(N%X~1e5?rc`u|0LT zj4WeNzA5`CVqICX8+@h^5yb@q!{qpnrH~Yn)8O zK-{>j8V|}-_3cJsV8X3w(?(tUEoiLu2x?FoAqbVx{60iVU?u|6H%saE4Z@m8N@_94 z7t-_X^Q^_?H?E-qZXx{6ZX%lP%y7v|w!W)-6KK&Y@?wBEKCPO84hT@3pK^oyi7Yp- zyUwk-yaRsy`Tn;dlNQ8b`u9VZF2TBNl7oQHIbHM%T6j6}37Vh`>$lEyE5`dfgih*B zGotxIV}#i2s&&`A-rw&KuLZv+^FMr|f3-FoY#Irg$A$QvGmi>9>i zjJ6XS2dOr~4h>krVMM}X$V)OhZysqef}F0k;B}G5F%6@$iuHbL{J2LB^4YH$DPX9O zvtX_07F!u!fWgRTrztY1QZaPN({n=vJW!}2u^1^0y`2d*_*8MjWr#s)n&sI?Ry9aN zu4cJxE*kU$oZ2APe?MCGQtZWT6!9NT%L3Htu_>mAeA17QZVh{h%EnoFhOXCYrZ5C9 z7Hc)%ckvo2k8|>?95V+4^ajf`Q|D2UiRMPvI8SO;x!oY+ZH{NFW{+0WshG_eVHDBp zYo}t^#42SY0UC4dB`k{T@dry$u~Kq?A1L9(RF|@O8Kk5~&o<2&J)GTv z5JpOJM%dsYE1GgBG|omEHz|OIe>+E{c(15Z!V?SogbnGz_ifiLW|^vqJlS_LabhCtCv8*>7Ljo)k@oV@*?MJ&j*1Wn}8rs-P421 z1!+eXo%HzXX(LtVgYN71K!AS{1%IrmGw^topD+IpozRqWdO-Dy@=Y%9Uasnmw#~hjBMtN3tA|nCz(kXl zFQ4cdv;S^P8W?8R=Zagh$6b7JVw(1{%3KN%`5up2E-kqQs4`LIxj|`Z6ji*dEieFJ zEBPsp&fFp*d}7!jvUCc|refR>!3hv)XgAUmbj-Cyzroz`Oo^FSYgY(2WOw+$Xdc>| zKD_iEO{q)-cDRRpM@@H7hRYK~F0iNK$yW^%L#nVvpQO#I;;u03@sZCkWX~(%SFw!^ zsKZsBAhtJ-S*$0pZxT0rvnb9{o<<%M)EM}0qyLA0bczD`4CGFsE|eV=gqPXGeR3>! zUcb~E)`Znqk#6-yMS9x_d1GPKW;C5~s1Z46!%=%?b~v9ydcpR7gV2J2ysDP%g%IwT zl+0c0WLV4kU`#h#kl(qnE(Jbpb<&gx4ApRChlWW=u%T;JEX2<^8@-}NfUcziMNmo3 z&(PzE22_o;9giYYlkP2;h^Dn}w=)maSI)vanqvi2VE)%8!kB zi6Ic9Ib*?D1fXQw`;Hb_8fa2i3!{#}@;XTSt$ENz8Isnjm9N!2P!?kW+RCA5ZNU5; z<9q2Dy?gl;b608=WqEE;#RWS4!&p}+ax5aV(rThd)5>=Y{3_e%bD;l(%%60XC~RpN zPhm9aAK1w;k?{CRVaN10fpY6nUVl*jHMg>1{O18mIN}>PTfTTrfPCyxuTn3VU)A&G zi#OlnfqFL(-7PQopsn$#^Ay@#vTrlvaRp84SHp!N@C?g{JJkk%gN%xuk3r4| zwAlmcosa>YVv^}+N?s_NX9IUwer|@ExEKbkf_Yy%hc8e}&@iA4NQ%$QSnC0W1P#uSi^P! zatUal$n&JX8)%zJi;v{dho5ce>{)|+9~Sy;v3@jHL8?dm&e+9{LD>Ng4J{ftQ>&%F z)`N0Aang89IdSsBO$xfDZ|hk%@U?Ye@Pq+xosUk2hoDE7&D!aUOzK)8mAY2L1Ni#4 z>kVf^SfF3yK()#j&~9nH_$0P zmqEh0GpN&^pIhjjr!ax_a+OTBXpB{q+s|+5d+gcBusoWtnhRgF>~E5;*+B|Niqo|EC2He|ubPSIJmE zQgX3DG3ihlf(S-xM9LFuQ2(W&nf{C z_-5jUa|M7`K0y2`Vi3c)Jz^OSI81qw#X)B22M$y8bl5Th>qkk&S5>_7MK3$v&=(kI z>CDunO5F|lUzU-5F~-q+WwSln`5#Miocd&N;Oy1-XJ5Oj_S{9IpINz!)(jOB$wepu zEIBLPM8iTU{ayPDs*Q0Q^8BndumDYyxy}6Yj z9`(t3e+e-<~u3wg;Z96u{R_$HO_FSc)zC;!+Gx;vV9x67Z@{bEYp4OiiEeiOG{ zfVKm}PUQ;Wv-IdliLzUq-14YrRvQ)@D8bc5!!wb5{;!u2@d>~So=alf49aHs_>5ch z4w#e7eUlgYlp79RO-Nh%RlZd&9HTB$7s6UHEUl8WNzO ze&L^|*y|=w$O{m8*UBAf8|6)kYbI|_1i;K_fQngyRi@=yYOy8`Ey2SDF)c_3!>tUN zo`+W2T{)TLiS0T!l#P}bMv)o*BK=|XkjQ1lhE_n#aU@{@u}+s5p5RF-=5iMJMpd!p zxu-GkMmY0QIjqt07qJ#^-29Z!_j|auy+-gh?2<(i`lg|PvacVt+l1C~gEk05Jjz801F9?Gz+BsX) z=*4^;pWU6w0ns0`ayG&i@rgsR9gAU!*}l&E*`-3xLOJr(WG8OX_W3QMe%#3R5&LCl zMWNW^^86|i4deTU7b|rrC~1y4NA;UyK4UsW^`x7zAg_`;90|rh9uh`QM|caK5_>xY ztiQcmj49eQ99WBWR;3FFFY|T-I+qO$^zACJQ}{xS8KtR;wZV4J$k?k#GRAMoE3-~c zl-RDc^whn93toVZ#Y)c3bRBDrn|8i?4}irpph@viRDQi61c`TDVEXkkZ^A z%!B5q`~uAX8YSWLb7Lbt4Oul>PVo>-k=_DdT+zxJfs;tsiMtBQZMdrzIWfTYFzr{m zWU+eRIxSbjDbnBOSLb{np!d936T0pbj-!Qc3le)9G)fEVI!yG9^VUV;2^bPQ%i>W` zum-g&1G%LTC$EbEk2PY}+0GKbN>Ea`L4Vb$`TX9f`)FG1psbI<+~~DCk>bON&y_$; zrwN%}QI$qGV*!Ck8RBl7w)OHrYzYmZXJLI3hX%ORp~U>}s82f#6bwu_k*b;!r{}2 zZs6K(1UR0&!G?+l5t;mosUWnHS1;qz2<-6V9UX=NSk0l~@WM`1zsFn9B=$pOd-W1&Ys?>3oEs7-i;Q@;0ER{@4Lq)$ww3$`S}_yy z$<9p(cdFMkJ!`)VUcN-h1I3eY?jh`8v<`n5mphzyQ--j_z7b(cKMV zocBGvrU0AoqTQl^!#3zJ$MtQWJy)z_^hu6BINkD9=Z21x4c@GC!eDB*=RTI1=ibj! zyd>nRH|UY5N&z@+1l-97^8fnlzy8nvpkiq8Z~O_ZYv2KBM)J$zo=in^B?{3r%&I1e6HX8=JS_NKVxS; z{rbn>eYySg`PAR>NSMy8fljLQb|-H^0Igm$tR(z~*e=F(7gik(qc=UzqZ6>NzY zRF^QH{deSt$}6nfm_U{KhQoefsbXp3upYO8yR%|NG$(h<#S^OZ2 zXI;;tay2b~_Jh=;UBGkHV)iVi@FJoH+Hycm>+uDGoDMfHK;j{cVNaE<_9VKoUmGPC z6l$Pgt%iXLv(h~6+49G;rl<6l&ziGowtP+_BlM8&Srr6&&goGdKup^;&de2(%{>MS zV1EY~zN59%P7f z@9?lJ&x7f4_(2KHu`RS4+4B~-+fDNzbk|?kCyGKP>!1Civ0HC0;);Eu6N%fH`)`@M zza?oZx&#ALAWt)%lVWC#M^wZY*Hg)$!4MgA!1UM8v19LVA=*gSahXxv)=dQ;ox~x; zw$tlyh@7{^r1({ws8!;_ElX_MG1tPmk;X#}ThqxZGfk z4xjRBH^c{z0)Di832yGr^*+|XGfHmx0WD@r79f6L@vG>N{$U5$Pv`60w&C?{KchR< z5e>bbFep}NcAi@V`$lnck_SX|uGT(LB;}&4 z*50t_+W<{>zv6MDZT(6fn4>^9EcC37s3~E7T-1GJ>~;Q%LccaijMq#u*obMrnRcQv zWKIS)jV0k_Ux-a8g!MEnOPfKXPRK<~y$Fl7t{vH>7uNbVUK;l15{?w5bVpzp%E?HPJ!VfQ7= z{ddmYG3-w1?glFV&hPkgQjZ@Lj$HV><%JIlKglj!4lRZ4EQ>UR&)cKZ*r@5t)d~K= z<1M~>@+m&~jzB8agL!!V48*vPX0B8+)l0uv);h|lHDp4HVLMIwHcG}_Q#xH=bh_z@ zF&OaEetb!!29ERpWmhXrQK__d1&7u-^@ld~k^Z9ghngL|=eb|RnMY#xGnXu!3`*L;k9ae_g=$6!kTRuP_)!j2`uHp9kya#`qzlN zX$Eih?PD3kF)&}Ic`T^)DMP#UBa&>c*<;!rVcM~vmv~35w_p)08tuggz;&Dd^mZuy zUlf9|)iU%9P*PFoK&;b4KPXa@;%4X}Xppk;i9q|NsD{s}rl?1LTOrEC%k-Wb&%;Vb?d=u@LPcp`FJCC{x zVwKcBVPo9w#NbLbo|+5bj$ce+2H6q!HiO{-sk(Ir(>wM3#4fb4Zr)rV8MC$VvLq1vqm0?)mWD_L;9&wY}eEZ0GUAXq!LYE^+DV%2!`{xa_67 z!@+0=w0x~6aq>ASuF8#G&5UnR12K*dlgXbgaaG0SiUXtziH#b-b8T>?+f_GDreD~3 zeTk9xVdkA|q?k`VPtoCP{c{)up_fU2YQN*ovJ+1{34MaCuPk=JKGC64(FMkS=9miTQygHcv5`S^pgH%L{%wvBHRtzvDtm zD|F|pxd;@l}lRU&Z%|SEc!zP zKh1G3oqKvN9R^cu6Rv*_Knt9T+U{Xes=JqZU$y!0$^20a1jFR`usIRh-H8x3OH#J@ zvNZDn1w%`N4u$GH>Odbme6;w~YltM8x}rC`76`tg_Hht=E#aY}8qm%Z{0R|}Zqnkj zYJi4pT(sa0(@qzxgP=pgbt;-_+GQ7#i0?)p8<^{u64J(#tj9b<41vZp7tW7OLq6Kv z*~Rt4QTn{%Z|JXH&ion`##Ghy2L^T_zvX4XZ+WXXM)v-c6pT95rm3PoOo#wS{`Oo6 z(ja!`Aw7=3rP>EVtkVsy$|c){3LuLQxWmbKxFim_22VFI-1_H{(bF0clVJ)YC4AfJ z^L(%w8n?xI*F;%gv#r`z4r?m^sU6*IDdn=n^WCc8@dp#gcBMKUZDzYHskrX&A-pj| zOzxti`7M&Wqh$2^qqD2Zw1u~AQ<_jfhFYL@fe$ZL-fW@ZS=KNtDy8o%b|5*Rvu7)n zFtolMQG1xR-mpx)BGha6dgRir3DjqQ$Lb?utM1-re|Yy1;0wJZB`&eP6$1HXBS*&* zYgT&K4iaw(C5W&50gyXxj4|LmzANkAsgQ-=wZR^}dwY%9a-6M^? z7F$*?U5@?qR-nh3)BH98BUba@d6N5^{Sjy1df=x)t|#`b{lsDYZfqg40oEs`u?0$| zCS9+D*l7*uBjs*ZyNWNBG(E@hl=p2{YWZGTb2{pul6Ur;oSel*UYnyuG-8;SG2T7< z(W!j_GycR5X{H!9RJffGEOa>y>mBZu(D-ZBhz{CEtfg%^W%u!OFJ8Cd&616^SZ0AU z`P<=>WNcE`m+SSAonh8XA&}dB39wuApP@hd2s$PoYS4T`t!n^MfYG{r*WebnY$A8g zus>*s80Tg8l~RoJY0%>)-x3?S>4-s;1-Mgk1dMAo8NFFUy(b*=Q2ca-ypO=eY~dFP zS$Z-3WD<(PVd-Imx?5}s!J5hlT)+gxxKQy9Q`9&OdrDx}B(4!MSK;W9Q@2L!CHWxb za#QM#I|5(_3-1eJ#+9JD=Zna+t+k!fg0xCeWXux89j}*Le?c~(qHQ@ z^gdRHIscUjb0-##`G{&uDISutAQkXvmXcE-esEeuD3u&zVQfVQ|1N~Q1x`f`>YGvbsOKL`LTD(9Xl>Z4|g=sejOl>uAe49xI0T}>Dggz zpe-~H^g{H8GPsRp>1PiSu9YQR5QN-de`#=1_x3xO(A!c( zJJd^sOtgtgQ{_8Cac_Fddg-o4N0`n;U+Qm&Ba(AY(4TIeUl)xOGb`;R%MZVYe4+^EVv^q(SEhZl6_uo%C)j%H*S)`ypfWaxK4y z5V|{IJBxvT-kNK>24C;R5EWnM{DGJLW?M`qy$uYPnEBavQeR>eywMs`$VY@&YYlhf z?^^nnejkL>Sjy+#Q>DMQJCcRIF5js+7KPl-)moA6!)6yvKbY!!$NFgZI1fMoiBjp3 z+L0Z=vdr#wcg}9WO4UqJhTKd$w~MZ-jhCU}L;p(2U^Qd6!oHy;F z^D22!TD9vQbog3Ku!HK?*1~Nb19PSOH_LZxJRZxe(}1(ZQ4!&x&TM)kmNw|L0|HL3{_XSq@3N$RqIK>-|fdq;6UWTn97c;0T>vkaYh?tmmUnxx?@HW;>5y_X!P6nmdR8RTv8DjNo8u+?w3hgbb z*rsq_b`(zG2O$X;*PrV=ir#mOL2>jRVIhlUpq-1WFR3qx*YH8LKF(Y)q2E5~W4U>P zecW7{JMZZGarrc^bdAY*W9z3wdbEl>y(WrmC6V`m=GjfKPrJvJ$KWj70 zu++5lOR^oK#x?(+_`Guj@twIjPu;V*KwXcS@|v7+rc6QICgze1VCdA`nJ3Z@3JSdx z71H>2wGsmRCU!XsOBD_GHvJaGgW6S)#Fo-lQ>#bo5h6IiE) ze3&!Ob;)QFG2bcBi@BWVS~{`hW{v9)lh+}7dM&O$h2Op<#%bF3H;xNIkSRw&dLVXP z=Pfo&*ATV;$xDG-D35sn9Uc=7r7b5PTRnZ$;Ah{f(xmSa+Z}!#u|d1e(n0TMRM(J) z78Ipf9#!3HO*CNBFL`|v1=!x%_AgVvz;l7pJ3mU2&~fW8e8vMNFq`P6`LjL2&P0a* zM27296i%9o*4~4qwdnG)Tl#x)kLSdwM;R5(m!A6z#oigbWS15TOjRaetXQEv$J?Sw+D$V3QAxa^EHaUVEs|%MCh;5e<069h}#6 zic>hB(k%Q7)EVRFFs(JQJ7>X%BNV>cg(}E}AL!{6QTWs6$5J^zcUH~PFr1qLWX@__ z|D_+_%?+_d|2mJBZ5Lxd7Zs<_4=Ca)9etqGF1HegBVg&8X?Ks?&)sTa(LF3i{y|}T z1Q$h9gPR8g(@j*=-u@gw7KX*sSe5}ok1Gsk+_&Nm>nZm z3e-(F5jlDXiA8L97&G{K{f2shVXFHtJA2O0+eYszAV7D(Y+j;qp!R`?J5E^idWxD=hD`gm zXk404dxTe8>z5v=mPbyYXTG87a9SDDzz?GsZH_{7ncN1lWpDRrq-X7d@%Fd z`2NGKp=i+Z97gp&hj$Uoy#C4EM|{Sz96P*6RzYqwRDt5N_OrqNl#tavafuk+UZ0Tw6PI9oaUSr^0 zZFh@h7fiTWfY`<5MDtZtObcq-DU_=9oZD*0_&REFII!LgA%b1SyXK+7SaDYDPIpl3 zAZ;k9F#}OeNieM}3#CU2n6p}927U8e?v76_sgPN9)TokpK0SCk|EL&<|JP48eB`fX z6Ddv1)<9J}<_>qe+VoT1*7p*b&tXJt^_QP6R)p-bE5t#YX~|CXf>={L3t8@%&Id|$*NimQux@}( znnHW679&gAuo7;cV>XMMwuudZDxddo!h zlvan7dwT>Vr#$>}=gl{Uc{JItI7nS%{<&SI%W87M)=KGLoc zjF&-KujZG5`>l|v9H~6_vU}ZJ9=fgA>5cMy>-uHE)>j+bwCkUyJI`N(mOT_guTk{Q zc2;URy5VJF*~=zKn_S_@&$sjS;a2Nct4Rirw-d~|xxab&VSOxMd%p}6QuP8Utug9r zuHp1ZUTs9e35V3;KO=Ri)I@aMT?gFuCVbw~#K)#cJXm9Z zczkl{8mP%yk5rRH&Kwm4LkRt$X*W?eN<1MK6ei2j$$%?(r!h-0Q$LY#E1yI*%ZQXK&)coQ zp8o3r64vFfxllk2(-PHQ2DEsqG!C!(>qci{4bzyMIO~2dcXG+r3>9**uhA>En~%*v z{pePqTxRtdkwfeErGmMbcEpeD?;bh93K>AD8SqVqo3304ncmlFt$Y)c?j>*Xp#9xZ z;zM_^Oi-a492EaPd|&9W#oR=dPHrJ$06ah7P5gxaYd{U`QT(lL{_^zjkDP}anKp3; zXp5tBO%84oup`z=u|MhN2>S_05;a|3^Ysp4Q~yo5OX}~1FK_dqQErgfc5rAnJMABe zuUJsQP#EG0VMxPMHReM?jCcju5H9zzuyeaGG=Q51q~8lXuviI|vzmEKwS5$#;M!w)KV;iz;s z4E=Y@hNb7?5&4*LiG_BgE_vpy!G?|rr{Bv!NZaaRCTELLIm?^gEbDbg^;tnuBP%VZMfKb2Ie zwxPfq;HaWdrewgUvYJAiG0FG1HkSQ!+oc!0y}pL%+PnUF!2)*kepqf$`7<|j{n_56 zYsAkrO{3qB*LOSeJfHZD%M#SSJxQ&SaEA*cWu_F>@gC35K^}(C|=}yV2M^N_G|565l z1^s7#AM7JaaHQjK&~m}(0K*6s=JeP*HWlUTI^bS`er2dsqRhtjpzkG3i`)06R-1S_ ztKXaALajk@q6LL^mQkf1&obY_7_}sWA+f9cW=l)L?g<+x1xh7K0utx=UsHEjpT5K2 zePchM;_m35WB+Q01@G{Ijq)UZR&%$qp!TsMt^E#WC8kP5XXOv8`ztA@QV}XPN`vml zeehef%l@6({%R8HKg&&rvZ<1=A;Wre?nvvo!Pn?t`zHh94V$r%qWbMlyFrS~L5)6a zHX>~kp;UJ|xZg{P_LA-2OY!1gZu-TyMP>&)MzxPtIqTNy=MCLGprdAYziBi-AdzI& z*V`X1eYfxvx_$r%2TH&Beah&keMS$s+pf^&zogQO+2ZwT++d_ z`;%ktm-~I(TRq=2NeRVJh&5A)y(2xGpFi^~2T}fF}iX@_;5J$qu{s;I*4-nIh$@Bzz_A-Nr&Oyxi@Cqg+>=8+NZN&W6G7GZaMH!Y&2I zf4x8Frh2g+P`3PTF*o?g`lm|FP$W__I{NrQL5yYlmN|Zl-eHV!f`Ax^{$ZiDwL@bn zhEBpJ#3wGh^w^8fmV|NEMK&+JYn8;`;-FlVehbUa0#|MayF?|H_0J&`^_?EZ?Oh~l zo2F8S@xb9BlPS2BlWZOsjEkT6(ohM$u;)o?c1Zd_=rA9>_}DLkVa1X z`)dc{kppf_AQ6YK+Xd2xi$$`9MXMd`3lE{eU9~LU-)qKB)iU}uYux_$klYE}ZJX`a zKl#q<2aQkOx@deBCB-62#)MSA7mGyD`nt&=;@?v|AvF&Jc);D@pEvf&gYumo(sc*5 zAlgFdgD;dCw;Ax(PoIWF(iWHScv4RC`ff<}Eez-=wG~D6NKq7t(=vt68Mi5{i?PP zEF{$jcNKmgQC7B9a!Vb&ENvmLd~Dh^*sMuX}e0> z(e*XvN7fiCj!et?4-+RVq#}-3Gk%24#>nng1m9S2L%3L|k|}_z>{a zF8vk+2{~tz(#JkDLB9$Y4x`g(aTJj8A3oZyY}4EwhS(70A-x(v2lWqTD6Bli(4LLITL zA3h<4#N@^pYAr0y5<>#LL$S-Xv;D1|^sys^bcp);i5kceIJzDiORqxs`5Q4!ncq#X zX0T{uHrxv#yq29wX_wq>;DtaH*f}Z3i5cVZFkJ|55@LtkhXHR_JqOx#VL+I9{q#)V zG9VTAh=Mw$J7iiAVo#IGBWi_X#u2QzsEoW2>}PqVI;izcwJN(aT@fGsKBnb?7sWq#3{=__cj94gmH}&t2f$LZr1HNU&822CgqVGQiT(~SW20;R z9QuWF0qWIuU3xZ}L#vr@YXkKg1qn*>D{v*RVl^-HlmkG^b*AGjw*EO*58dW!P3Cuf z#PpN?3-Txu2$t};M4(d8N4XWdSj1w}9ARZOp=CE$2#nhl+#+ru@ue)){c@=hN*X8&JL9^MS4~@W9WPKP^x}_R#=iHvXrz0)!$1kICm_n-??K0dME;X4C~A- z^R(WUmcB0GuZVYG0pm23Gunc5S|@G5O$p`?fH~M*mWp-0B=_m2n8CYgAcF_adlLe_ z(SNtE8xy^+KXF^D#0}KnH|)sm01rbTfQ863iydI#1#+i#{bwROsoKwjHhf|746iD~ zw&DO1(#m!Pvg;#r&L#P5h|M`WMxW{|cF}Zx(wXJpg;GVy8F+TtK%#jZ7l<`~fp2oN z|0I%Dm4>a>mI#jUE81ix^w4mA~fm8xPdU~!{1&J+i`W{v=DDOzaC`U zu#>tl`}?jL8{yL(?Y$-5fojVRtC5bGZ%O69WIq`zY4G>(nV5bupnMxt)EKo>5)xNb zJJT#sHUmvjun=ARO;ltf6{h$|%5K;?inp=Md&9*pPTtmXLiQEI^JOm!i(_uS-`1{R zniN?*i|0-k^6|VP7I^V^+Uo&R=+Sws0OO(*$aJR#qNe`KAbp9t7xYmB3q~#ED6kAT zPY~Ld80XUtP$(CGX61na$=KKzKLw*YVFAlkp zzEe=i|b$Q6yyhS2F~deI%%ywCyx49Syx+MXe3J6j&9c$jsm6 zx@~_y%%q~z>@=C~*gGEacE$-{ZgPNeY&*26H2wT;(d1Av?V8%07U}F=7V@NcrQ!Oq zOy}Trl33E*Y_xcAf=1|qqCAcT8?jcpU%qAi@EmXNfqUUbaJ)r0^sl?|xNJNptdG-B z)K5)aOFy8fx2C312;MznX*QQ=%w!`CZW`FdaYAj94H{E9j+P|aeHt6{CY`*R#9)_= z7(x5Q_Crt~Z{qV8oIp_PTB6^;8yr6)*!^U!FotsAoVDq_)l z3jL8c5%4C4smI!=PReiMBxF4mDLYDyfsUwP+xT;mI!oWxgS|YS0XyF;G1D>{dZn{} zz|iHiUv>i*_qWUR6lu8Y`s_Cc_{|^w@4x=x&wu%+Km5n<|NTGy*EX(=)V_UpKk#6U zrpfr{R_~@4DMU#{BKvLHHg&Upcb;R1=Ai_z8R*u0geex<^faLEeKgNlLqE5dzU`oO zPBX0$zblao6#>@yCz6^P$o)>QKt+aUDlFZJ+{a9A^y{Df5!0rq^56gc_kZ~}mXf+{ ziMf9YA1EeSHDHXAG+%C@oI69lUbF`%=Qjqx-ZK>6hz?Dr+)u@4it(SfDk)DQ%S=1d|zoq{HAIgGny{mL^iXouur)T<>{sw;5 zPqnwQ$oiE@=+hBIFD&$xj`U8#TS^+V9m7E?ZaMtM&)B~=)4Tz|Cbyn(AecAYjkwseUel8f(O_w4K)J|mT9 zNpbh!LoPQs(?4$$7y2LaIKcUUaA@z&E;D_{usF%QuG!dZRMR}tFE*6nnWeuha6j>m zCjRhK-|wvYvEHbWa;>KkW=9l6+Wzc+1M3(l1Q<~+Me*%s&m23nJE`oB$cY*b>z}C< zF=bUkj=oEdWmcQ09Js$TsPJq}>(BD0WfhMU$|!icS>+RH&LMxG9vjNFZ^hK-ESX@4DzhcTuV^$ckK+8o+-P zzH!#&-!}Jy-8N;=9b4Rmt)SPd!TCUNZejL}!7G_(7NkXE36K`{uqZ)(gC)R`oyN!$ z37UHgr}Z>os}(HC)!BjkYW=j1LAlla7`?=&oi}J{e71B^8k-l2sV!W_SgVMrRB8ZG zxxo(U*#_{3v9gXu6m8+uEf4Cw0+otw4W&lf8iDSnaN|;SC+UiS6v_{zoa>`Tn8D}? z#QTFRp7qYOSGqy6)DF@2I$^uwx7=wa3M8*XTlx!e59$4f>fA8Le>T%+j9b53mSohl zz9fnW(e0&nf-ZpXsQI-7v|M7Cza~>D!IJh9aq>I0F+}4K!vl9~4vG!`p#AzX<;!00 ztkHXYXt(;VB4Y@fAaK-Za}JCWY8*K$8hb?+bD)V)_(om%ZMq*R^Ju1$M)O58rA=Ab zQV$rhJ-F4hPCQ?|K*^o9kq+dbSnOKpnKsVO>#75_c7ylDUS9n75e73EDX)L_>nJ4Y ziyH!thLynYO2ZS3@h&L8Jg%_QH>5|jQ!j?8ur6j(UNfOzxTU~?RgVrL{J-vAVoX&7 z>mc7iZr`m(rAehYB0jNam6~B<)izymkS2%o>>prP5xHo(?4#lX{+}KQncYMzkbyE6 zrKrog*5`=U)k&E}8G7Iu7nh-Ln@i{%6K_*v28w3ur>R1}x2~60DqQ@Q_0K`P?}33u zB^y5aX{CgTj($t0vtLS90aNTAD6NOe>IYnfGyMwI8^R_wA++glwj{>*#{G(!rc3x# zL#SHIE?89Su?5^=oNJdH+!K0RDQL*Dmxtv;N3cVe%6Tvl7;pTnnAAEq+&o!~{aj+E zr3av2>z?uvwPZ#zt>Aprad)9V>m%$F`*664-*JLJnHjEWNVh%3S+=CoZ0=Q>RliI# z#QCW)x`eMorjs7Huw?}&Dz+Bv339&%N(pt;DU%CRt=El)+M(cOAo4?r3 zdkxHEwb<-n$kNO66pE%kH{?h+7LAJSQDnl}0W+9FjyIJPR5J~6s*mqK>F;&D|A>4u ziGwTGhwv`;er|5UoiXp0?a@#MI7#QAttD$N6}+|vnt?WIC2fCRlFpY+BwG}5N2K^n z#5=5iPTeWwapU@9uYCJ)7-*L3sOPtibO%S$JM$&DW?4`fjN7hr76n@w0=vLX?#G?G8ngsm{ zJ5Ziqui98nx6_zk1uqD%^CdE3)I94L^8~N@$G3AZ(=n_wJL!rZ`HZyur~GLz2M#Ke zGVV^-V`a-SY&z`3cj?KG+_Mr5$C@a)YfZmNUtwGi`^)%${ovCQtS@*wfQvQOMk1B9 zL-g#;m%4JAB!NCL|L*WLJCbwa2M8oAcX&3|QQ z!)3aM6@9}PE~0NaJGvO!+0WVax^S&;B9gJ!KZgx=*2KeXhI?^24j}F$(068y-J62j zv2|*8?MTv^P%wvHFV2`(t#L-Bg>G38HcrDDjPa!`CZ`peyT>07U@JG}ji@+mRexLi zfkWaWd5cZPvD+f?LlfR;pfviip6(0fcmrSJVp?yV8Ay$8@`$&IPksa9IK3=k=La}Z zn|m-VUzf%POrc{m0|Z-Y+Uo5Ca`^<##Ucu{?rx%Czz$jeQA9#c1u zK$Tr&f3APp?j8=~2G+ZBDea{&YVUZQ&qTZGo6iSdx%7iA|LY(B^oK}le1v`*FgMv^ z@F{^+jnbcbOH}V8rdF`(BP-a?$742WVL=XYhKVa8)*4aS&y1$I(Lx^lik)r7@wjolTqll-D)upo_@D;J@7w>vXFl3 zqFDOkQXHg>`Md$(;)mh6%f$=+LA5yP*2VK_s3#IqW+8UmWsg)lt?87DEpWGU+mg1x z*B261JJ&yl)OBxu&04LYc+|xWArYSp?Iova$rw85ovxfB1r-&wt0nndE(U~vQe$;J z5ovUxpFwhHtwevR5axb=DWKT=8aa}nK?unvNURzK4F|f{7C61%#Aj0`V+jm5ah!o# zR8?3}hmCrq^sx&ueeS*4*R-CDol}e_(VK?bwr$(CZQIkfrfp-|wr$(iuWh?~+Sbnh zVv|ia*=$lt-PNh9N`3Ep&hyOIvw8+1mC?HrCkolG#e3!-h}enM)3ih*<>x?I=Ltjv z^MyraGj%m(A-BO2Y((EJUi#T%CX)%0Uc~kR;7kba%M8oh{`$R3I>}cXYxS|dCyQ{e z3XcROxs&3l;<7`x2})}Ha-FU9e;&G6L|F0ocmp17Q;u`NM>H?D573rj@qzwmOl!kX zm8p4Q+xN2^yfcEHn5PUa;>RaYHj1NVwAM6EUD12n-tJ}jT1B{BF4DAGSktPTPABhD zgJ0HAr3)AY1U zn)%WM=U=bU2=^zvBPLB;d!~UPt$G?<&MV%rOjSEfHfeueiT&x;o#?XfTN-;va6nYd zQ>6}Ccp(?#H7?KbGq<)+)K_)sqn8!E?5-d!OC2ykoC^8$N&?w>OOq0(BD^@c#mw^a z4!d5|)%W^hT>w1-^cYhtUGP0UkbDH?8^Uwn`zUPl#xLiR?>v2es~Q+`BgaY0GBMuTeg`p4Ws=%(#;9SBPB@upDCB9+Tn3? zg2k(6(rndcYbDs>N-|ttg?LsXdC;Zq2@`|8Ns^bAN^r(8BD+ zEw7zZLH!*)QS;_!ek=NqO}d=1 z(jNTWi7rW5H~zaKyT%8$^5h9xyG9qqg)j9p@!Q!xcG^XMw=Rd^^%IOA>5JqQ#ck>V z4a=Ba=A$$>JQe>`k#3POarY#h#1;P?A3G|te}}K`44S5%<2}bIU94oo>ik49!p^39 zwT@wwgY3VW1Rc0{Z)z*nTn>BP3>gv*tWW(s?p}95-cy;E%{F0iuOu)pm%rD2%nnis zs3^BX%cJVm+|MZirFeo@MmI~I-R!&vLvDjR;%Oo%!dE?LVtw|Mp5&p-{%z^HCLc9czGrS!wRI8m);NrFD4`_idVMO@-p9T3-B+}?$9n8Xfw8)h(obEnhRB%;M)^KTRf7k5{>^eY8Xq&CZ}d)mb*Uzf`L9^_`(3e! zM%$`{qjlC*+5P7pTbGz3VbIc9#ZZ%Pq@L0`+a=$_=7)BO!j>0UTteE*%p)tA?h3ZR>3 zN~0#&Or8bmpB}t~jaFq*Evd+Mu02|^@p!8L_O@8HQ{wsKX~E5R$9jX()SM&b@)P6x zIYtCa_?rZez4tj_+uo`UQU>}VSOcnLmnzLtSk{}Q~}jA2^rp_ zBEfZ6eI=f-ff{}a??4VrkPp>M^~Z^x)p%we`f+@el;rHR<0o{K2$?EYH+d?l`!OjY z9!+L#2&~UZ60=iu3x#wZo6Ae`Fh^DeZz0!b$xlyQdeTa)U2~3%k_pjL&d1hwi3@|D zD8Bj^P1aSw;(@|2seRi4LwRA>6NQY6=7tjNDvxD)XpSb;(=@fs1C7$8g0p2mboH}HTr z+)o(2vYVQKte3?#-dW$c;TD6U_1DE8u$)uNMUJ^I5OW~j>iE95X%4%W;X<~fuRU#- zj6ZZc7+#hF6h(eS*k2LuK_0FU+xV8Jh7WTug3MnpuWw?l%A;2R!!z0mnpF0OrCD&0 zeZ)@W+X;rkQ~Q^Z?a!y8&v^c=^S~ALN58-5ryaN#KAvx&!du~e?9|AJ7vCF5>TiS@ zJ;R*;Twy{${NQyxVw6sEcZ3q$2}_q+3yS;Kz{>@qmSN)GkrXXZd)bj# zK1!o83DpY5rY*^B^Q@H>X7UyY*yPIy=JBA!AT_>DXCNGjVg`kN{AIcEdQ1J8^G2oZ ztx<_~VH2gQy6mGcPu@=>h$c|_#2HI>S3t+kUWfZ_@(9O z%FDf@I8#OVv{0yvYYv0uUnRG7G1s%r?MdC{^mIB_4gOVCrkQ=ZK94|vseqN4HzfVd zB(i-sX5zmCEY7MyF4|{)+R5g4`*+baLeV6NH$Zl(s{3AFi!V1n^+8ifW3x1x;s$$`RS_m?h zR#TU~Tq_6w5;o9$yv{r*F>ix7FCUW-`_k1But$^e{Z%3TvtH-cj{Pa`WadXysFAh( zaIHHSk-OF`)^leCwA8JwxQHUP6YA`lfC&3M3-6Yu1*x()f`f3}%Aqp7O}SfUWLZ~w zF2vcu%Wg89|LPnfB)gFPis9t|8uufRZ!2V2`r2jtGZksLmuOati_z0y&o5L+^aufh z|cEYJY@#gcaP}SQ=9&|5cQ4P?n`4nKN8dN8y6GrK-FUl|CsPq z892WYZ7iGr=5d~kpe4q(S0&v7K|XL(KYkETe>6X{8QcCt*fy6>&pttLk=nFy!7iZU z21aF1PeNs}EKU|sS+U#vnU;q{m8ZsIGD5bOe;{wOtiUQWd9q>KPZDFc;nd74kLD2b z`E_&yuD=L-tNPg*0PPa83ZWy@EXk|RlrVnC_0=pbzFQvT|5NAxwklY- zGm7iy?gUQv=T-XvMNPH9(ba1~^=`~QN_88vx0n2v)%e$5ZoFf3<@`O{m*?ldec?Yh z|K{(X&jUpNx1Ud3ZX{ni)jl9Fqo5|{EU{Vt$Yyl}IXrcNU(r1>C+sd0ntx=DqAxsr zN^m*cqRU=msr7;kq)Dz&8;U1>=WfSxA{HR$LU1SHvNvAl#62-`9ub^Maaet+B9;b+ zTS}8}#B%BI@C1%#7*?O>zFzT`J#s+yK9VXqaHS&)Tpk>n;75!NQ0kbL;40plu7;zg zWv#Sf+Rd&Ce(3(AaOoSmVU->y(fF&L`HzMd$*!h$&$SH~p0E&& zBrN^j%7I(l@^26v%(vsUy8DVYp!SDLE3!MK(s4#qjpPvuiIk}GrBxm6O)nK4mg^r> zN|`|8%j2k-!8$6p3b;0JiQ4~E=v0l#jM@>6n+z9LEwSo&(x@sRtbtt8hE=P?^Kg0j zk$VASV%wI_ij>#$fQ}<6^T1LJ>^-LM|6>8gQ%tA^E_stkl2dtcP#m$~X)K9R*m*m{ zdDDAOnj+Vo#k(u$5!z;B`!<948a3kEt+fM~Vw;~7R2b%SQv}l_FP$q-Ht_T+>v9PH znOTd#op!{(sf8e`(G+{ZH^e?ikOUlxen;q7P4?OS9pl*M80%(2KGpErso1%m=`7QN z)2XY%3Z@l#t`1i$aL3^j1%i=N>+z8QcM*vACE#%m$>#4eVZNfkEZ4XwR9!VLq<&p# z%)k9-yq`_y$wBQA%uP!`KDh*%gBtmt`TFHGr`*)TBOHXdxT(DS=%XxRul}3+s@v@+ zx%G#7eV^55mW^j~?$JOHpOvZv;+@oUl)Xob^rZa8Dybw|56%nTiF980&5vkoR3rkX zoM-uD3FH{xk&P%%PpE>=$KU15&tLj#hlA(73igD}gW!syD z`DYc~h1TCLrTOPyx%4yDM@%i1nA5N|Mt7>66!vRi{XOk+1atpa^rqDW2t%L%`Vct` zyQ{na-oHtOWV=0bb;=D;6N$FUI5yv z-%|XzADgusehZUdQQlOaZGb3J8P#8e52Z0r%czsd@)@9x?Q`nJ;->%-0c@&DXSg!R z`3>fNjSa{88i>!s70Orzkbi5Mz_7*XYQp{qsEJ1tcjdG$9`tQx17>FF!O!%&HOfEN z2W@;mQS{8=L%(U6%t5Ez*KI|2vzDQ@FD&WTop;>59da^c1L59o*NT5Qm3$Ogi0P9xVQ$uWGo2p`>D1l?ig{{>HG3DK z=Pz8_96HtIjjipWiuS@h`b2t4$Ze%8O-8K&Kxx(r3Y$g1)ycF$qF8gq{g9=;)Qvk! zu3slZuy1PSJo&CA+u)VxVka$bxTg7~@+prupE%n37;m>&Qr6P|cP=?HC-w2NlNAwKFNybHg9#QFu36OR)1R zwK1gM1m*ExLP3FH#52a+LOqPo{Ib#}X5hlhUvg=8-eL`KWg&h49g??5A-7Gv>u!+| zp4DL}OvQjF8Okl&veID~6T6JBpZG^P+W6{bSjPZu$T}M{`hYMQSt!~V3@N9Z+VA3G z&920icato7R*-31^X5=$E;U%FXYuH|I1x5t5|&YT$V{T%mAc8XfKKe&=r!fa4U7k3 zsI{>+X@?!W*u0khJWe?h8%p-Cc;aJr9ZAa+c`?zPw)lDWp1R=No@vjsN!oa&Ut7^f zPQiT{-T_i?#=IiJw(Z{e(RzggfOjzrTlZBsfxN@9vvqX)tK&-fhBvx+D>i14@w0}$g~fJK z?WpHMoIf8L9+;4mOHu6UvFx^ka#wVpWSKF|9j8Ui3g@z!&?;O)!tFK-LGn{z(Vw9< zuQjT-Gs^-bQW2bU-1m%>+b&DbFB2GQKmPkRR2+ zg&ItDZ%S^qV~)xp_t9zz-#F|K1ngk;$e->)8tT3+t->Gh?0#UXkl0lD%{);2i8lzH zq2d|P%~=VAe}Bp42D>ru^9QAXzp^BZnPJwqUT>vz<*aClw49rNkE~sOB6&vrGTv=^ zOOL8H=2X3I&8fB3H-rq7o4XofMPYaQ;OrC z$N*hu&tZD7+by;7^FMb@h_>p7h4t_6WtR$x0wVLw8wjO>as|+mzYMqcL%gBur#him z^@v)jL@ISAZ@Q68lrRT@u%YKt2$;`*qS>9WXf3bH?iw_)6B}~0<^%sK-aK|IXfJuf z@d6NX_6RVu$xAyK#p)Zxgtiiy7I?MZVL_|SI(*T8#t;aolT-L%W6I{{kVQ*^U`}Th zl*`E<3-DWlBtm3}|W9e~%O$7Hev@I>Kh@kM}P!i6&zpT7uX)lp%;pVpkpk{tMkk^%Cd}sb0 zb1QZ+?d27JSMM+(0gVYaL`gO;`%PaL^uG3ViX8SgZS3OW{V$mej*c8QV0s53yJyug zT-Hl%Suk*`b(;;^IhY*xHL`w$ zdVrnmYeQD{i%{8L4O;c9F6^I0hMsUZ|L~p3eVM`&h60mxFLv9@`7P zf8_mK{Q5zGd~WLdxEB1rIP?%KGyXml{JQe^xlM8-`a$^x@rkc-9?J0bH>7yZ@;xRu zzdj@uT2Z%THvDI^?`MS3?>XzIfFR~axU}Z#*COaP#50&L)BuR8n#7D&}D271%WQa5ylr6K9JznH9WJqk{e2^ug4p||LBP> ze^&p!!^6$@?%n102jh?5SL@Fsq5rF)|LfP!`_OaCqux@w&d;zzY2W+L$6;PmpSAMz z@xiFz=V9Hqz}Ji&h2Q&LaG;Emi^<{V$?5Lbq5sF5!}syg(9c_I&}xa}N19swWNw&1 zmprsIL5=^x&G++Z-^Xj&d-v> z{Z(`U$%%T8H>S6julxPedgoG~?9UGZ|2Tz|oZ_)BT*28%|6Xtpj@}0RArik@zN}3{ z&Rl_Ci9K6iH~)&YyBE1f*hOTQA6Qw!Er#$-2R)H}P6Eo4XW?+k=FVGOLjQTNPYFyx zD#659&7>=-mC2K_s-it5%y=`m2>UZgJTQ0oyyebSRXO*%{B@oN3HsMmmp6lgD#|?u z5m?QP1)BxfUEna2buYMC%F<7SGn!*(k}yH})o#%JZ3Kpt+y!9CYytU^@O;s!Tkj$m zkHu|+T}T<6OeHnoppN_eN5+!&oJ&CY5vqRPjrY2SL z@m(Nygs5bkL3=-fZE)dE*n2dB-`i?C;5Z?UJHwCIz3w(chC?TYpNJnuuM4}lHJ781 z1L^f)`-z1;r^4=aQFhWc`yndLcJn)+H{<2b&GHYwC^`|?a*DmI))Lx|Z!I5fL=HlK zbw0~-#A0Pmg6^}uV;4cLXO(N(=&*HW{@TA~w>;6xSVG#ODD=bwSZ2iWbUe>!<@lT% z`(^vQ98L6Hi+>rtp6UL6!Nv*3#fvDOG_lZ`hOmml$x%Fq|9O9_Hi#>Iv0V9Gt!6L{ zlP9bla=}hrfA#MH8a`zchKh+LZI**DMpN2mK@5o&2(AIvYx4$V;iP*kxE{cL;jSt3 zh?dDyO5>gMmR5rSI4CktmI+tjItb997qb!1N;`%)g*_LE^MGRbj|AB`f(Cc)-GM}@ zJ8qGZhJ9Q{22d5e#*%2Zk6SG2(`+BGH@+S$cr`bN%6`!So?3NgW}o8q`m<~tl@r77 z_r4r5o5pBnV#;}?0I@+wX)W2{CC*9Twh}ry9kdBIn{l@`GWv4Mp$M+)@P_@mrd{8L zKDtxuaz3e-B19h)v+&<v8GgT2R@Gr_S_ZsOt0b$9i5^tdJuhqG{;dK>H+0_fX=tdEc+$LCKIHs9ysj$X zw?Hi{uBmG^o-hrz`+HL*-Q*s3{u&l$S4g`{ixymejr(rx)qFrY!$b@1T;d+%#acUp zy`3GV`_+w45@QEHpf}A|@Gd#OQSu5ER?i1P#U#(9u&BaZ4M{PWXdHE>_YFbA?WbY9 zZ(%PRXIRq#y&5n4#yfv6NxzaBtYZp1HV9kPztg(36;-sW(Z^I|B-1KV0@DMjnftMK zBWOC#H`pHL=j`@(q{zQ&HZrwzX&n3?BYpfPHyKf#(hw)AZ}F&(){xI<5(XjER6o}z zc#`B7O0w@+m|iE(8^C$=lCsZ$Ml|dRif8j5ppU z*1D1s5nwj=1o!Y)U$vZk`Im4^6Ff$n@b^p>mW9TlrP*z zj~Ec8vigV3O=*YZbhBpzAnFjsENk9APe(nNN^O;wqK3>swg1-f0kdZiafpZ~=GRJv z9ekZp;xelDX?Nn>1GTk zlqP54eIXi#WWA!U=SX*JY=j=tjVUs z9k#lmFICPcU^oK!tAD_$s3|c;1?3`RCq&|u%2}4jho3nAfCQ6=-<+U#Hkipmw)GZS z0m|E0B4uil%6k+fFmwuEvZ~9#XTl?Jm>RntvfU3+iqD$uW+bgJax3i>&}JY0*)M!B zco`>r5c)hEk3|+2rx=$f2p%~H6va}0 zD{=^JpToV?InWD2P=cNDrkZ08;PNuS{^Y_Dep@cM4{N*o--ag+JlD%tFk!L%DKuM| zvlx(Mbm66Z`~Be0au#SES730yy*fQw5S$wRRh4I^kskGRx)dk99JYRf;YVAU9Di3K)IfzZy) z?4HxAOcJKpEZ#^ID(_MQC5J4MX~GZLwfI9gWQESo&V&908Rs^#)P_k7#-qlQGA61~ zxvHYvfyw}fl+wY4X4=_6v8I*~$)tmn%3}TMo`1X>k2u_x;h%#UM}Ho$gV4c+Gt?}X z?uKcqE-K*OG}rlUx163v(fdfY8wZ zT}`2CldCkwNrdPWB5;2(lSRok`$y+6@dP>oL;%>X&LLOn!H*$<_d+i**m(w9BVgx< z>?jdwh|jTjd6HcFoU5X+6!|+mOMR77Q$`7B*o8QePKC>42o;@$TZ<#;sV@)HeuZG&5S#BGk-YGsvhWSy+mQjBk`o6O43d5GdE7FqX-Zad}E>A`BnU9lk4l zFFwhNjP5za8CM#9EW0M87u3ujfQ^s*iU$!xV~-y2mspIO#bM*9cg7u40LOt!zHuf~ zRAVy1twZ^geaV+iK0nYq8^+hA$%;>LmuQDde7{R8CJ}~+PaYC|4+!%BijbA?R~Bf? zA4uz9t!?orOy8mtQ7`a=@3{dahWT@?FyTM*?jVzm7o+)SLnQDE2H!BV@x;_yx$2y2 z^t=TGvW!Nv_L^F}SyrxyQp|A<9gxHNVx7>5#qIkCmdq*&spHKF!%=DQR=&Ew~KFhTT4_8mHepQk$r&cY34U zIGo{%6?l}euS7qRdFI$lV7j$KB|gz5bnp(lHq?fYvcCaatF)3mt+R|(crE=Za+;V3 z{Wsim=STI)Pa2(}`qm(X=FpFzdEwR`<0>ecKm}{cA=j+)w~9M8urK^d1vr<3JsmLW z>GOT`1@=h5TUR~x)UH41vwW8XAfy2OMk(t6P zf-Z$(A%9OKqog$03`f2>bO^bC{>TpvMQ=K`OGuo1AFP04PYM1!A^Lv}UDGt@cX33sv@&#_csPu zB?a>;3T3|fLi&wP*hwu<*8HaIgwOb;+%K2PMBQ(rRX{;%B&(4^lti8jzE>=s_6J}$ z4i*cNQtXNwv~KKEMses)AbY7-*QaoSr_jVS)O%5Xw=!*SB}Ja&i+bO6sZbBi=db|M$L&S+rbjhl58TV6bJoxEZ8)Th zT`_sivs+d|lq`;P1qzw=sjNAf zSX-2`%?g%N#|AUI)BrraKmp-KJk+R*3cuZ~3)D^5Oh8Xpu?{G#T zZ#%mR!|jDF{tM|qmY=c{n9OV}TsUNx4-#Mhh{RB|T}F%z4F(3>`v&IW$SdUG}4BBfV)RnZ6{H>AFD9z|p01qpzKFXar=r!8%Ql~Jy{stJJbg0!@e*2aOdJO~6YKnhN`_!k)8q9j#n{pQ=Qulf zY&;6ofV~tkrbT?1tTR>V&Fyco2WbG(;1M-Y-L6#lcH8PpQ}omM>9H>kGG#L#(?gQ# zSTGWzd=~L$e>!@^dIB5~Xn5_JV6p#_JvcCJ9*(9IxXU#5w+{_1E$e3y;eb{p6t^j! z5GnI3kHDWbN*~l-;iTOt6(?S41ia|dRm`fdu(Rlg&#hgt;zyJ4iOri~!V< zov2nDNiNQ84oO}&6h$_8QwM{tK$-5NS)ds;FoH7X0149RHms3Pujso3btkzk-Jn)f zvz=}{eIU^{M9Xa%UVhTPy!;_+2=4%{*8P7rai|g)(P~()a%aurL87~m4HRMg#OLF4 zYE`mXsw!K)U)8Djeph}L`2mup9>L$nR`w%lCW`5jQ>ISqC0ukp3^M?>(3y>pJd0C{ z4(ms{b2kmIdVWVT*{Ur9g>eEP*&D;3o9nyTQ(%QV1{O$tHCmIjDaUk4z#%n2gr z&}*)}hD|{e=rXyzraEuF>XGQE)ep2J9EJKhd9yQM)0$^r>XLgacJAw0XJ+%(8`seR zsn&i7^JPIY3jYe-CuLT`M&$K6VgaQfN?@|OMD|8Wr@e0)T9?lsTK#H*V;EVxlfmVh zNNqpQBco5FoFrgVHCfr~lRG^l#N<|~Z@NSTeHh={y8s59>A}n_ts@YAUF|)#OaxuQ zGefjINUdQkBG?zj)o^%#@nG*Ig$s)!+gv0!WI($$Vz!YG*a_)VumMaT2y<97Hvgib z1gql5**9HhPDXDRq~2*i&Kg0>ALtzC&Jda9CRIQfdy*fav50@VE3z8AKya?Gw3UMD zVWivJui{dCnzvGURs@@~(!`rFIu4XwGHABwwFx&ABb_!Py9fS3!9!v!v&gg{CTk4; zB!tDDRTuUdc2cS<=*A4bZJP=(O9zV8?g+wJ(WpPRARm=mHX&ch^Pyt2$%~E?o2GFw zxxEI{=`!wKDez%pOJ7KB;m{(fDu8Gl=JFqB!CtO|=`RpG0`$_@RFrC}QZO{unhPLakyB?A|E))YJ}EmR~;I2&{CmspU~2 zAxW5`D@*C_EJ+!rDa>)T8j|^meZ=5pL9WCO#umFx{)>HKx|5_6KFpAu#?6mL#wra0 zqLOL1D0fcbtJsIegjCCmd>;qG?a!pxY$U%Arg~+EPse@3!NcY`4v{|6raCdh@Orxo z5<@R^y4}MU*wTR#q5ywLdLI;2Fc@8@8SfzJQ1_V{3MF-OHVOq2_FrynK4Bbi^4$kJ zdh_{)gJdvyI5;9)k^FmztqMa{)R6D?R!7z;}W?*3%US+CUCKT(Yslj z(G8~ZP}_Ou4Mi#J2`6{F6NNx3AKg4ijB0}p_jLjX353xQJILM=xhkmrbG6L_?Gq?M z&+1tRX!S$LQLcD+;K^S8uI32ZY8SQx17?(2uLy8#=+Vj(d&jhXfNgmT-S`x-`-gSc zrbPl2(3x!(B@Uu_{HZx@NEJ;lNvZI-|6ZFZ(~6~Z;>o1VKKtkX!NL@iFn2GJPg;d- z(^b&JHoNmLT@%p$>?3}`SXqKw6R{k|k+of} z0Q^kLG-p1?5u|#htgy420}$o%C!g4oIBV;f2g}oAeQ-gU_wVgKonvRO(NLN$<=ko6 zjofpoxFnKwe*ywk1unbzwM1XxNFxJ3 zaCQa&EVgMvr%?Chz2LJs zU5|kM8f3t&vOiAc_nP(gsK1WsV;Q5ga>3du7 z##I8i32U*rh4E#+&xLXe;HQ{5ZXJh&E4fA$lv+QiZk}>g&he#?oq%ioVo-=eTUe&I zlEL^c~MM;Pb>ik$E>gMMI$V(xfp3^9t>EUci}mJ8m@?#hM; z3;Egi)|1)%UCYCWFu}6&RpbZ z-bFsXZebnl&U-Fv8OJJjv1DwR&nV&dR>Ma~MmEgVZUq^Tb_o2yx>kwASVxRXQ9jH+jV~l= zsZE?*&>AKxRXir=eiZWU@R#E&fizesB3U@tc*m{CyD|uBzkyqD#ACoiP8OXb1`NL$ zAZeCc*$|w!q^OX|{QA9Z3N{-7`G+R*wj>~SLa^NN#Hu?&y+ytzcOZ7YD)pNMyxJwb z6DO=f6>JGaRZthQV<7?=(=+Wn(<NoS%fqtq5Kn`RCxz103Y$Hcz}}xkcNsX9*T4XQ2{xv}19N^s z$n_9(dWGG6JZ@4O;ffkt8U=^`AW*Y${gYU zMO@VEFqtm`3_v&YKW;dJ{WMpJ36y6%2qFDdH2SN1S#-LN_+_#-hNYZ(xw?@HUWNMV zcpSZnpM*@s&EM(DDEvvQ)yg%ymt-x>?qJjLutgX5Y6Gxuz2Z}!c&J?@$s0O*s~B8n zjOb?yl;2DT*Ny`$3TLulz%G%~L*|>B+FTLckL%jLk=;K3eRWG69XD6>M8K~6Lqvm5 z@RA_tAhHd2tVL+k8wswaFPHvN?<=l|W%(-TXQh#3gkWswl@dsHFoqJU6UszZWqb-G zuw=F=7upMh6$PLWyIf#zIn7o`8dKE}fo)-;hc!3jMgpljT~gOnH$~tIt6Ezk-hiHS z5HyYoI=Nq%;7WdUCG4E)5#(LJN87a|o%6%Cj4aL^eVKXB)l0WYS@p+2& z*B_FsJ8W-7*ltAP~_wY-)`W=ouS$XcqQ$t)WI* zLRN_^^35yo4j}Y}lOAM5W&&$NN>i5d;R;43gRoo_lFFO$<2QwcijrI>-j~*TJIxIB z8>c$WhGDj#G1z#Pkj^|9D*L{qy3AlR^}%Jc0g#;j!YELlbqw07gOnK21M-wW^o_`S zE%Q_KO3Bs`O?$NW>h?(4niANXBbkb(Z7|UzAp&-aQAw_~?AxRmsE0r7A0b*Xg@onV zKu_ct4>}F$pE|FB5hKGRw3yKgZ_UlhJf(yQT5{*#G?63o4r~Pv9B)hpx%EXBquUf@ z+UCcfMee-Tl7}SNDgfqRtw;r|YQxR!fIAnt!ZvTEtGxS*e+)Y&5_EhL&-y#rlTP;7lH!58IKyr#0!MNX>vKY!%1qx`MPd$@~c?$7!q)Icekem<*oxRkfoF);o#6 zF>hh`-R$m!=R==EjDB6;b#v=-c^hm5#h#EMx~fL?R3c4OoGP9h7)@-wy{*Y?>zV@ueq){6!t@fb-MXxa#Gd6tZh4kH&I?Vh5^Lv|nqduDpm5x=ZuC!1_9&@-JC8%5;KBhE+b zF6nX|`s=(k0FoA4K<8G;G3Tg79L>R}pCD&<2vOdm^5ghv8DypixL2|)C$cOSS}dcg z#Qh%!H{PGzQKa1rOCNsSiOe`(%=FcaA~qJ$@I1B2+rQ~jJ+xrjWT-H)^M2LSLKb!x zZF*FB(uZq+!5q%ha9?IGj&(WKw$y9zyy`7y!=kaPyTI6Vsm&;=g`|}eS5wedp;`eQ zVW`Ojnh=UQI=F1iEF{hc3r!qcOs6~&F>_Q%;{MTBM6~g0*CKP1+tb)%^Z&ll!0Ic(c~?FZb@`NM2+GLS$hl?5$VE4{~$$N z62+(u*Q2{PAGRev3PEW_%7pO|A)&M_uWA8OILQr}SD%#-&p&IXiR9jARbeRlpR>1#rm6YZA5` zt2$-53|7IEsDRV;ZXgG6=v_+!(uCgbiw)@2Erqh2B-0AJ>j&$(bdJabb~KQqO?Ce5&fLp(6u6Ib~ZSo z{v;jWK$RCuZ{hTU$%A|Y*oV&M`$`9t;1L{N9b5CcyyDy^H%)q(`ox>9lJlp&g7Vlb z=dXSe>@SWH6-Rh_SBhF*S+ThY@;=zQ~=?BUI4c0TFzfiy|nb>-~~EIUkq$~b$~XrSuYzx+|FAB*{+ALJ&U79o8!Xb2b@au@>~ z)O21%uKW=o?4tDWQKGLAm`Rcd#s!cA8Z>lslhMs4AU&uFBdwoiIDQ~6f<%B}-xH7U zo{5b0c@;jtaP5ye(k$x&X{8$>agStY9dex@*~btoDPGu;RQa%G^JmXwv|;ndj@RF^ z{9eF{-xtH+%;d$g7M~ z$RK&g2#R64z^~*hs_%Wy%vb@dbhNj@GYX}jLSDX9j?9dT$v8TAxn*RHUFo6@bg9Wm z+GSR_L2u~Ls)mKF5xma!h3Z3jA@nwO+jzlrgzjR(;bkD|$c}jK<~?hsxh@M|QRx8K4(nXlHx5LS!!xgvt!WUZDhtHPI9SD- zY3(s%uW6A##ErsS6bUEL9Uo->Y@un0dmwL+Y`KDu_)IT{+Dljo68v6?dn=jyFc|&} zDns&uTV?7So_QtUam{#RuT7`B9@6AeO}1DyzhQx|B%OXv-_p+Vh)N0e#)rY#({6sW9TZVCsH$cNQy@6=BlUDlr|& z12g%<%>V4CF3qV)M3X1j)U>eNssspr45^P# zfJI_Jg`Gg(w#?&L0Fg(K3F2ha_SiP}>Dv%icrB5PZJ@`nXh4;5eI|f|Lnnio(QUYH zRY@5sFsO29I#Cj-r;0RL-m`%-mKop$-93dg3t6D>rtmiC!U*LHgRnmdnMr;*#trjW z`8mWEV>qjv;H(0tiA~<;3m7wXuS}jUa?SyV7W)Wi`6;&NhD08+{i*rzI@M496kj1{J zK!x()7m9tE86S|Kj|A^wnl_J4>EuHZg0XM-K{=&m7tbmCj2pop#_LvB@ zim?l7WVriTA$2Sb5_>XJ)NLoEK~&$j=OxMq&Pf9^AMbss^{b)kvxO>^p;b_$03r2T zn6iQf<$#?rjbEI`A!ha2;<1&*lhW+FvT~E+$Vucb&7+yxsBQiLrDBHL5H%h% z=M2-Hqc+W_^t7dBpq|;)2p!vsKozbFzGD1k*PReDVaRvaf@%r-PE#JgnUSpGSfmF^ z=*q55nO=gl@COKlXS^A3bLGMxiiMhyI~U+S(j#;mX5u{%#Jr-<3$DO=s^fY>Uw1^G zH$8(Go0b~mG#*fq%h)s&>@~m&s56d<`lp8w7d}xZ1crzu0m^F$x}m_VG7e@MVq~O; zkX}?4ZvwMwAmvSap}>y+_*FLi;y*s2Z1`G9!5;(v@!67s^}dXTJyB}_$Mvh+`5O@FvHSC5OJYSA zCkKJtgGCvzVjoX;E4NBHD{M(gs-qW6AkpX(6_dJfOS$yJencF*z|?3Mf*|z@VNZ$~ z0WE^yxdNep^DN`g=?6`X#@g&lQ$dYF539#7r)vieIgXWss5&qWrBWX@h?hPW-pu{* zRV9;UQVrrvs-EhxOz>k9;^^GC`kQZDTKv)^7Nn_}ktjM#NFOYpiKm-BLz&1}d2Cxg z!o91fDO<_h{V4-R0A}aUfG1`KNQWP5AS2DbK@>n1Y6A4|$^@7l@rn{C6w!z1NFUAO zhSwbXK0o%yL6+S2}IWxCQI7(0#1GHiyib-cJc#5S*sb)ewq2W zoiEwC>*^QQZQydES&e~ukQD`oz26^w38lzO1CXY=`lVGToSXh|ZUO+*P;#okc8Nf#*)$ncVbg%MtIWUl;=o~n9~7VbMEWZ0R`QN9{(kkVLGQ(N^GYL! zp124330p6*K;Z~fbKASpawX9T^bE`;{1fN$(Zh9G#%bazYk&)!u6}XBm99;66H-`K zeu5bVe}3v@Jvq4gdu3<2Ud*RyG4A0VW3wgKlylWrzchAW(2lylx{_Pu#bH~qiMXwP zVV&C&e%>N^wU90LW%(p}Vyn1&MQzBY7HI9}5{^FQEW8Y!oU@$we57!n*Y`h9;4)H& zq2v#V&>u~-3f>1jBF1!l}d!u+7H3We_)v7ORA#SG46eme*bUuBURff#EMckD9OP)k?8?sqc?! z?YHXt)7c|kLNMiJeF9c|uWa9<+{0L{d_8@n6)UbjvD^l%?8`d0*muj6jB!G-Fq_+{uQXxJq75V|dX@gmpbo899T0Z%q3sGMA4$=oWvRE{7pi_|! zpKiN`OAtE^dCOVkBZYnuEFhs<;gaGjMNc}h0Ig47GPZ)&l_;(m(%bjkZtiMQ6B;Cd@2Rqi6kn|$xC?IN$#F?u=*!m4Q{3$xJm1lAZRYY7v} ztm5t-82pEy#RdcleI3?hbNn>LbOP`LPA3$V@QFfLA#&MmumCLv>iGmftt%j-4C{fdsEytDh}aGt{e*n;DV@u`TcRjPEhc zG^|ZNOEj$9kUJ?WjG6x4D&bP=XcV!xIiZlBVzjAT33t$1L+uk-l$JE1c3z1w0FaDC z6On&VUaL^MVZjpQ3&T52Y?Fdw*sB^rr;A5Q`v8(UF%OWQmFJ(mN7(%xW!S;_57G-G zW%bMbq5HHxMD4;5YQ@l&Q@HF>wPbV|i={_jeeKqf2x8-QW>KLR$l|+Y5H-Z~IR>Vn zXZR2a-S>6KPLZdzLTU%NSY?QE3CBHE)S^#49(K_(Odo~7|M4nu z!f$22-0ao3l!j_ zZbOzLODndPUj=qD8&#nqH3rgO!&5Ox9|r`l>zpb4pbrd?KAY;LEOYQsk<$B?bRN*5 zg7d9nsW(J$s(KqvpMUz}AOG^Xb%RyE9ugDqvFqp6)VNP-GLvZR~Es)O~^BNU)G03t<*4nlWu@v{(-pH(S-m$*co4< zd$0{(teAn5=>R{i&?g9BbA+FcxKpmzg0yC?J&Y)sOR$`+9sVm!JO&J}#apv}t4TL6 ztYUp;&#e#GksWXoxYH#&IGcJY)mu#*>7#h^s)AQFRDS>V+F76}UOui=^sk(pV7aO+ zDAI$=M=B5cPI)5TT;j8H&PH?IbWsa}I0o7-*=IV>PO&^|S+t?dIUYJYPr3zCb1jHQ zqhFeerVSnmh&5on+tMwl^o&~jb%&mj%6mowT^9y-8nNRyMO@HvN&4I6#tW+>^75H^ zJq_MN(g^;&N;co)=JOUnie;zdo2PrPK>xR6mALFqg z#p9rv9U zqAc+gHR<*45(D~x-#gHd%Skb_POnyFldhcCLs_f$mRJ_)eVTIVYc?x&)^H9q@d zaVN0tgJAY4S+R3I`(wjjFED9$tEaKf9(dt?_l^#KjeUAykP^fal)(~W2`AX_?2Bco zAiSZo;j4-uOsp3gAI!ArSP&&=lNX92%L(tkZtSH zT#~p>OTVh2U@t~J9R#1Dwp0mjVM)${&jNq<)7V5Dp2MM@lhcKNP)bh0_MF)jn_8e_ zeAVr4k;=jB@QbuUC@?9TWId}okXBKz>b69=7h1{eq|IhWqr%nQjYGDtV8@hlY2aDW z)q2B-eavBhazLI{qH|dE9FS*MsIQ8plbNuH5+98($w(eI@sRpzJwy@T@dNNPu8A=G zY*e#OoL%&Eo;kiXd4M?9wM~*#q0d8?PXB8Nf49H3C;X8{)feIK%R~1Ggg@F;!Q^e; zlXfkkjJ*Hy(9Ita4dT4BeAcd=6(p&;34hXSoUI-a};Zy>26+UPIAyaP#A*Qe_#r!p6^vTd(Nd50<;7ZVGP|585Dw9;i7lD>$s2^6;Nj+T7wn z>B2uYZgTwLgT@WjZ~~XruCal(K^o4c*`M>-uQV;6Hv3Xa9uMDfs|Q0VcKvv%Yd&_+ zupiyIViV=}q2zs7u?5E)p>EbJ`u&OgC#oTyWL2%O@rtgL38;#Li_(H3t3cqS%emsj zQ9&@}J}wd`j?>gwyeQxk2{0s_3qyHPz+D1;@6(WsEnT#9q|Ud z;n?8(4OEPsrGkQ%gv=~}@D`#xWm=dCz!;-Pi^{$pg>Z<)5jYZ%G}utJI5gPCu>b4q zcPdltOs3(H+9Qr|L66^w@xvj{C(LSi3>T)I6 z%0K+#x9k7Tzu%6X@(f(~-&RbD9t+}jWGcw>esEJV~CEWsKc3^#Gl%0ru#aP}M#PS|0okPJeZDKK%H)HjI=uxBc zhJ;6>bqEin(G4IrL3|@Z*P7kDK;=Y{UU$N0dc>lmF3sY?9y3{x)=8Kwxl-E|AOR;{ zKQ3GS4YI)C&Q2^P?D#|-%%#K!Xpsq3IY6I;)m?LODHm``6GuBSHynSk{7v@Am)Xn6 zogqe+e@`Y`BT~zckxqT_>T%f_0%%z6*u=GaErpSuK&k?wAVL98BRWd0DehiFWkNTxs~ZKcElj1Y zi1w(dLq#+dmd}m6()1Ker6b-nAODm;Dm&aC?&ahf{p~N(b@;uUTr++1;ipu*S1ym` ztJ!&}!kfyup$8-Po^rw#%Sa6-xR8naGypkM$)nu;smM*L@eLq8)ZYgnL8-VjlxMqu zc2NTsYu3yCMZ6|i!__n~b(3;vP@p&%W)5X)=YO;TZ^Qc+Phqk2C|a-R{LLTDFxJ{6JR zl_N8t8IOWN4JT<68-MO%<5QHmdCL6s!QYdy@ngQZ1{(3QQ&U6}DCNG`_+R$BPq5!5 zHogal0MU&B8z2#fLi8= z++^YDgN6}Z{S%8a;Tms=Me00=CDI^#tGX12wNElnwLuKPn{ynp1jyT?W7&`;h~Zyo zV1h{ic(|`9zR|Ks7A??g8VXU*9aJYV@d{vuj5bztFakG3@uEkku3>?lDg=6&S1@M` zfD5uh;2?!?jYOrnSeGMb0(8=;gF|yD7i(B5QY4a3#Rl5UsGe7lNH#lD`FL+_zC1b} zx64Ub@iG()SuQ^Z{Gi+prR+38_n_k+1{V5uH3_?*6MRT$91|5CR7>5bcqBF~5ouWw zs6XglGu-!J2DLjYL9#+7$t9Ly0(LKK;SF>R(|sjPhZ(QN<&ksWhM^wm&>__AjF8jB z8d?DC)eEs^-%x8gzptc27Akl*;a_ zO2-Z;WoE=o;7lQM^d07v|c0D<;YD zv2#cq%DucteA=b=FX__53(a(N=}!Z;R$V3z*kD0`fLdZfP{{&Y9oaCBA?xn?sUYom zf|bECp5C4wYEzCt;^N5gsUQYJbqKF=ZHG?<$nTGy3SvgstEmAEoZ7dCUYwr_!Zc3P z=JRawLQr+n5GqL|R8^k~H-!*~d#=lc`}WtALgjCXOHfUR?fxa9`a^W#ez}Ayea=fI zR3TgMAHVUpap8WsgsM>|k63CXJBw!=Xe5hEAE2{{};1iFO7@HAv1f(*^m5t{WSB<3x>ks)QXDCf7s_@3Hrd1*NtRY#;N z26f4@3QbZ8y4Y@OT|ZKQZ@JG?zE4@@;?UQ3PZ4_g_(7_J*4s4>$gX(s@7PbJg=&asEswO6KOG+(&-&e0))!)E}&2& z;W5CuY>|Si6e)i3zyJE@f7=QaJ*5eli5?VO?n)En95>x;O3S5**s)TI5Qb`sh)kta zzI%41i9oQ;fW_x&6?lJtIlf(Kf_iv!DpX2J6UYKLar~#1CORGG=ml^!wH4!z(nS8b zGmJacqP(y)!H=g(zwhzqsHx73FQ-C(M_C8x>9l>A2dJ%ShEnq(=8*t}$wC5-8m%WdOWy7H(C6hDJh7&x9 zZIyLdW|#{d#I}a=(}qPPEvU|Lo`8r6gPO_^8U%<)Uv<#D0Q&C>&hVsm8n4$t9=Y?x zx=eILE+L!jn(5h`-FbxKH7&9_@mRH`V|9%dLOfWNX-yNca42fG*cOI*aJGdFQ<3|T z){U7Zb&&gU<#aV5T|HRfJQ-Uoc6?IMWoKy|*nN}{BANfaY{cj!lQ(}7fW2T(UfIfvOmkWK`M)U*2 z@z>zH>2}8~YV$tWI4}v!J27JnzjPbEy$s>d*(Tln42CoL?ysoK1uouFlAO?fqt~HQ z@iH{CtO<+&WD^~|-v{$3jM|8qHV1Fa{B*py2|RKni51czrZU|JxH?n}dJuE5nApNM z>T@qcY4Z%iHXMqxc3RoLfl@Eap)V-YZVals9=PJ(ANqKF8QQb6Dv|8?GXgWSnq;ax z??5>UK2C_c?Kyjbn;r_DGh>a{b@E@~BnJ{I;|`b*K9ty|+wOaoi&P;j1Dv{dVfP6o4^FRUb@FbX~D zgBD1`(Nghgmns<>+LIP#dPCs&jZILD(Lm0}Mdnb29R;LFAwdb9aR8*0%SqI;eE>*# zt_Xq{ZNo(io1J}?hx7SoI2^jb{6MNH$-z5cK>*xTWE>36S(8V&qf*V%{GU^I4z?wb z#U9n6vllQ+!yW_8AzYt2=}Z-`Tnd$Dw#`EqZq#!~4A^3?fjonJme;^3yQfuZojHFa zA`R6DSj+*%n@0r9rU4CB;v6;S?E8*uYKwn`X>Vfvg9*$(s1$)UpO*LO@N>3MEN2pom1C$^ezKhg-ENs<|Zh{vL~XY?oJWAo&Ka6Wb;hpP=t!KD%9C zz4q493nipx&#WRS3iK2lIYPZN?W{@jE_EwE+ho@#fvw|Cf(@ZwDu zFP@ka_OOWVz~_{IEjPGk8GO#Xc$%NYi_hQjo)=GOrvUF2N4PAXbpn6#Zs2o@raHmr zI)E1+vLQZ!7cUf82QPju{I;uk@wza~6Yx2S^#)b^eUCp!)dO#Zym%&!XKeE`@HxcC ztyEyWn-@P7l-bX~=MIE=>k7ZI06q@}BJ#@+_*{Ce;C|-Dib|d3(zOES5S%O1HQC&p zFILkvA=Ty}Y|i^abABsy45kXR6sx8{4@NbepjswWx{SaGVp16>KSt4BLk)3~5IDZ4 zPy;RAa+i@?ybMSXw0LVcL9xj+8iNO0z>31(0VfFX*(g0;++IY4mUJ5+J8|w4d@((q zP86{f9L3py_OcaRg&Yinpa4J_-PehWSb2g5c=FrJNfm?bElU zN^C5GNJHH{tA|-B;z}K={duYD8yF+62T-rmI)h!$0W#c+yj-6{?o<9g@P-d7F0j8* zkvAPaZ*Tv0TI!Xe6D>k7Tmjr`FPB=_1O#mw%JX#?+XCzFl$Pnbokp(DWk)d{jT%8Sv#3^JT#I)&_i3A9`~G zK2Nu2Qn6^&O{CA6f~w%t4EW6B-}gQSe5`7q3;GL1-9*-g4AuJ33BlUN>WXWna22T! zpxCw?ucf=;94n`U=`;HSpWUO1}Kh{JWH=W4X*)Ua}_e<3NIE3 z_krd3WahGxLI6lam`NH5ieAa=z#U`<6_C4!VQ5m}IhHQu?(;Xp0XDoGapq^Ur(;>Y zim2OjD5z`ZC&g&KQQq3{Zp2l3AgdXIfrP3I*+=v~XEFhL8KO+k!6xQ(LDL20ZRi13 z&@)uWVu^fCvYxF2qoihlNS*k41go~j_)qEucQwYQnrHoB>n)ok9hh$hE^Bx>(x>BH zovYl;4+W9_igYn}e;S*CXP0J!h+^GSRqW68H&1Rig$gV(`;j2^I%AavHYPhmJ-? zXX{BF4L`SX7Y^biE=S>>8=12PPAW(bqAsPQfBgYkKVxPga|97JH`YxO5gS~_y>`?b z?fZuG?MV)jQsgsh2s#!O1P!^uqJt_A16>ey4>q>Mxa~IruhKFW&p(8uP-UU^xGgUp zLof`>)f_ak#}a_`2I{7L3q(2@?yda&eo-xjrTW$t_tbF%xje#ul7&c>vnJLk0*D-I z$6{%hSHDjX9{K?!QwPsY>FD37G(mf}TcLJpUi^Gwv7RCeEVlYaKB{7GTUQu;IpVK) z#J-O7CVW{m!_q5ay#q_xdTJ1LZ(VWxf~~9b;PFXtnA)u?ZsjhVo84c-HN6$8gD?_+ zui;U~qgZOGf8ASz)y0YJ7WDm)c>{i}oKQ zY)XtBPK7PPb;1_KXLulNK^>ANzF>6^iwzFmMom1ug7>FvR$qZMHoO+$Fccf6ckuSi zwNv6$_@=}u*ASQ0+{bhc1k{SY=9`Z8Ckl~h;yl}tL~QBdGBT{TK)+V%9GM?tYX3D{ z2qOFHmnP1JtxOLAhzq`tt zs%kZ4j+frmFtcHSQ)olkihCG(vq06MO55b^RLTCOqyGkS5k+UJfB403Z}L}e@t+$w zLK4~;B1#+hu^Ctjwdfa(Try%S19yLeLMcL5gt2gR&kP3<@R;iK%tcBsRjFS{KR|<_ zT=?WR5bKX8F74Q66~~@vm_U{T((kSU1!`1YJ=e`D7OsDv9y+Zav0Heaq$so( zD$()68|1!FFzoy#cJV-wHkCb50++;42*d`f{&EkJSNA|A+Ozgcd-h9v_Dg&AOMCX6 zwP)+Rrls*f#(7K`nqn{nA%mNm+0`DPJPm;0;HwbU)u>Kdm10y8s)MMgSE^Dhmdl?3 z#Cnh%AsziYDfZDi7SBJ#$qwGE6;Pq)o14OHO4!B#*4yHja#LRphN!^ur0Uh&DmFP6 zylg0-7Zlv_nE*7)AS6<(z5RX}jg*@L%@`&&qncIOy{weTPmQ2@RM1DB(YzXxS^>AW z>MUdIHaXwKA3%)2v>YKPBJ3m+W?Qv3vRaLqrtB^n6fw6_73$Zgdnc79F!tn>Rg394vq!o;^)#OnyP|&&4?Kd#NSx=vCIJ?e6fr{KK-BW>CLHNul z)ZpzkH(O4h?>%33kuD;OrUd92z)O_#sDzhb&0tg_Mr~xoiO4aMai_<>TC(H+DkG>M z%>WWo14H@dEXh_MB7TAqzHEIhNEv!v^d98Y<~|a>Ag7jwV?%}Vo=Et7ExZk5R$QLr zIQ38)El=hXYop@J5#92N_3~3S*fVtm>E1} zB-e3fpqnwv@!q#)%t}>lUeB04X7Gm3wc<)Uz4D1EJy7^4C(PV&#JWMn#xS>AI>eCm&FyH$nCl-_ zJo+Ax1S&bnOee1jcU+zg3rlEiMe2MCae<;YrOa5dV_hRsJQ7bT1A3s{P{9(Sj?TSc zd&TMWVa20=IS}SaUB-=DVDx%z9>jMv%%! zmxCB`{_57Egof(6XsEX2+1EoujmM0dAVagn_;+2e`DOh@LwyYmbb_{GEyuAmgnKLcTdN*)(qRUbjq3@x3M=Y6if=w@YoUuZdKHTO4Bm(Swym6Q zQ_okC$Ew2@gHzte5@4HraTtXrbPkXE76cu!UNhGLnYM~vR;3OIU?rE!fz=vT(tr05 zw%WKAC+*aG(jgbV(-l}&CP{i7EK7Q7zW&0hC-Y*tcpNi_jav~BQd|?FFS$rWNILqr zy0X@#FYRP?pwQHUf*hljfI=I-al4%mUv=YF4}CG%0$nrK+(6T`+#m4?e@;Jujoqky zlNfRIazaME%WsIH?poUcSyv`?a)RMG9+*wmN_ob9ELZDK)0w zvYsi@avVohZ-HhM2Q%ynlxzPyE0C<^e`118qO?#<==lew!Bc0;7;gRmsxTVFi$TTj z6Gx`qE7o+(kS|yZda58XGgwbzb&di_#nEIJ*BkvecOL|eCwJCHEFIBzRnohdC95u=Z$TA&#$xV%w!7wrx2K#j+{17Bvqj zXRMSjQy;P*vE@Xs;EAP?z&G%rsxBZg9zACZeRF%mRyDCa&1b%x0ZwO37)&=qfxn$0 zR(&lsx`RO|~gaqf;QsXkpE7n~s;`7l6ad~4r*0Y+L zi$yi1TIDvlst|aA-JiT>Xxp6Q8lANLV=*v{bb{LeYB&}>+iXm1ZLagmxCE;cE|MR97HcZvC`}fJup?S@l_~=_l_-s-T@05`|qts@Up`kyJ5dLwtg_m&-oZNUB&0 zzpX%ypt7A$44pPog)5OUPDmAWu6dVxke!e!6pd5s$8WqussP79XHVw*%_$`*K?a;>IOyebzz2`B4GoK`se8DD1xCN0*LR=;W;s z?(zh`0|f+~RqOJlGw4Sj#HaT=amv=E-)r5I9HMcN6fd9T5Y2DwB65d#^lxJswk>?& z#o32mn(liJiJ~xySP|b?j9#+&!v6+*KCzA_yQdy3SS!?yXIZdHNB>sQ!a6NtlbMhW zpAoSYgh7)3py30#IK1+u1cY}YpiAXTlm9BD5f}85%M1T${;Oi~)i;ZEq={-5o6qn% zzgvE4{K)SXoqGfG)mG8lL#l^s|FTq1-1B*(e1BcTQZNAnZQsHtRD5-E*rHw(w$x`| zOnw`YkgT1auw+=~Y zc{DVn2oIi1@L**uY3nyr9kJcuB*-2CKmfI%Oa{PNV&8Jep(h+fO6`m10`QLjH?sKs za}~O!#?Hy^1C?oLz0XDDW;!Tos~Wm5?UUulrCzfPpq&6qKp^2bKOOZgL!2xz6O*HSJr<0~YybygJgqKfD4n+>Dc_@cycsgmI1#_Dz?@p*P*nJ2vU8_q= zrDY%=l!V?v468tmcM_6xRa1E`jB&?ozc9|XuS++qtX__;ZdWzuQ^DpKnZeTOy0oM~ zjknTq6RWnoyz*P!*5Xj7M@cNT_UH%GCCRImj{fx+dU4Qs|3=wV=~nz zUo6Z1YT$o0u9jk7--_ER9sN6TTeoyr>;%_=1VA0>hy)nzMaxf35EpVJz_W-8^>kh; z41f$07-$r}bVa!jzPQk9(*`B@(MnM-?)Y_A)-3{iWcYk9PTg87=7z+05;X>wXjii}IOdE_`u6CdC+z9WLU+i#5yMDT-N_zPLhl zDKKX^0v7wTypv5rtJ>N%N(4-~f)c@KVYyq=ocngu`P|R>sX4W;{0b;aoVRkCdN8do zANkKy!0nC#4&2diQ8SQ2qQE*%0(wBwe*@OBbo5D}k*7Y{2bV@~GUsZ>u#M*)-6-U@ zkoy$}3-1)`tg|moyWdDEcbk3jk^kzNM}79CnV%}=-4($*$X_1v?%`lZj6z1xyJWLp zTl-H#;LGFu5&w;g*Zw+uvFLmU-5QmJ8IKGJvKHW0E!+_ZoQ<&?me+G}mGeES#YSq-Djz3kjpT-^F^&+O6T~#B#R4 zMDt~Zkyg-|fErI(aMz*&UlR@0wPzDHJXtdo#d~FemLIwZ8s330LN(M6b&&lw z$IL)n9p{Ika;R+yf@+6&b+br$Q%1S`47aCeIP<2^>~e|Z^2CEcN}J-V7W^&)tfMY2 zrZt72&uDzc&<~y}n%=6HmDeGX+P&dT@Wj<6jIVhynOss$R|?a>M5CbUfZrbJXf(V6 zDHa_A)wv$LE7wjr{NZ~BQclD7O#RJ?VG#$&Kk6IhEEML(2R*himP5m<>lP~H(jPb+ zWp?ldxo=#+bI@VBSEmK8N9oO^yv6d?8Pdc;3{YS@l z$$bVj6{GibW-lFQc6H%NQ(FWJkSsT3e`d=}Qk0d=!s)PYuTG8}cTyx7k|fQL8f=-0 zI3)=3D7{YDRU_Q}?bS$gUY5*3;fMHkwp=(Kdo@F6g0#5Wqi4d}l}uI;;9INjMojSP z*fyFL(u@v{MK`9U*Hp)wqqwMLo_P=8<}f-PsgZ0mD$O=~R{PM5R!1+*xeWNZl~^}=(g?%CG>=%#1|{ZVE8vNvm$smK=AN>VRGp=hWK7g*8@)7c?V0=W z(Ld@_Y@-*R;+CRri(P9;m(Z50dzOw$hlIkK_&9DjTdD?jQv3{e&r#ds3VxXkXbi3a zh@}~{0fzAe^@kJN?BFUrz|JacHM%U4tP#)?BU}Fb*`#0`r0|T2UQ%zW81?~dou}~6 z_Li2@ve38Xf_gH9FFoB*-?lr4m*R|=esIWwb-A{OUWtNa0qTKGSjc{mi@w7`2~4;Y4pMn<;_Mfyz4I>eQWes8olr?f3wjGZ@X_)pDK-Bcptpk z=)Y}ku-Uz$48gXVWD#2{O5B@(7cZMvpeNXHOI5^Y|LNcUpP&8y_ka4QKmPlF{N)dS zx+&1=sYid-l6HzcXfNOC&(dwX-1_j@U)<@>#;8MS3K7*5>wGF%h}?aM^k=E+762D? zR1@&}&Gly~$eI%~K%zgpRf%u^l4?}X)1S?SWKZ;GNuO2avbBx+v&?~O)Sorr(iQ1^wCdjPYGuUs{wKdQ(-9u`;Y`SO#%`-|rbHAm~(#Je(Bz&s2Ey-|1%A zTsTS(XCXL=DwjstYq(WWe>ky<7NGx`bw)+P>_{7CQNC6{LLq$7-?j`i82IY(LdiEw znY6T&@Lr?;w#oMB%K5g*_8>4zi^&ftBZGjV(SWZ2v*- zJYW#Y7G_Tzy%dPkHTP6nP7tmsd*a^FOMy6DbN{r_OQmc8{6vR8aaPN7PaGe!(~HGejj`WOK~Ljv=U-%4o4NEY+|Fl#gxTY~{^XrV9X-XM7wJO8O#1xb)C(uK8sF8+XMtICWY{n~g>XaCfS z)ySh7kEn)ftxZ^$GmmHe*&JqJo@hJ@M7N2gPE?DiQ`z8|Zf!N&>C*^rZ8!om7TjC@ z!B7}vq?la|t4h2q2Jsd<=wo3}b@XDLk&Yk^&>HY)4234vjObu(qnGlPdglIlqn8Q- z974PRU_}M9lr#h44|e3SvC)e`e3y*gUo`q|+nu+VdokMWt>#{e2Yau%mm;R#Ywo2S z$d}K3DIEDzn5NGU)k<4OC_;?~<$y?~^j$R}xK9|3AqH%|74vZkrLo)|!4!!*Jel?g zcWcVnan?oifMi?fNwm0GoP~JSEo*q*3W8^JAJ__19P_=+wV^(08Ik33@h`au7Q=ACwgnT}7;V-`e@+ zd|2Oa3`5!mwgr=YzC6SMDuoP8iy`@y1YbMuQylm3r_gi0w|j!5-SQAy-rM^%jytjD zPYB)uSZN$9H7$?R_aL@I`BE+~_qGGbLVZgCb)^qi^r&y93dIt?*Wu*o5%k_l$=fD2puYb68 zf9B86E&wQta;bbb-1UFxnp$qQEv5d?`oCklX99tGiDjDgZq4(OegB8DnV{$;J1Pr$ z_2&H_QjQPI5)}1+6m;IrPVwcCiuh{=_o&BFpaUy<0p~F?`|2Xu2 zlG0UM|0kfwMLj%WHnh7}qyj)Felz~ULf56tkB4!DX9FL_ne)h@{HQOlzkvB)efb|* zUp~IMV2k%ap)ZeV`RdE(eR%-$ed#wAJ~-j-q0~j1c_CC5m#C3taqbAs>vDC3%i89r z*$+k=5n-Y5$KAukT?)%6PIFnDHu}cJEldd3V4^H~WR=noRe}OfE~H_by?z?rc;ni=Dv}N#CUFX*Q`<@+tE3E^+*W7=vQAVv! zWN$k6-)oeS{a&Mt?DraFWZxcTbOvXp*iB2ZG0?`Gk<`Etq{Z&GI;B#+(#v^zr(Gne z78!GZoW7sTq*zxhSX#waZvf2>X_4U(q?aiWF+S6h-JCj#xsthGLu{thByn6{*bMq= z<-cK2Q22$~CZlOu)W}buJyNkPma+$Yi+>O*5_`!p=sq8rhEQuCVUYr2xWnvN%M~sQ z6=`US&69Bzr7~mMlz0QuK*hr62wM}f3a)%$!;m824Jgm^F!%)qF`IyV# zHdc~Q`L?_$Etl$Oz9FzmD%}P_YL;{75Tw$RqWZ{&3!vSP64?;KZCgiDkR;gx=!rE8 z>3E;Z+W-O_3$G(6)3zM%=eRJjS8~jD)d!(t%`)DB9p<>2KhM zcm6n%Yqq+K#1^h_tJ3$!QN`hkeTAL7k1VtrQ6<s3$?}nAnTF#l z*YZegBmuv5i65{4z(7C08`q(oCkMZ&DV|(v!Ued@^n;MU;xF*qYrt=WmiU2!-yFah zrN=9&AJVjF|M-pnc)@Q#{@8qK&cJVufh1E6WKq8urDbVOojFp15n}mbgrCpM=BV_U z77c)N?4C5=z81UJqN^ap?wKy^9$grA&WmAP*uC3vq~L+OT_^Dehn)TkyZ43N`_j~T zL+sv@HFeIgdr|@R+@JssZmbS%g18P1+?M9D1Kcyp7vq%jlDkr> z9xtOy8@7-`VYmt?%f3wfM){Lxf5K<3K~72wpsznOh|0Mx#nnk~ zniYtL>$g(EAPNO`%634leoF-jcyFag9_qyG){q#GN%fD{0Wzy=NLobaIu$o#=m(+r z5?HfbGt*OG{8L{;(sB<@M7I9)`H5IwL+~U{>BZ3O@7B=!Lp-Z%sJnvB8yTCj+|Z*A zb}E>{g|fv!vc86--d7NE&pX1^27t>yLx)*QKk^(r+FJI^c5xq;wZ0gnUa5!!fE!6W zvK*E<7;b_Fjuq7+u-!15uY}^(XHyuVq>I7aM|>4uHmy+3<{Jra*bT$4lpg;6U;py2 zf4o&3R2PdI>L})LwcJ%4s4cPtRjoKE6tW!(*$Wl2^O@OK9H?g;ltUp~Q^I@S^Lo3A z16|7@)l)=;Y>z^=%7*yuD-JFz`7~A>PyklL5B*sk=pnyU~$XXg6SE3Zy|gA3MtBg z;}g!;fi@{e2>~5;wtE3?Y~WramYs6b=O(Qpy4i+kcEt>Qn@(}an~Qy+nP0)Mak>tS z0K*{1a@TeEV$3u;<$3C@%d0^{sShiNOF#nxZQJ0*l{0E6JH~y{4{SN8z*0sa0>p`F z0V~w7)lMpc{8la^PAY=@RxTn=DuVo0E+S5fTz4GphXM(6`&1Ore;p(IlT zW$-W5kAGq5gGLu>D`zDVy>w#zFgE};bf3%Oo;2mOmX*t)0$aXXK<-+&sVg9ZoDdPD z=By8h2&h_Dl*{2+jJO0Hgyjkhywsr;y6Gv<&@{mn+hxj-H6q-^P8e$^hDFY-do`Eh z#5bbVV-`g=N){9|1K}Gn*o<4JQoI{qjlOMZkBeiyA*v-fvX@dVrN+IQXnt0KsbKZR;3bx`IxW%L&9 zXI061ra9X^+{qZ)3EMJ~0!0F-_IVGb3^p1&*&9HE(g5KNrTV2-*4m?-#j=+vGcKJ* ziViGDA+lw{_%f10O!y#i*)n<>@w??#`~H(HrKaKxK(#JEj>_Q$2m1#lhl`3ZAX|1R zT62fP=jqB_0VZyFHQnTo$^{s5nx0SsUS@I{IN*!-1sD?54!Ea0jmc*)>9;GuP=Pzl zU1UqGfl^T0t|tY=Hl-w6kv$G<4O>mQUQh*m@2&S z5=MoYh*{yLnQUnv|Gr`Y2IY{cQ1K~ZR3;Q)3Utz90p<*bQ!2n%LR@V&x!o6|6fM{# zWdR^AgSiv>vAg)PyB5E#Q9?s(A|zYdu4@H;c(z*CZz5YJ%06E65?}Lr9aXNCFS6we z$d)B6;`f|vX{Z4tgg%07=?a|sA2HbyH)lRIr({b9vSp}UD`!Po&xQv#u z@|6kligMuk_k9ha((eXQE+9_4x|05fMG1jV(9F>$cn8hWX92XA8mQ?!B^mUaN36=~4dHB|el$N?vP zHc%8{=)8<_jm5HH8i*%Ukr!wKS1MIFgt&!7!*8LhH?5#=%M%?cJ#TABTJc0T@*v_` zxd~W`jrr=AIK*yiNXiqPVQ?$Bh8@}0bX~`^$%N^5Eo`;yd zhc*dL=cMXeX;FpjL`N|%T-0b2AB$alAv(w>bey??e#ucJcJl?`g259ofhm^c3OrpS?;sb`t1_R z?*UyGP47;+ZjtBo9&}y0CjBC$>uUO(Ei|D|>AD4nw-T?)eQ)Tx{Rt%~$#k=H_CJIG zQYb~ay#JxcD(@ypEANR{z@|uudCGCp(P)IO=Ru>9N3>hl=QsjPRJGpNNV{DCfr52* zKmqd0cLo$S|d)`t<$geToTVC_h^!K|WbZv#I*=O5!Bf z-8jH$sD9L(Zp3~w>|N60Ix50=oAoNm@7)a$S*kq{j!d^9bgZssIck-?lSLjs=1 zoD^4)Y5vwvVl~s@`YgMl`Wli#?WpJez%07F1&(IX;CnT8&Q4a)E18oG!|A0SVplTt zaLh|eEZ2ngkYg8i%|9rfZrFQ0UQ+dqCB5I(Azs49dc88pI{a>BkXH#K)RvC4vviac zP}%ufUOH2_Upk|b0_*UHt*3W9#NyI9u-R3%+`5M^W=(eZ84mHUYvAo5W=)QOw|ij! zCvJ>-wx32S6z>u?H~xTv)^$e?)z5ZR!afmHM4N|=D5nx8vl_pkY({Mso2Ri~RKg!3 zm5}f~VanlsCuK9*(P7HVZ9jG@A>#r|lB9(X;x}4TSkvE%N_ggUt3KDeRd%#K$LMyu zsl*KVD``ffB&i@~$X|KSjyc8s>+gU6`TKwWKcC9!HDQ{h4KANFE$+*a714NDC$K^a z>KJOs6eoKUu^JlK3~a`s&w_r|hnYFgxxiv6dk(RgEGP|(ETb|(D4SVu{0|=$mmci8 zHzF<1Sm>HzRIE)?DNv$$8nVy0A=Xd!Bw|%2)Oz!x{aj3H6oD%rRQs*~nu+x$QEz2; zYfxv(X{AxHB+jWbE#;Kvmm$TREqMb78+|F3&G>N8T&{K5;V|?v#0FG36{~@d$b>%5 zGO;KJYFpW>khUPu!a*0G1xa;75g&_+YZVQ*#|ezriuD`e`HsPWnCL16aIgWF`<7~js%K1YBy zp2&+3%4v0Bm?tMP3E_G#Jdr1y$c6LjZT#$A=ZS0q<(z~98HOLRB*{@akpbm2Re_8u zM?oy)%Nlu1Mg4ZJW@~&VW#_QgV@|QClx?4`Jti$av&cM)>4BM7A%FsK!PRr7PL9%a z1noy>tYbw(PAwh`uq*uoNN31+w4m#*`R>)xy-HQC%HB@)F6fX(P-2Y(HKyW zX{fk8g!?+SrsrX`maYM{&VWycK$&Cp=;uDMX9`_w9Y+T6eQ_{q9r=XTa zP33z6H61QVp%glncxF!`25JM@$;KxDYL}yLJPF(Aa6M(9Iz=5n*BE>p;8Yk34X&v) z)ff*+!M;23l{jJON}6&~2|!h1>Y-9J@EqTHX~EuD3L2n^WGo87I%*-?qB5>Tq-d~QfJ1M5f(^o9H)0(%FbZ@Fy(<=Bbw2MhOJu3Jaa zB!8hp(qxsoDxnB_n0?Q%7zgAM@hTecTMh!K!pvjQGX((yHZ0kkN^1`)70m8SiJ?LJ z?x1}!+S%-8)Es$1y`V8j1b7a^U{{Pp@YPrYq!{vb^~3c(c?bS^v2efw*6 zu2hWdcp;gA3+b9?5N?6D!p*G9NNjxYYG34rS0JT;S};CQL@}`WuH3;PUq-fl8A<3m zeTIc!be%7{&i9tCL#>mbiodVmXG?S)DBaw9_@_u*E5wz+tN%=8Gwa0Jty);K_PH4Pm~xRzh_r zus{u+R68ltYcs71$Xr@KP6}CEaH5fkOwQmhFkJqY_Ws|?gIKJ##)S{gSW$B-m)RJA zor_ZqdbF*xFIA!~6NoDewdW9sx8aK!3h!ebyDc6uOcRP;y!K&WeJ;_|<&ak&zE~=b zCXT(d2@|N6it6T*$n)#)#l)@=-ZnF%1ibC4VZrqIr$7GjFQ3~jrqaKf*?#KJ4=px@ z!hw!XP;!u|;3Ux$1rMJqSHtf{d@F!C8?tlh8!%_r;fs$|RKG*}&KRPe)`u_Fltv>( zaH$>J*f^*fF0~VXwk@n{=FZ|FNE%o1_NMhQJI@AH59*PPa^H1LdtqV8yf|SGGm8(sGv3^9MeE?C3_BOJDz*NsI!ohp{*IT zWpd^sGs#AOGcC<~e4>faC?PpeNe$?QB;E)#7Oq@cV*U}fyTox7{3D-j_c8vFxNP@E zM7HcLh}k;`^r!ZtirG7ytI-d}pju+n!XBvB5DTpFaO!6Juz4TK)0HAH4yPHbNwptN z)jFOOKMdJ19!kPMKS_4%*MIl%i(mW?{b2b2*ZO}xm7nbAzyHUd|FR*8WsGn``eSKH zp*ub1kD4tIX{FQx$;rwgsR&)HNYLhB&aZ}}a6?KjoNP0_6nU)p?Q&p7TKKG`#_61GYi27sz#<*?VbF6Yz=j?_ru zma7;BQdl*YT$0TU$~5J+twOghCwH{y;#HkAkHMQ#M7mgGKyn2?G#o?3#;X`g1<{Ur^+7yy6gnraDsUFgrW5B)u_49u`s_=)NxW8&pa2j4 zEJShzi!Y{~(=sr?oTVSUUhsXL`%W~to_Ow`Yz0(b`C`ooY{GEA44$S}U!Q%k-AWG= zLgkedfnjfb_QkRhujVn;Hm+C_cZ5X4;0^#QMom7XS5~U(94a&wz%Fg}Fl8%$kcDD` z_{@jmNHWbjHyU~#4?=uw^2W9I0fQ=+1fR%*ke)k9Vw;szXTAoC$L0tR;-vYmW9MCO zv0&4aaE}{EJ^&if^ls|Bw+>3?O*b~EHW+%Vh&HcRY&S%EBsHeyhH`Wp482R6L@(f* zjKk13!O~ddfEv`|sgi5*L*)yG{slw-f}wxG(2M7*I0Z)#ez4yt=ddcbnPXl23zgZ$ zcBVbb>`Y|i*dS+*bp-X;X=a@shcAM*m+dEc*~{L^5Pu=?8_x3<$|irtF%Df zF7Rd@t*iQOnHeq%KN)ORnR@Bz{XSkT+4`5-Yx`6oq91_KQ7&}w0TX%-nCcpm#x*#! zE!T%ZYk#pRNA?;TD;|ft$9LR4@yMQw%mHVncxKyH&R<59Gbmphg&&Lp^ja^Eq)C6^ zR#50u)1(Jm0Ip(;4;@vt@nUG_tF_1L!xzINX??y-`?@}SX%vOU!|^|pdCIkPUOWJ& zvZ291BVfI0#5^iE;qhUc`(r)0Omz z1pudeZb9En0Vo0T-%!+EXeG}S0AIDTt0Wvk@Wn-5K}a}8l>(&|Ax2*MtUpvGQ9enh zalHzq^=JqQhdCd9Inzd?E@7>ZX^+Cbq-B~!f#jP!w0Gw&mYG~H_#oxC(A6tuir$%jf#B=PH>R>`^YP=^+K5ZT6*b(;eWfHHzi^l1RAfs@azo$t=`s z@_u>6rR-TGgLU}AA{k4w@geBu)8P-H^ebptX$HZlc8f|idMh=Ud!`S270i7dzVy^* z&@bPJA7{_}F|SptbbWNkD71O?WoYy53?}%Fcjx&ayJAaWLouXq?7n+gtxd zys1@jRC8!t-3JTMdhZKwTHU1krxMH_vK)a6O ziRDXw2qMzf0&Nw(y99pI1_AC9bI=g_%&)<_N)CyEEVt9RQptgSmq#UsAi#$<<_`h_ zOvg`1`c)zbuzvvrIG09yE(91N5R0%eP!#4mspQb1=Dk$Viy9wVCg_zQzy+m)%OSu} zk5DCPg_4*9N1=44cglR%ctJ7N z2v{n9b&%dWa8(Bo*xPfsu&mD@+!cnj4lS-$OK-=P*ODRSViTC(4Z-Y&)2ZCe(uM;;q%=EBFaWrGAU#R)Gp!%#W(JU-T?3fET`9fN?S}4tYGRFo zTZ1&R1h8F#%>~;@Gd7pPp%!dzEg*|;Kv;Zm;E>@mphHQuG(jqxQCh}+x%hv5A&6nZ zbg_HiektKIseuC=Ps{MPxv#GT;bOLQwd~GvGF5TK#cb&nSm5Mu8iThVG%TO<#VivY z;KhuBprYei4Vosd3!+!sRe~EQH3Gx-&49fRO4FJkjdoK%mD@4`5fcPvgz6o)(rwjw zNau1+du7;rrJHRE=d!WXJdhgbuh* z3{AvfqlD6;u>o^lF>24jMhuxBgE_P8}6MWi)g^rcsSMX?V!ROLg!ntbmSixrh96ALX#S zVAd5Rd;@3&DVWUBfu0D;Ma-Ip<#l4bkMy**7a)zU06~G9nW5m@R%Cq{?Sax;NIcaG zUVxOA?K1QzdMpVD_25dfTwtWfh7``wjmpm;y;f0u1OAw6Qmvxavn5vGb`jsNq=U=P zgK`ThUXpT4n^dFJJtRqk0B}YtV`H0?UIldJxIGJ&TMN0Q4RF@#!;!bjxfX3v@o3=L zm7AQ>n)vJe3M*rmE(J8F0zz%lMp`1xyyylHY)NU6OS8ifFUi*^BX|81@>m9^aJSmmbU;`R+(psgmDyAA`Rn` z={rtyhGi7r083kNO6(Ck4Ie`?nV z{VmM|)Pih`GpkdvoF|G7&e8%KM`VXolRVT9V1EcgYCV!DMn> zLcOUB=d~1Cr%S0AigE{>#V_^n5)xCFJlFP{FEWic#uwS#zJbppA*sAH=fX(HI2W#9 z%BZ$!at`CL@{BZ>Ne+=YimS#rc#hgzdIw?{6sU+ei5mQo4_Gc-CM^L)4aFi&2MmQn z2K7O1RFq*vMxi}~Mum-|w=G9dsXD$5UWg7}@;ks@W!Wi?XmGK!Rq(+#w_FyyS~+hW zz8D@1q_{+S4s{0(ie39rSRcOF>6nJERUXSU&}nQuGimbSbOOWRFALel;%Hbt`BXu}Tzb(j0mLX;xky zCKnOmy4Yxga}jIG(5p@W3l!?h$rIZSa9v)t5C62RC-wX0ekM0KQE{m>I1j`qhAy{M z6N)C3YkF~$q+3Ij&n-2UEN!L+u^ z49i0-VmfgLk_+k`k01}f0*}bg?{>|;lv`YUqf`C>({_?m0N&# z2Q#xI(7$PPcYHK>Dgw;Q@+me>H~Ddim~d!Gr!^t%Dsesi&{sW&iH3~WdcpP6>sHD> z5=7t92QRVRk_JHZfX})p1dxKvIvSHqt5L zG705IMv$nwBIsA5Tx^4 zMr=+r>~Rt{xhk?~5c0+@ct=pcn_DLdDPFUAq(1oQ(8pjhlmB9V;F zZkhdhd}GL`sTo$za~iT0&GF3u$77pO>2_Q)zHJIi%QMQRM_3T-$kmt>7fM%KQoQCa z)2D8gYvXhPmUIW%`^H{W% zj+ju~8B~sbFu$@sWNOP`Xrzjv^ferGsq1Ir>~PcNyv2^BDOH~YDiWuqgI2@OL_MLX z9(^oBWdK_#VsZ_Z<+V@=y{3RBb=6Dj{2-#*P{cf}^J~bUQb)a%v4(sxomT-DX2HTP z{0dEvRx`Z>ctAY^3S=4{X=OqvC%g=JM_Gft+|qHlSK zVkrTrjoEx|!nl&dlBb?UKB)CzMnU0mR)x1Vt6b?UplI>-sibXx`uQ*a>6W$WsVi%n zA%>P0>F%;N8tiftTrOvAq|~Yi(x9ez3@H_ASsSGm0gZA;JHk)*7sA_RZS+n1He#wV;Vb*3TYxCL1PO>(@q)Wf8kbTi&@^k6e6+TB#=R@)LJ?jrb}6yr&7Ysi=r)Sn`v$g zEwzFBO6;Kt;3?xg8-jfB9N9+%!OsBo^Kp>hid*F%Kk`EwqPPThj4^3zQ=u`jY*;R*DI2*?=ffI@>ez}}s(TeiQ$RCQO z7$jM-aA?9Z66FJmncd{7rOfqfP0C9_lRqybwOFhPhbFCXArW*vC*X-Exw6!m)TZ^O z;lv1H$jlu9*6s?>gIEw4Tm>Lt40(GUJ21Os6ZtaoG)^|J-^Rk?mWAkw&i2Yh#jRGM z;sZ6>;B^C9sVXXw5a{FvFpN>IQT1?Ql}OxnTp4OmcS_)OiU3gNyXYar-YBRpFpL)= z-?=j}U-L`{x}wbJq*{M)v`h*&kc=$COU#7cJqj_9PZ-k}N)I80_you|u-^EzA`USTt=|!y!I|7%_>(++KKR0KevfZ=NR&?FcDi zX_AsUD7;@Qp65D6lVs>&Ak7YtoG}DPDg~;(QlPrB6zKC(ApPJVvHVpE z{3->GmjWr%3RY;^#J?{*e&avhQeePubaUZ17D|B&)esG#nWTE$Yg8vC8WZ}};7BzJ z$lUT>vh(h^j~J)RbMsc*!l9j;!w*|@@=v&xZvIqKfjR>wKNo-K2WEilS(qwe$&BJ^ z<$0=zh|m%v3x_5ZB|(e^G>n0o+w}`fSYd(eKZk-Qv=8m1!ZI(hzG7S5ggM=zfG{cK zLq6d8`4R$~=r~b^D^gUO7}FZ%xYLWZEr6L}k}B3#nXO-q{r9TpGyS;Sl z4616aqK$lZ(_3cl{F1WF@wCK?uM$d6Srl|9Vo^*>pjn7Y5#xa_hm5s$Z@28!IhKaYbq^XSo7-AWaXkScRy3P=7J+lYIlT20eIT% ze^hA{aBBnG)ItdCnrgfkn`xqK$o@--YgIg#L9zvf3mlb;T-Ur9sxYFl9r7J<6>?1X zm5Xvq%%O789IHdrmr_%#XDW5T9DbFHzRE=xl#AR~x#(HtA|c;ryj&!e@$M=Yq4zcM zT|zjmCRgKzyF@G&-=6}qRvcry)aC8}Ryf0fa{GM7mC&*nN^J+4|FDblgP{pyeh0-J zo6p+i*r(Q(H{&?~%gRwa*CWPS8`%elWe>pUq`tbI*;cK&q^ypqsi4GmFiB+pa-M!` zv-y%2d790L&9CX|Ho(*+*Tf3!X}Lf5o>-u1?SsDx?khD$XZjEPP`KDKmoG;LWZaZ} zrbSEVv=9=cFj`&M-YrP7O2+iR|N9^R^!r=N)W@|U;}3HX1-;Lh6lF|HHDii$J4&Iu zu*AZinhvIAKL~{c`d~F@wC(HRBH~T{4H>%vTles|q(w8KU@ zlbzWhf*wK_r7KvDUTzI3S!9&HtUc# zuU7clp&-N(`Pag|W~t3lSM3G%(TCd0<+VU5_6t%`TwI{_^8|N<)>hX+-SPPdXQ4|} zT9vsv_4P2~)-KSYxSM+(n8Ce#+b)Y#nE|7jv(a3yHQCx};)$C0ev((g8%~y1_uOw+ z=M&DakV#Ee13M86f9c6BwSMhO-09?Im-LHZOsS6AM<)ELqkh#yPaQSZ(KZo7x>1OpzP|UbI_fvAqYB9u=6g~{C0j{fw7)FWQE7p3M%i|wI5k>F zb;29v^>tK!#L{m(t)q^TEu@a>Y@p7yA~xPAx3!*Yh$eMauNb10J>5`w1qe=)j!{!} zspUOLrDwR?i4vK?fGUP)l(%*nqMes5q$Jac7tVcsr-g!38qJ4aCMon1h+?FyltO?e+7h9iFSH!YV8St>>xrecW=hg~d;1I%o9lf-6 z#8EqsK7s#>D+l81jARe(S4(B|b`~#ulope(?>RGo6&6r_4~cm{GW>PrOOr*qKPoEX2tItq_ew4|Z_w*QFyqHD}&( z*_Bl}c1EtOQZl#Ay)=!3Ml)YNw5WHbN6V$7z|!XsQ5=AZ)Ig|3b5T!BK@I*G+^TkG_7QxRf&(U02wosq7|*E3t|TG zwKWnmEZ4>iZW5!wMlRjl1Fq@PJ@H^9!EJ3@^L0)cXFl@pOEWDUlZIU>wg?Pal?N)3 zsywp`nmn*=1j5aatqUE*891cZIUn`Dd0Cr`&%D{M4(>{6eUu!;lHfV-$}#|AC>pEA zGg4wW{bG%dAusN-W`LeSqDJCKP+Q}NL`c^&MLK#E!93=kZS^Y1(veuQR-2BSSE>RF z$T5N%y+C5T7}Rk2+HoKRU7#Tl(6)KIOE`y0f4PLC@YZa0rM5I{W$-E~O?3!@SU*?9 z@#3pP^?HRsT+?3oK)xS-xm}^=9INN^&VT2^h+DHy)iz;1(I&8Cfvc0x<$Kk-#F1aA zUeOY_`fKCX^@x+^%nPOOhC}FNT3#GJf`aSg6RFz+&;FFnehY=9Cq6m9BgM>0s57y! z5ot%1ThPh27=aC~e=`aukB=Y~2f>ELa#n!t4lieMyh;&Yf=c0ZshIZ8S?8w;#yj!-AD$atrD_q*|Le+_Dqf>yr>j)E0lu+LY zg)z3@M0-kKF7wq&e&jQOPEKxd)0xmTwEDp>4FtGh#UWLL5gJ_<-e*zdco-(72-1bF zoyn4eO0LggSh!ypsn>Mf!VrR?V8&(agK8eD1K5gy07WqgYXCdUv0|i?j{fz4nF`vswLONh z6G2sRg_=>v4FP@=HOPNagTAOiC2ElHHv67XgD4H~sj|^{o6+a2Ok&ARKX_`8#c#|F zTuwFf1D`o#^+y`ANekk5Nr?O+vusPT@id?%g!Z-Bw7PQLiqfi8>6 zkDW63_9?BbZQ)CsSXAjZs6{0RR(bG<5jDUe)fG1g(MJ?B~%!JA&2!q%1! zNd1ALW}4zT_Wejx9G!b9mTBnTVPx4Qgd6UEX)(_gdw0(FZuu1`T6D<>h{mj+)355a3epFV^Gn3&s#SpH*yyAIOWkzp_P5Wwit@E-`*+HA-7t{`de z_T`t?DYQgOfzB8X){h}U4O?c;nF*LJ2653j(Bj|q>+Kl|&=P6j=Ey+JgGc7br_Sr* z0c7dKb!hQg8hxrIcE_FK3mAg5T=4)jsT{t8DaU->1^lDY_Jb5y)e}CjK8Cu#x-JVJ zx(VwNkq;!V2U)Y0qSLniOUI`)_6JM~fL1#}37`zYq_<=Mpf+@4*g-VH4*nZ=88NRR7D@11B#_1 z!YL*AIcH)toOk4t{TbEDkHjsat(Rvo0( z5|(uim`%>UEA*XIm&!`5L%hJkmXID%3jlYV0D#|9u3jAq&RlwHd7?W1cuk~;fNX?* zo|-nZ!SSCoT!#hB;$oLpr)ZrfM)Z#2ca|F>Bo$d_7HbRZr=^2Ooj|cfM7j=&JC))I zHi|*IK7Lk;gJasM_8@Ryf%VdDz?c|jR}DpGg`Fxrdk{CKg1641-b#Cx6Py%oU$P~- zZNqgQ?pLaXnitXC0oqh95k{Osh`pwk36u1xG&mS>Zu?a{vxhqDd{)WPl&x|({fZgG5H~M`|_xrm{K<+$iOhq2EENP&xRWqKu&8Ax5gNeh1D(1 z4^65oW$|+P4zNfPd=@Xan1!7OoWgqmN_+-IX4KbAMNAQ559y^qs%lKhVSU?TdPgZk zui!$Sq|H-UQ{pX-zOBsz&hit{v1HuA(zK=EdE(R_Ab4YBg1LIO_q#)r=1Ib?UOCUw z0BAw7$id7hnv0Wl?#r>Z(wJXBqPTSVu6|Kmz9=qV6qhfG%THfKaVg~t|L}|7uK(-P zPWn?hVQm^#hkTJEQ)s!uN@3A=Yi241+`;<>8nuSPWoyd!0+iDx4u}w9H21vhW5|OP zZepq9;!r@elp(k>UeHmE(E&7I>7H|Tuw^F(%W!{%ak6lYDCajrkcTFwPWWQ#d@*%? zTqZuRX6pR#O?;lt)VZZ`bGdKe=a|U3Rtyd+wNSbbV!t6CPwGkaT@UN+CWT`$0*>#8 zQ_~Q>4%7j{HE6pbAN@OFiKR%aM8RQTB&uJPh6k#3XbyYyzX#;Bw!sTU`Iya%0*Qpp zTU`TU5?K!@32HEhe&V1CzZi`a7H;^wCO)eW5v0Losy|}^BSN#~?Z@?JU_6}S{W!M$ z#Ap+mM&Mph2~S(;LA?qJ(?AmtylqYN2K$X-95A!l=4=h?a(9m2PZDSX48CR8Rwuu+ zx483S0R6BGjA5t`+U=~7Ja%oaFRzzKVQ_$)OU&`)Yp#!80?Swr9VrE;>&RT5b7Zb7 zUwY!BvH?N?OQQM8nF*6V-YrY}nOR-=QkX-}%AYg-A=jsuK?ad@^ehd0X!=$x9G^|0 zXl$rrZx34}d2W*TAu+!cL9BCg65~1Xvm^Tu#UN?X^^m(<|eSHInIoxQm z^V(?u!2>Dgvd^f|d`qlj{b~}(DMZ6aDNu|F1_cU64iyR}sgE1jizIWG;q@a8-+JO7 zg~T=RO25hz{CNv2_`xTZr1#heS*VeLDcBUDb|+map8V;{3B&0FiaSb-v*d4 zTUg_@DM-=|$3J2tfLAN0*SD`YgoboY#$T_64o?IM$0Z~-9;Hotgw>Yo#!Td5*_fsM zAu6~@=>?nwG!D4Q@)1r02{A)J^j0?{U>{h>rsp$dXnhn>SH+ffw+aS^C1h0hJ{BqAX7 z1tg7vUS5G~IfJfahMZS{xb1~7V!R3@rcxscIwZjrEuZHk!RZ}{Pa--*m@Eo{X@#9* z0PjDPQAXLBa`uSal8Udsw2cLpR85d)*9Uo~xZ;q=+@q`FV3(WaVF_LJqk}xt2SWk{ zi6GDRhX;8kxY<-hJ3am!1r=e+hxsFiJZt>I#KLJqSB0qnlKR$==kt(IO1$E#9-E>s zr&T@ZcutG~K&@shrdsb3F?~i})zBI-72hR*W?iMJKYt;0zmU3Ln)+Xw`tOX?E!`!5 zTH}w;4`FxFP#(n+TZT@K-RSd!*jPwxf#U**Z;Ojq`5uW6AjOrU(!{=D+^H>Br$AEX zWEe6n<|(c=xVc=6jVhnETzk_q zid}44l-|~JUyighPc?WM%pQl=sb#$@Je?V+tjCulZ46L6b!-eDc)+_55>JT6 zm`nAEp~C3NtC2RnVJREfycy3{u9$+Jtq$RFL*KRjYNR?|*d|c6Gept1u2S%wdGTch z-)HZeZbJyhM4>o(?rokDhQ#$7d^x?+O)zCSf+HSmds==T2O*%;Znz$N@^Ykj9azMn z(h8N5vdg^8A3pprwAZ%K8}mEDCb)H`RGHK$D?Aprkkxv%2E_>IGboAkXMf-M#=DK!X z!N`3YBSnrSg@qsFSh(t2?!4nOnq5RGqBet$pwiK^rBI@x14>$P1bxnp#n_G3!p;yQ z1$4@gi`~018D@Dhg>?KtC#WFuQ6N1i{tjM-J;VM1KB9`6)?`F9b-+}ZT;wnmZ zjMl1%0D31_K4dh8V^Q(&FV$3RfIBoXx&0TD`-{o_WnT3|VsiiR%&S^%6KQE_&RpBI zht+n4?LmQ;;mQU|9b=W@^)?ZkXy)xPu56Ttuk)N@76j!@T<+zI%l*aW{&HRVa$S07 zF82u4KuO_}P_t*dX)axrTfaD`!=e7xkhB#0FAWbT?Q5fsC9xL*(^xU@%W{k1^x^t> z6iVoA3A9PxyBP%TH4IeR;{ZsB`(4zc(V-X#7??$Je;zN+wLIO8eD}!w@1y^qfEg;nrTRPM;5-6uemD)-nYfdbFOFL`9P_n7iXSL6;{%6I}u4WI7D0u z@)dOl!0Q+^k#}mJ^y5N< z#vuv95Cf}*xLOShOPWl>YGr1qAiTE9)qOw<608aR#X*>f6dak3>rC#mY7{eq607j} zS_l7hKA#w-_BM>qZ5G9RK8h_IVhjF3gYpWKZGn>-ggHtW{njld;<1lppLs zh-7XH&qKG@XiehT_nLomw(u+dyl^Jt;a}v>D@7MEOxhog?(*7smbji9d z@T%0IzILRvupVF~3If*Uk31YWijmPTmr|&$9ceY@jV{o14vMorfYViT@S9W-GaZ0Y zlQDVL*8>bihvvWv9u8r|)TB3(gK$#(NT2@ClX~o0A7q=IagDdVbAuHLi48|y= zQhfQcd?ALyCTW$}0g4<9Yjsz}@UNivJP8@S1@Yn?05ODczj(GAp|p5V@6&u^BY0>C z;!k-2PMX59qy{=&^h`Bg0QdgT>4HS)GRjSo7e9KqsfL$(R<|Br|58x>>t!Jtj!#9JCT3FTV8ndH8hN%XldX42zd_`_o+op)v$0}@Ur z6klZV2Xs^^J!(NOW=KIfmRsv={aCJWJ&`nA$9!qA7%i%}T)k)zWq=L^7hjP0JQ*sf z#iCo3*4g|$99U4hqyi0A6gI#<_RoHIV!+Ux^>7?O9~EIG06|$p1n>LF_H$a?7D7eg z(35DepJPKlh!sEC7)4794#>ap?zByjWZ~(M(Ab0|MSwcs-`4PKQl*X_12irtvf~h7 zHP3!?;$|_3CR4tHDk#~IokJlt+(xc5*NS-fc3O%xz>IYC2|A7ZlJoV7j^&(x24C{* z+e&OqZL;&6@8WYZGn*wHG*x?7mROwjjgZ6A!E>t5ly>IFlyiSnS;1stkMluwHYaZ1 zU(_Ay#vnUOxb|wGU9F5fyuJ~ksIRvYEvP4Y%70|;naI?|&%0JhHE#B=x$boJRP8JVPR*|!xl8|ma* zD*IR@+m?7tHWVk{(CV^iy%_3vTRVDoOB<&{C|aGLI-}q{>DBXA=%sb-NYgN)T5GrD zGg)a{C(pP0JbZBDI&sgGajSU|Jx_`Uf*aXNQ7J@h+e@1~D;>dH(aVOuDbt&Be6-CwxY$gX!Vh0S;UYr!} z@cO*_6gA_e;Cav{pC+P{OZiVZe+HtBp{9CXA+Cy@0njDE6eyE43woW3}h$B#%~AMD4K^6e1=T zg%6{=H976#QpnpV=TRPezM6a7vV^+3tgpgtR}g#B;Kxkqyu2^tyYlJ(JWBv^`2R+v z*|dPf6%;g}?ENOLDo;EOw=FIJy^$rrwuCy_r>S!LZ0_uN1S&=gAOw)$wlgIu6v;*&|YCQiDVf{e$)6$-}+=~RAbF;O3o%r??C81!#umUBp}xR^+y za>5m0#MC1~dJPXJ1*x#+Jl+BoT5N^F0|^X92+_8-V}-_srxVjaXz8=?DwC%w&hj=p zqZl?km_D35o6HG8Spd_Jozh95Ohch)WQ9q~NYIl)JAsQVm3P1^jMa(>zUZG!c@P_L zGh4yjudo_E&_Q7&H>;d{C}84-LRHxeS7A?{PTHlTXqw9$ zDIhC9-P1S)a%|YE0Wu>CPg;80H6F5+c;5bnL0dXR28G|Gu%B-r{I->zAKC4!Nr`my zo~Skl-`J-nR6RUN%pY5jdrZy$CRpGoh8m~`TP zZ`ZaB`L-26mg(v(1?zEimT_P)2%Z^+{V5fij~YXKBPRhO}Z}2ar}{5P@~~ir2pvum5B% z!^;h&kU?K9X>B;m}ii4?cRMF$XU#=;PN-Or*omvS7MaJA!k>> z42*WTUqGtGm8*L7O3Op=Xu(8kn=0kSqZ2{^_{>7Zuu)EXD=y<0vmId0Pm0-Eu3oV_ z0nSg|9zT#%A$3D)keJjLlRBRq%qqnLI1K9tuq zo#LF(@DWH4VR?d!50RU_q69I_nii+DUDPeeRqFege#|gxT|mN^;Pth@mIWj|QpyCz za%4|N@muNmek--`)^E@72qU$vAE{VBVOA^`qym0Wyi*OmrNnkzp!0JDZzV|O;weos zKuj4@@U#`RK5hVTGKp4u!KxO}7?NkJ2xxA4Li$X3h+G}&XL79dB?F31yrb0RN_I@xcE8; zoMxcCw7r4f|NH;>)Y^W2s7cysB6Z}AvUGPVno6+?uYq6Pb=uFnCy`d&S)&M1q63P! zTy+Nwl#TSTpjr&2tJt&MgNV`3P-+A=bvbYTAtDB**iaGk>DW}UarO++WHvC!8)XkN z5%B%EAa#ixclMsdxTp@ndq&jdCcJ8}NO1C&8aET;xG^fcB#mTcIQ`yDxVWeU3)gnr zSJZ~}%uDC8=E%vEi$Q`|(}#^wt~o&qhP#Hy*;^V{jW>!^C5hZd45xk22M85&(%i!X zUAz{q)3z-h;Y|PG7r$Nq*BYMK^^K^;jF*oopBF!1{`XYx2C0{Dmv;joYVcBJUy5-X zy4|{wmhCN~3akN@5Fy3<9Ha%VcniGfhIV(i;xrdQ!`bdui^{G&zHu7LKefwZ_m-sF z0<~NmQWP$#7B#AY`%75;rdp2*e1DPIw;Mk7cXKBee<|XMD!LJhH$fIK3>MK&CS0rv z6S8v%+U~wwAmq>3OA(LRTM|=<5oIiSlu;?vQmUb_Qz?cYR`)o0OTyjDL_Xu2mj8Vccynv zD-?pzhOvWu3MsUZwF*)KhI_c2Tn_=PD%LBlgXgX^ts<1aCW`h|Q$O*>nV(CX`E~bL zC>1QSaWM~;N%LUQ`$71e9C`Leo<`Ea8!BK~uHn$rRHS_kbr>vLs+fh!s-tI~nAJYw zi(&GOHA++x(*<4*S+9X!rIVj%rpSW;V729#g?UM!vs1oh!sxD*Vx1V;WU{$`y)DMJ zbfg@&m*b_YYzOJ=GcZBfEW;?zek#abO-^lvIlUuZbXz-}7nWLlKKv)?fmSD9iYj{f znO}`_Z+*yFOtsQ{Qqm1d$6zHOWc(4DW}NJfYI4i(Ey@xcOMPHPmFs^DwB$;2PRi|G z|6-`I&W8UD?Wmj-(*?}-J6v381BiV`zZnD2j7^jp=WrdcNY@7i*V+t^j6+ z^8RHLb(7z|qex@%?fXvZ1Z(ghMm6Tj77Z{6EFOE<^Mh1LDJ1Znf`_z!rEmd0gbpGt zr(IE&zhX_YVL^9s1jJk!zQ9h~o(Tr)vrBItzQDwGkPx`w0PdV-J_K&BRUD*{SYUlp z^N@PCp=@eDb z1#Hhy0EJ6~H%F1O*R+luwQ#*I9{!fDYlqO;(#exBvOQ~m-MG?*RTrs%-p;c~1+%nr zLZPrh1xg#JUX(F!dHR@o<}DkzXau~jAE_M-2m+`gSW0GWxoTxF`USP-02Kdl=qC2U zL&s*$!mw|ka^dvbTVS73+-6~upRZobCDcdfA-NwNq(K10c+JFs8v21QC*iEU%gTUs zmbfu2^SY3wVelIjFwa$7OGtX4L!@h&vID&m%QMKFG5uIV4asapGD>_KEoiaNd0buz1<=X| z$^ykH-;f5LN5f)<9?-1J(1V0`g`r2=eql5M8hOK|SaCHWS<%xTn9nj<20cQfGy&`` zswTXGmIL@G<4GOX;)p@(`Ubj*!k5UV4Fc>E1Wk!aIka^XDF?2<<-3d_)cg_vp&o|9 z?w&+U%0WQ^35tjCcDXT)ztXjw8c8`yT4ke%j_(FdcdeN!JSjNta*BzEf3hWk>qb9> z`$(c@NdV2b6g)xQ;6n7K>L%p~(i%L8&)NY^q@1DmB;8IxN-P3V%Y&>6cl(CQ?b&;h zRxVg$h()&0x2zcTV8!`t#XXzLBepr`K-_2HaHvG{6pX2ZF>6CY*mx0%xjb}&9l1Oe zF+DmM6|+NUUbN4BOJbQW^v>N+v%}+Dr_OQv_7W@H>^+IOGss*J#3(#kIbeXe$jiAi zQrl>zjGBWdS^2DhU8u_S$Xb%iHtvj=QijkT%T2WW#Y-J1&FrYA40IuA=1F?c-u~Pzl<@qJ%+|c4NJmvcIH8JM~ z+i4bHP)1&p*zFjO0LX!u;9pTQh7JKPUN)wCu9241zBi>o$Z;UUV9IwW{))=ebT`-p zk%Ipi_K;Ya;JKHJWHt>Fr7@fr6{9S7|8VJe7;CTT&4s5gI(r8RNhe3u zy^RyrhhLYdV_odDI#hJmYhJPc!903bGx|^z=!3%3GS7h)atGSFxY^AaK*SaFaFk{3QT;D=& z{c>6L4?9b*sn;tSS3qWRjFaLTJl*yHFqN~nIpb3&A;vLcn3E!G-U%YQt(=!|lSbgh zwUr~BdrQ?Pi+Z0Wu@k4t_#iaY7Iw|1vCF5F@9mJCU^*>U(5N%&tv9?#uOapiM`La$ zvRs-}?t!r#9%aL34D7#tarZ5%^BeKproPLTrvg_M-=c2>SKW?sae*2=T?`)^w33UG zW>2!Xhjv4Y_;U3$k+NLgDF|2>zF703$Iu2f_i!HssIdqv9X_w1sX=Y=NK+e7Tilkv zu<~zVzmE8wI?l?BVm-x(aa_@F0a}(K#J+)vb;C-2Az|w%V>#>&B<7pFmphO+EPo@g z^SS}VveUrjAglj|PU7o1DD4*D^a*?u>9e(?7L)J!e7$vqU}^VC1F;@?(=p0cb@%pS z9^RpzZJ#3*AoJOxhAC;<8{s6009POvJ8ghl2sa1m&|(p{jAY(X%+1c(yU$BCX9JIZds-cDY{7??6D>sxKkwf!Krv+d?xVolXtU*qw77SWI|#MH<4kO zPriBATf>p$#$57Ft6Re*_3SolIQm>j1k5&TIO^YrvK8G!i?={ASy1_`oLIvV(!?`5 zvdtRKk^PxXY_uk8xIjj239#5`4Y!$dM2ENV_!pKwIK$!1slsnOwT7Duzp-Qu=U@#N z-{HWOF3ywbdR}sgAzMC?hd8M93q=fOmX}!g5;B>R;P926K-qfS8V)SfN`h3hh8wDM zWlth)fYFU^KsH0#mV5&rET|$2bwphxdk`@T6;TqiP|;9}MMqFM@doudpOOFb7fMX}t#o1B8fDs1kTxKVy zUqV4OrCaF9ZkS%|nt%!tm!nS`Vk{NJOAsuUaRt)ddy*o2xXXxRL8VGju?{mrL2V;x z>hB9l(~Dgbru%c4i>Vbf9*U14m);#Kd^J3sR8r02f&c>r(P$-PLs2Pkmtor#f}{?yJN#p;fwh7(K?N z<_leiwK%(k^~Tg5vmIo~-?)inS?61=ShGYYB}a&z#>!Odf)LYe(EXjV1yL~odLE!p zjAdnuO=OG~QE7QS+f=in=K&zLVfYnJh4eD&;#4551}BXN?*~2Kq(DTb_Xi}o78|YH z_~yizOKkKm#zLBP-CxJ6tPr%GAwRuMedFNys-)PF5hx^|rv_(nUBc4)1ZKr7N5z70 z6+9Ttn&pN!LAiPM(|uGUwU@G971u7b?t~DV+ZZY{0Mn)XaaLJWsz^ou~UJqN>6+qb=h>QJf11AkMXWh@Uz;I4>i9%7YiM_}`$=vCh6YU6tp1>D=dl2P~E0N{93Y zeR-v$mN9i7Bd>CWX(f2*FM`Jx!Q+eI@kQ|XeiA&kvsf$u@@fsX`tYUMz_m(Rmw{@{ zOQ;>_9inG`(1h-K4cU{3sU6gSaIA3$YDbU3*mcwn^FyX~1TnQ^;%B)_(`x3FFiX?u zPeHJu#hkKvy>zM;w-#T7kKon;{iLcZAG$nl^dk<@gfD z$@6%RCu>JsO+MH*CY9m;KYM@EBfE}d3!;A|r+4rGQT&iJth!Z&%0c(L{SpVa(33#k zn+bGHDuK+b0{Y)SdAKd3I~An3NXbKcZy)7BkSOEn6#lVWQ`V3=F5M55`Kq z(@!#SVt+x> zr7jxsc~y<9rEU$={Qk=4?C8M~#22Z0bW_n6jIekln6l5O{vPEt`;S|h&7~k9NG!?K zHXdd@?0y=E${nXZ(gIQSszE$FNaL`_O`~lSuup-gdIAjZ1sRe<;8VuW7tl27ZY`!D z%U-eJ>dFaMS>PQ~PIP1lv?~P;Ym{!mylsWNP?{n14;(+dah7DG7_=o0c#mFHZG+jq z8_e$p^SiG=YQ-ZlmOfl==mspMcQbTYO}+nkOdKWbSDfsSm^ ztxVzAC_&4o*J=FWMr9!^koy+&3!x2HAzn48#u$-lR_0=)KFXZdtgnK0d0Pajl%p=e zz9-R%VnPqEoCTg>F7LGy19`f9AHA#E>iSN!sc2fHR2b-B7mnI~CJc0HPdG~iI;NI1 zhoA6DSZZ^^fiS`9?d)ocZI(eBODiY*prEIv;+i_a6T4@pK3pT%{2=F%${OVR;R;YM z_??qG9=vH5=Jv9qNM8UGa}t}6QGBX+I+jv5;;IkNPZuGSFLD;pRD2rIYQ9}rQf3rb zcdk_#PNLJpCWNd>w=+hJPrg-DS7&JaekK)Cxg@;~k4p(X4HwBNShS&pOZ!_>LSI>d zY%YxH@RaajQEcG=83&zmLq=2xpt?(Zx=VW9sml?D7Oh&)+Xj&k6WBJpbL!4iZQQvif=XOTpc^b zvxox<31Di9m6Te(sB}>vI&hD>_uI}_qVpaA3>$f`JXqwAXzG|7=&{GuTAa$Ok;~qw zfVW61oBj!+ofl4{bD%z?rpi-ZI9kRcofC%2q92MBdh)pDU3~tJPO)j>m6ogHfChU;D|+05phl8mr^BrCft?-p6sSUVP~y?=>`zjM|`;44PfO4m!2PcUL*4*Vzl1sW=Q6)1r#FP$ z(`6z#{@#+kalZaN5vvH6y8*1*3CNinAjt3Cgf6HXz#twEmb(FN?5F!LW}Yy21KiHS z{aMKy;08Y2pD`3~fV%z$YbrmAV!m=1qK8ifMIFDRQ+D0$jrbs}RMIgX--ii7#Rp+s zY`R>q(=Sq^lvGuMvYzV&)tSf6T7IT;CV5GJ8v=drC^A`Vt5R&Y*d58Uu9`$!u+ zKp+hS4GMA4?=ghfFcv(FCt6zApU}rdt9%WwcL&xXX*gGuW{BTAX0a7*#=S5 zq(2;OhV~JjWi5y|y+OP;i1!BZ z-XPwkLA<()i)ELx^UcV+AMjltg(Gr#(-WAyGu(8|_ zI3Fg2hjZZM|M~I%`}mJr^3{@fIgDkbF!)pACEsnC=3?S?pKDzcFWGU+eRO?IywXbQ zDe+qL`n=!!lF$TmaRgZs#3=%%OyXtaI-a5k=V=J?JZ3VJuM;ns91AL>Rhf9nn0#1r zd0yuCJdoh@_jV9uF@Oj@i&$q6ji_0 zUQBHYsh0=JiR3_fa-CAWzs*A}!Vs5_D^2qhDA+PK8%Vg08nKb*pEuThEB)RjcHd*0HM=};v^~xO4b-gX0wgx~G zAIYlvmlYD+C^MD3{qDSw9WOz5E^Z!h5c17?-8|^%sh4&4=3y1Q08?qp9%EG@-y#Nx z3SwN$jr*3rCZ%*%KIv+obGy6>d*nyrk=1CTJDsI#B1i;o2rT(@*5@V>`y}#jZ=and z1ph|ge!xD?(8jngMxGvd^V`d3gf%_u!O{xNgue6aCTchoiS{J{*Y|qFF*hZlF?X1;?UTqk@V01 z_;2^RxlJ7dT68wWz@D2FQa8_Kb&Mcn5Qx++R_f-V*rfPT>t-xGwg9NQc}Csrk|mx% zbI%XM?Uj?L7+(ry}ZJ(1k+2>@Zz-`lC1rOP+PFIQJL%i9c-|N4t(>gFgNLDtPf zW8JJR1LMB_gSlTs73*B?FJ|gyEY*3E=DvW+FN8Nfkp0si|Nh6ne1@{IEtDC#&wVkJ z3AugwRPf1%vU?R`*3$_HaJc_qqfh%7%H$rHkW%;wCuzKPDDwzqLrW-&{Z?~+D9fGo zOephdKe7@6ls@J{PV7jQ5*9L)4G4kR{_fYIOq98UTewh$IhfHmhO&VSWoYo4%pc7C zqDLspNg3@!fMh6}5X!`|s41nS3I710l<<#Qs^B9kY3PLF9i?E63T=7$B#zKhh?`Mh zHU*)eVLNp%pDo0v=-TyM3Xb_XojG1d+#q!PfY+7DUQ8tbjw zg1#Esk_FAv^f8gDu$bF0sl{iFPHkn|leYDfR!c-al?0G?2~l#NPk!ex(Q4Y%4=Rg_ zLuhGVsQxz+;ux$sZXTu9)i1W8WewYjtpa-OlGX?2QHBcjsk-k$0~(l4#0E*4x9-%^4l57=fmtPFLgxIn4~La8 zb^NTd&@BPjtQ|(&u5-FG3cV+s)ntoDPvir(c%!GeMe-ZL()@#{Q8Rjgm|FL}Elk$W zqp6M4#muV`@%Lo9CXHAF!{b4&uqrp3T5{L&9$|cwyHd#HrygMhlJtO$RU$irTscmQ zJRc3g|I4p@HfM#Zuh}usa>GAT!UE0Ce>z+l!^r8$s@`jqS`d6t{KZMv2*C4j|yM` z!379&ffg8|&W-#4ReUcsinS%v%905?XVC)J<+IGLm-FgMVTab^hXJ>O5`q)@eNaO6 z_yv^c-8$>?X`^bdNABtJERg~cc1#+o#=d-ET|Pa1ZuMm+5ip;vZTfHuqV|nNDYdIf z!PE)F;7$7Cd1{vtYg;qQ&2XDLzyH9bxbPDnLIzua`FYtLAwfB=S2f?G!5l9erfMFQ z4-t|j9#}OC{=h1jO4U53)o(4eD?6f;Q9c#;VaQhLWd+kEt+scnX32Vjik#z*rr=Ys?#Z&8>v)|M(kD;UKlD?sWxidmZ|v=p{m zO%r%`#i04#Gav{|% zkn|{0%!(x`iwDTT9T#M_7?~dmegi!NBjv=L)hiH_Yi&;%u^li|wk%TcFKWgC4>(SE zA+SPr%6L&stb&*z_3NaN-XVzO^MlY*7^sYtvgmigJyhlj$KpZyu*v5KVIgkS#AT== zfD2lvB2{dLKw?gIKo=c{RW2q3nXevW7Hq+)vI^9fkK^bCcA~k7@gkcsGG3rje3ZdU zAfW^+5z7X3Y!)9pG55h8#0BUQKX7Nz#(hzzB8i;)+F;wethYtNTG3vXKowZP8wjMbi5}Eh za}NS>T!?BNCvC<$>As#oRlo@T@t^OCpC4^QXm1^=pPH)Fs6!={_nWG8unrXoy8eVz zr5(2=IB5?P2f>cAWpBCwQe7Yk?7pR0r3>7+Zc}F#)E0UOR6Da!#s&Lf*%$hdu1U^^ zM56nJ(k)n^CQ6qUPh+~Bd$|>?>39>R3(KGN?IYFf-uo=G zrHK`VOu2;>Rl;!TL>X|63gKc7urOw}0OC%}YDUUlv=O?Xh>nxs=dgF?XiFLhPOxBzJQk<^!?bA$H`O8YmRV+XM_Tg(dq$O?* z5DzPpYb}=`o4tx$qlH_N3dn_QQ^O9D zaKOw|y^5wjK$tNFWtCM;Z+2Glo`zXKgWpkSc_K19d%R z_xPg}Tx#v*qc07lA0+<4m&O%PC9#vVR=f4E3p%bRJFyOv1N?MMvM5{d&x4#0y7e&O zJCI*A(b7P`xKMl&X6{<#o|kZkh&AXY8Jx`-h++ox9k{2(lpfOo6hlnOI%zZyo+CS`wBEI=Q{ML+w$3K_mZrlwz;D>tLV)tdb5h& ztfDIjAX(a=tbxCy60h2o7rkBY-oN9?d~nVC1SvdO8(W7M9Yzvu^}XX?bk}cyC|ApPH7}=u7yf<&|l9t-geBT3+!&VcFezj(vWd z=Ohu*+wy64_oc|`j@CGSH95V!d|HjA!PN3RPN!o_3)P>(?39us3ld_daXKBX!6kWJ z6Q?sZwd`EJo!L~@3pP0+6Zg^pn)1azx`Q*oJujbdw!M@G$6}MHG2=Mre&n&y&|Ka= zgeZEURXXnLh-`RX{y5|r8`wN*$fM#*-A9)#AFf6BVXrMC`YAUBs^_CS7yRXL)hT`l zG_!)yT+`fjZOvV&5~dW6X=(1>qmeNp*m)!2-hU9%_wsJ;zMH%K&0VltCe{o|UQ1fV zR5y2jS{W-lXXq`v&0YA*xgz(r9EWKY)^x;0Z?wW_E)1cOHA<;J){tK7_=0Vb_{|r5 z^96rczTjI3>PHiTDsd&)S~hYe&_E5*mn0jAp;Vzfo1-L+>0(d@AtL`>p@l+|5(BYX z3?5=jcUyjQHKpaqvUd$ecWZrQKv%~xRTjEQDMhT_&62;ChKxm?BdWbHCIRIKF&}^Z z%U}Nfar?(1{-ZPV$Mo@=VJ!o(r&Pxn6VxS}CHas(-DEWebv+NqQgbk%tUg2%5lR)Y zGFqne%N@mNSy%wM)Kl|0}W0*NvV!D9nYgq=8H6kc2j26=ilI?PNW&RO~gWK>F=u zJ#8loJ~1(ajS=!KlcS=9ZqL}*F%~P22Fe3enTraFLQ*m6(*ut7fmtRX+1Rc!Wb4sZ==vvIf{by*QCuOe*@%PbsCFP~`f0+!n}cX^XG-&%^@q|He# znaPk~xsz^;&p^q)u9l+5FQ$iK7cBPx%a>x?vJ3&NdBhz97#*p>qbRtV0pbVt%Tm7(0oJGqubJbh0LREWt?p4IhwU@Z&TW!`~HbRnZ6z%UZ zdgL}8{>7%t&pT^BUk3`|rfGWx&piv~bdj-fL?|-$XIOGy!+@WGX>`+vt>rZ%UzbbvgDlzvfZ|anCZW)!kYd)?k_D&*NDH zaXZuHns%kt8-(ae>*hJ8D?Q(I<+-=7{C2eW&gQq57!C9_8xlB1RTRektH|JYz-Xuu z*e$<&+9!BQUZlp&LO=F2dOLrUPU%v@Zrs%0amm*2%+c26)3=sOffk(HeBgm~nc{y) zF~^FnU&l-IZ(ib?m-yx-zIlmP<|WpQy0ir{IPKar)bOJ&Yn&SdB9=r;<>@zY$a~b~ zHKQ&FWR0UPtuphk8g;?rv4X53V*TvyOT4Rmp1o7RXwJ;fBQDA9>)x^4Zjl`JwtNR3d?WriI1=BiO11Odr%`H z&{8RwO+;|=X*=*>y21`sXALR$+<2)?T@b(EmgrPi9pducv54(2=uo$9XJ+z_#g?WuVQzlUW9(PAi-8 zAhjG9Y)shWl_Y(G{wwz%NZw>?Hggf#VDo}ewy_;Ih5YMh$Jf0>yW6Hq(R?{yY@SE) z2sA8qDT)W1xWwCWdcGZtGHxK{Y-l0fc^54_&_nUChrfL~H$gU@PKg#$dO#04BC9}D zU#++b26~&HdkPa zpyqOqTaY+j1K9ls+qT)8W$+f%>}MIwyOVHV|DJTHLeA>_*A>+Cn1!>X?W@`e_*OfC zHKn8_)zSFS?g@a9d@(B%EUNt$+w^_)$hv&Cm_=n56vshlt03wPB_IGHI^bM^mF94< z->mb#ll6FNG09`79O=wOO-uw3-!9R0{aSD z0X!~kN-LNtmIzPz&qJ-yTV@)La>UB^md|J$&3hoY+HUFtBO z;eXiMT$oyYZsA`|aVGTJ5S)qjzC3Ra&G+T)0Br|c!86RddwYfxi+wMf9u5LpUazl9 z3#hz&R&Mb1w1VRD*@kmd10Na`+Q5hMaW6}4q8rY5lbfmhLc{sAet9j&IW9j+EXeY| z&$ew10ql*p9R{*woDFbOqz$bw7#8W zat^KUdj@WzH1kiD$zkD&J#(4dj7-iYOFW_Vou*_b57?(%oV`p=Qes1LcqAH!K(@Zc zAtt0#VvT_GnZv<#qnoc!I`;Y94GNh1zgMFk5gsF-g6vU3ep-3vHhR-WHs(pSef zPc~Gom0;iMm?)K%&@oX8=~91J^gJAkc`2JpBltd>O24)a-Vx-3_HaVB#;u@RF*J-e z0cZ&})!aKzJJb3zuyIs271FpuijrzgPbm=Gez#gEnYr3WNkg9+tAIM?I`j_q_Y0@D zrhR$sM(6}>FQsN~b_Y{N(7p(Qx)YAcT`_bozj>4zd43HK5RS*2Gj zwS=K5N=MZ-TCh=Oc5GW3Eq40)i{~0GVW+X1YqXfwMoV+=+M-{Q`-{0oi;iuRjh0~1 zyPg|ah-)0C_3Kc&5pGXs(fHQovkGu(k&Qwip!O+PpZ5Uzg}z8_`h+V`t}~;Bk3;29 zQN)z!gme+mMJL338R&#_UkSD@pDhC5m8Keus?K#1ov^%o+U;&LhIq1)TWJqhZXK*$ zWD^W_fsy`(aW${8wmC-XsVnsb?iE%z^RDLO)^T#$QpGnX=grA^b8_CCoELF&cD?6a z&>jgXY3)U;Y*a~p%;NO|$P3Ld0CJ%B=gFfS<>k{V1TXKK6_?M>0U-mwM(<~77MZ_9 z?`L6QwAc53b~N%4QOsEDc&=HzRFzh{u2N9A?jzY+6knqywXljaS87SwnT_Y8B&61F z=o%H}+~MZs3tNp|tE0BiEvc+P!|OY0>*irwQYw9?Zh^z@^1J-A%Wt1HJG@jwkiJbp zr&WCkO~vw&$3hJUkF2esKF67L`82ug)&0zxrqt5blnPRjA2CpQ?|~Q-(3H9t@c@KC zd%wo`cT?(nno^wum1(o*VofRGbcT=vQgQ>8KvGD@;?RaPg!zYQN?Dalo-=q?^wkam=Q}8eq7bm(!E9jjzpXWhmRE)Jb;E3sjH-s&P+mT5i<4?`f>t^g zG#z^yf~8Itoj++O3wwugghKL-PF9UOTcMXyyL0r-BkR;G8n&=~a?-UgG0kXHP8vJf zRutlejOMTZ{QLj$nbO!MwXbo4qHwW!BGPt?FQqhVO)cVHXk0B;nkU0gsSzQq!mZG$rO-29^0edAcxV|6ax(K~kC}O@;QFC-QqPqlJ}I`%(dg zjCVk;c`_oU$(a>oG82R5$q=}d#;UJEW>06EC-{q&Oli~t!k2kApGfDNZJw-$UYaMi z*3cLkj_M{$7||b85omUrI!jp4)T#B4*WpC8r_t0AaNmZ$S~f<0(^SmLt^Sa_ZX^F1 zx$jKL;S-k67qfSucCB4!DQ2zwz!i#Fi0sU6NNO85zaxKV45T24&Vge1*h-tm`kFGm{Q1`VM_Q*#tvZON6ObLivV>V0bqG4riFpwk zp1G0yxOGWa3j{T#zb!S$etBJfknGE|)4l3&ujRh<8*9WRZoB=fPbhBY<1*VDUy)mp zY<3Gu-Y7U`6?nC?qG|CTt@=9(M2PXOsR00X{NBAcA7ia?R63{yqpfiOfux$Tka(P$(dUQZgZ<-0LIg-knh~kKTtn;xnOPzo7}RH zy<&%3$x7W=LypLT%hOQ%exfakq}%|v^zw6g%@!ZSseF5*_1pgH^XP0~S04qalx&6e zaCT}=Rji@_Ps%Ubd-q>1etq{O)6EU2VPy4Ah|Uj1&F0+)+#x1?_kFySz^*!^IY{E9a%Eb%1XBLK4BS-gWy|77nVMP+q#`;&Z$pN78;y| zc_lE!rsvZ*c3+|N>R%nk%X^2G+L6EPH~ItFdCh3p<@^Au#e$+NGaF_@5_`CZWUB<5ZvDP{Xx%$Bt$9gKuFgs3 zx4xQ!>g5#L57DevV*c|U(5;Y>MxZntlPd>+e`huT(X6~SU#rFG|`lo-)T>_ZhU%# z3xd9L5LO=$y)kCA!Ea zsUf zmrr-oVYsPx?rwpjkL;2(qr3gcu0B@jn^0Idj;FOB=}3;k(5hTgNFu|*IC^>S&|VWm z`u6AD>XPxUYufiCZ^Lt7#Sy@sWE+PzAO0*jJgYTZg`|Cr(#K>4$sw{2ioue=@Am;1)+YCUsw*BaoC-uv={LI+9J z{S*uhhs67JO*H(+#-!J){D%OdmU9NFT#B8|=4rH3l+EP#;0rZ7N(C$Vm>$noj2CH@n6!o zKCOMkSGR}uUwTRXbi$wvL;HMXoyIUMw2#=Bsb+GU_R&V*aVz?@Z6Eb4etq-gb=${r z1A@zN+{*jDxoMqp1q;(bC)OymVr>u6YDt1-O{%stU_4GXeBAinRga;kR}P-`p%FXh z?)|`$eXo?dughmCBqp0Z=5qk0%WksAUYPxJff$dB9XbmP zTl_02Kf%`Ar&artl(%z(Eg;GNw4N26?74Qzh0#O*MI)`KmUAJ`X4?%+LUo; zC>W>r*RwM2{gf@Cq>s4%-*>V90m3$m6RF&d?(R<^_AhtUH0g1o#Qq&@1&3sbCn)3Q zESkU8M-)IJm{d@AM;}pwM?tCf>)&(od+|kb%D8j-dwUMl^7m5t*FAki*C_VCJi9Y* z{$5re5$=G4{rV5)e$hef-(<9Zv&y(*t1_;CR{w3`NstCSrvNkEf1O zRCU2|nrSB{VDzZ-h2{?3LKhP)D7NTTuBjgGXTZRH+{$$~(+o2VJ8ux_eGopj?3v|P z?PijRf8K7Uy8;&?tFF75t%hFS$S&3%Y%3M^SDLj0Hn;iBjIPb1)8o;SN zfb8-NX%&-Ik?9fYvYit#?CK*ueUnfXdgZ4P&iI51%b(KZDDSn`Mg1tyZrEh@AZaP9 zeU{zD=Q`!LZBJUz?N7LZM0 zl{Z6>msFWqRiZQp>?N!4V3SR5k#E&Ip_7&e9oOe$Iprfr*LceM}2MrTa?|00h ztjnjlnK^3dx5zp1MNJDMTq2+fy)r6zpR50>M!c<_&8+2Gh=ZSSjLBCuR#GSzKt__s zaZ^WG@W(&>>0|i#>tFuz_m98*=l}XJ@gME%KE{vV9POea;KME9my!U!GX-T%IR*

?Ae|sP{kW(b5Pj{ z7Dn1uKrv_Y+SKsTgC!9Vh0gi*il<|X+!4;RC~^V6yX;|6^lDPAF4zWkfy5P0$Cf`3 zvA}f$sjJ8n{2~-2V4eQX*j0R1JROTM!((jHU53jvODu81`}F!da%uaTK-cwjEc7)R z#XA!SxPbUVP#Zf_>)*~vQTqZTGvo_ad+FMXJ3DI7pw6tX_34T&%>qJeaM8jRS8Qi5 zq1J41)7RUAs(G)lvq05gFYgsb@q63+&oN$L(+}{(?-lRIWxhSdo8No?#Yq|~Q>@r2 zes6&P`I0R01b%Ou|M}JVy@L3JY0Uk-uYXT)){y#`@9}$mFTXeQ_pZb5wMtW{83pVqDg5Tkh%x=V$-{3Nx>U}^08 zGGmeJt7i>kj}cWMy1T_!{{pkM(P7XIpKFFMLvLS?4mzs+q({&vd-#i>1Fdu%b=Yoo zs(r6}hgRt2B_U#0b;GRdJ+?o#T^SoQ)ofVhuOz)R`FZBpaZ`8JG|o2f9a{OVp(orm z{!t)PS+?aP*OOgTw-e6s*}C7FAq}*kIreV+AKS2uF8r})m76O-w8=yNy78k{9M}ZC zy;?e3xRm6fy}V&rdG9o9`}6(- z0eVATTieND_i#CLk}miv1&-p&XY+;ESBSTlpWFFtgA0rUkZs%mz3MF3YeQ5>ktT^q zT6Z4Y_2tudR_b>5eZIcy%V*E6$1D#DCUh6ae6FU7$mqYJiRXKS&DU4oY&ms-3UPh+ ztjwBl*2hWXA8M?E6TQ)ml4LtQw!Z7)X=hx}9Iy$dxRbKUf`k}|f{iws6nDORHd|0Q zPm_GuaO`*gv?f+7l4_R5SJcl>mc|lh5jC^5G%s94A|2*%3Y_dKH=o=Nr>$E;&@1M- zvdSlz&;@lWgQPV=SER3aI(CLgAQKO>Gb_c5o3j^zlf=U-i%sfA-%~eMJR6I}wXp3b zxYj^PUj>)CPJ6}E(YZI;&B+8r@e0S-LCUtParekkt}C96lTnBqKs=g!xK35kiiNV1 z{@~4dO!^N|k~523$ep9^h@vt%PF)31#nZ7KEJK80I^oqqNJ`u1;3(FqQA5l1XamgnGBq7skr`qa7H)U>7%)nUJl-u4mQ11yqHGtYv;*R4g1ui!7cG~>yeaVzU8 znK`IJ1Uqs9+9+apuG`f)B2T-uLJ+bTS64@#sZ%@l>dw=R!lO? zd4gO3pIggz5Q#gXT6*0_cLk+fNjhD?3iIn z|Lc!*J%Q3EgfTBs_~sV-_-=l8HM*Ys;-pdnjdGkOS>l0oJ>jLrhGZRG4|Fz@`D+>4 z9cX?_O7q*H>j|hCmXvn4L)VkPHx21q*`e!MS*P<~fAJh$Z)&6K-8T50(>8ql_j0Ve z8*g5CdmLTQgXb5;&no3Og<0rajv$z!>*+Iwp+wg!-fb**BbX$pqEs65)i@@~^mk^+ z#C7uB*wyQ}am*2rz2yg5&f~kW`SzuVGwa@=xf`#}w~Kp+mhrg|{bAiZbg}bl;tVzS zFg7JpC|EY4V7#vRLn0*)yDaj9%?o-;r0z?YK(X)wl!T-hzg!|E+3_?t>6(ut5~*~F z*U>dk$0c?WDd>#HtDr8Cl4>u^*}u0l_mC!hdvgzxNNN18Or((4jKkMiMsDsQnF**) zqzuxUST|NCQUfxu%o83z_aKuNR@zoAi4=>dD@cG=w}J!(?OZf%6eNf}_P)!IHc{n4 z0+r^8*^7|Ex|M}kjN@9e%Z{dnENKpIbZM{8!D;S&>WHct-ASNvfmp$2;0H@l_R^u~ z>h1MJz|zj4EmXe+75++}`F3i_)qCNv1gzf6K*@taNxFJ3PaF_tff7yKd?>blxR?zo zUAm^Sa%LhEV&d1mJXWNQfFju+)Kfw>+)%F$yXzG<;~M-X7Vz1#!{Y7A6%>F z^ng6S*H(xQnpYYYTi-s-Sles^%u?kE6guC0aIU#7zt!TLN_P-851uq!mrvigIJg=^ zroDsPmoMZ9x4-`&ea$6!8@+o3D>YSHc*ob=4?;vSw|*6~u4u0duBg0X>nd{D{M+I9 zFhRG#qJRHEa-O@sQGOMqCU@7n=wXPmHbhFaj*w04h-R|@)ZMD}far4e z)3K<60gYTmHK0CQnDhY!0r6=;q(8#v=VtT6&G@J^--Ik*f6x*9Dqc3}vF*Uehd+`G zc)`uJ|GkkKws=jmzPHFaKX;qbvcY1Qu2&+YB~77@lTuHM&}Gsq{@kad%RD)AP795S z2^;8HrzN&IdHjJ_iI?0_=Z8Xy;=PPwU-5KoBTff3bhu+5n*uDW`KiJq71u*v1AiJk zJKP~2-3~LS_<_%-nx9?X+y8>4?!>3en~D;*L;0FEpHfkDmFSiq_YsC!xnZf)?31%g3(1ZJrF!vqsFL1P9sM z>RQAB-^$ZVyQjs{?zDjiKIFezzKJ5O+a}UFghw_Wu1}yXHpMtb5u*)2;9g4I*fwVp)OFUuvuaUH#4h^0q)-R;>jihbQnv2sgFrdz1o0fjx>Ujkn`Ma|g{ZPZn_uveAK-l(Lb9D54 z#r4-X_hD<3sm<)`kUT`EY|OfqX$PqWYLIqZl5fz@2jwf8m-EU#n{Qtc(WUuj$B$b$ zW%h%*4nptmsIi1wZa{7a^q)40`X^0g7novfi4xsGH%zg@ts<{JGQ}Xi*InMyvf?i% z8CLCOvXcy5-0fcOMLTF~zY9%?v!YR>6rI|Tk0^V-+y;ZgXwGh#QkW+`X+~vTK3x~L3`&g2O>x+u1b=A(X0^|e=QNnLlz_3OI#(LWU6)VS zmV7M~L#@WDlr_1VfR&kiQzbaDVL)QKT&aECNdTL}ynar#=6!NR_R&HqS+)4_gQFJ2 za!0ci*MqpD6DjU^{u|D@m4muNSXE?$I%_Mxpf{mx9USo3oL>s%p z)3tN1Ywh-Q&One1R7Zo1Hkq2p(y>f(G(?zgMk^;7M>2itAQcN`JHoi>#>5SvB$y4^ z97R4ws{M4A3fhksD4`-NnjPeG8Tob=WWXrR-$m@JcshC_G&-^*XSN_j51AyzO(8Yh zmFnDWU0~`mkg?taCjs2#z=rQCTPt2UD;1>hhPvXw$*%Cqq3Ed_N}ev9eOy`vVH9$x z5M}^)xu8(i+0wI*3qAjhhfyeT*N{g|DCSM5>$q=zn}$H%duqguw~QMm^Z`2|&~4KO zt7na%uO4pUow8!*>3NS7n!+ewG0{!ezq#~C@kOSK>27S$_XQQM@KA(Pr@rbHa8K#|FmB6n4 zQqzE}=73@f5rds}fr*XK3xM3AFM(Zea$5ZRLb`hE#&6~tC`oJI&Qr(0^zB1euXp3q zt8XZNL>5Nbed@E`S-OogTR|MGWZP>3LzkYa4zx@S0K^8|kNHjUpd*#|E~yXeJVXaamaw=~k2vXzuymY?7lQg8*&}%)pY_5DIUT$Dte$&*RvU#-SY>$DeXC7&9;8r5LaS1KA zYHqK*ci3DRwA^S8|9!Mv_R4Cb4)O$d})V99R%- zx}kR3?c_qb{p;JOIX5kXFjimo)3G%*JHPz)X-dI`;F0z1H_!20!0lvRKAmj#O!;en z6;o7|T-Z53YVN}5KMYBbi##k;ehvbK-8n$BnmuDf;ZleV+xcYbH0=m&6Ldq?9dyk2z|^!?DSk9wGHk^$KriGy9N0QL55htC!vQaBS|QymXBZ zsNb_#i3&`kRYzkrQ>+v0~>`B?3B3GFa$M z=L=#hiHOIPla;I5g-#@h{x&an?JaMuR{Wpn9O)y+EO0tv`4wA>h3Q6^0AQsLUn^U( z0iCc(=Ge*5ubq(FBP&`Xqt9UNh)n7^PWjzMv!ByT1HpF7=q~ojjL1W{qha?z``P3+(DI)y}S+cfF+2kz457_~lYTCF)-7qzlq>)P3pHM^$)5^y3TzyNYtZctx}9dNgo=R*r7cJ3D(tBf%Ka@dqQnH#Kc_ zy|@Bxg;mLDnk%-OdKf0Ly*i3?MR_H{)4K6l&W+~uia~-Qon5}}6q9VXDu1uy#&6Z< ze;G ziZO>J$_0!sLaAwqq=M|~CB(#Lru;{IpPoUWSKox*q9-$^A*XNk>%M2f=WC6E@i}r2 z$x?IVV`iz=t=}*6n$8yGH_sT7<@X97@vFZQcHXKMJto|qlKb#kvS7^QbA0NN}|9F{J5E;ITjnLT}Y!v*i=CWY7*$Nu3@fBNg6|Ms`f2J$$x$%qN`wG@My(1w;}M@G!t zV;8I>mPzEte0szF6v0fu{b_CsW($Iuy<9LG+k%<=-ZbQz)wv*e{{oX)s9-h?kP%DY z%1$stx7ugAxu*p)#|1NsCUAt~>Vnyg*Kl95;ewgRFQ$iKS4PZ3MrEXPF1B2{BuWU#Z!)zWwG|&6j!g6qnDIBQHk)cWiK47}k_jg@48ruPYHE5Qr3_JVeZ(;^|s-KJ@z60fK$o$><#jeVeWh zTE)|`qnZ$vQ12R@zbdqN;>d7vC09IMsW&^blld5UUXUfig{qL-pf?MoSbMW)`wH=v z?IwwD6{=h(O+ep14$WDR05+-I%D5NOV}o&{U*9=_z;3PAvt8Pa=xXgd@n{zLC?eW1 zMs&Zi(i4}`yA1sPZXm$IVnkVtjKxU7-DPI;Es&m#j_8Btl3zU7cQ~A5h?>EDufBCA zF>UP^iF7@!{eo-P%-TRoMAHon`^p-jgF7qUWm)mVGTv$NH;k8Bmz7uOMvTr2Dc4o4 ztMqm0Z23Z3pl;!B4%v$;4EK#J&zTm8(6R3@rB`r1GN?3}24y0f-veCC_+e^IK2yw2 z4?C_nQ?Vdw7BDn*bF38R8%D!ZH%AnFm(MaH-_5yqu#FL}V?6+Lxx7RBW6 z%>=1YLZaXLyQ_6`BpOT+)M2_g#$gt4NS1h=S-@;}CDWCW54WT(=ACYi&&?3-nZigP z(2TjimobGAuN*&o{l#;$favCo8OM~|EZ~B+ZLU$AYfUd0=_9*2>g|$FEuLozgVuB? zwx)~MGp&=}lJ}zM-ZT_?6m!?hnN_=M;-bR^r$uzOl(e&SVgUp!xV4J!7C=%(L?G|y zonj_^X&nuuA;)Q%o7(izIwf0M7as+5FvIqG0iAW*(>(eO5j}PIE)daM)P9RF9}7}? zfRs8Yi+nRrhE^LY99m(B_V0UoUJx+e11M4j;jwi^-QOEtdj5E0J!X z8>q~Qczxv-KcnXdWCx&H=erN=>YEY;4fx5<>4o=bna3-M7p!3I-1@*>U{ak;S=uJmv#hb+ z5h6NQfB6_i>E0n}LUw>WhR3_d{Ayv&9`9be11L0lw>>>OccDRbT4uCGnFNJK98|Z5 z6dLQPr3e4uBsHa5IKTWZw&CsGG2x5V|L?#5?c?A7e{ern|CL_dZS`ydHp7$!$?t?8 z6m;xG>ORPEvGO=>>U#P6{3F`nc}$5U4{Xrf)^e$A9|qRvlj*Rm4Q@R5sl!6y+^de2 zra;h^>+4rP4IY}NR<&Rl&ZIl9W$^HBrDV+DrB!`T56m#9F#3D&@HK;nG7kN74ju-f z5=}#PYCF@amJWW}kF6d&Ha2svywWDA< z@FNv|6{OsCrG^zZ2<;|~>rfeF``GihSzqyn!GKzHt|6AJZW%xX73+EExUewEo9{Nsrue#lx{pX0SX)ot2YM zXY(C?^(b?|N#%FK*-0sjV<;k+Q0+~AV`>Gca{{Vf*Q*%3uoZ(6zExEYsAypnW=fW? z{=X+1InsW#iZ$zq8Q{m0Duxo=-u!K-HZRo1^tM8!-RsV@uwxt!W3(tn$1y1^zi9Ev z%eO$8EZ8O$C!^y!VqpYH3M@vjDz2k=`+#htgU5Xviye4wlkCOsEJ?`f)KOat7k2e2 zhOMSw`>R*#z48YtR$u7v{Z_Pu(x2ZaMXZG7Gf z{Xd(4-Wrhl?mBu@T*(4hh-%}%Uii~3>}BwJx>bp2xX`9qXjvon>4aN82Rw~v$gV!N znT9lt$VYpf_R&rud=`3TKiP{|zq-+_gJWB`hXP`80ce$?r`pc>3Zw9~E{?q$_LZ ze6mpH;htYMqg`3A+IG&IPbmjvp)7bmB9;DD?lHT1ZNe#sRHs-A#0MDrXc~p$p^rwz zO3u7q7okAjT`F<3&GfV8uW9n#oKVmz0WbHgEA3#GJ^~hFWF(@1%yTduQcv}c08G~B z+~F3s2zxzktrYv%xeIyK4hlzBs+gNNLazQb80iLnEL*ADJeOU)l89U)qEj4QIH?X8 zXTA>bdPTJefY2&k$yAdD`E`983moI?PMpZCW zg$X-lVc69dF8%!>&7&{lay+UWA#?(&r|s30lmwLPP1>$Ydp#je5X7L%`X7ob%b zHEhg1E3A%Eg~l#LAy&-`WPzx?GHWpDJASQm7gxww*5gM{iUKXuz%9qGFlCJ67gJ0; zaxY((%@*Tn2$Xq$uI}t={e)-K@?@hvc5_0_c@^d?%mB$Bf8=XQYd4Su1#LGk;C2Mh=BPMR4Z_cc~{8?3YzT>mLe708dP*BnCjr?HD=UkXEiSC}#CGx#9ChX2v zk?0LCuAs51rBO239-*<8lyO}=yK|N(U@k8IE&Mr=3IO zw#qMKT8Z+sHAdUDQvl<83gA5j@Jmere4qW$Pdf#0w*62~q-F_#8g)Tjg3fWFaDrNL zG}Z`5qyQC9_X1;$NR(CLFA9^B8R@LkZLGf&_^V_a@@>W%?e^hX*I=fj0H{Fk4~6Iq zEv~b7CT+4Dt%sy!-sk%$*I0Km+7u_7H}JMw(9ub;iKW2f!?eC;^J(Artf!+*f`POz z>C@#q)D8;sij{%z)d81^NVHvs>7%v)(I5^oG}3L*UTwwe?zy38O_+`K6NEqu26`#2 zU8mjpx-#^%7ozP2gfr=>8Eq)(z|**Yh*KN;DShC!#j}^kl?3c)RHj7)31fxn+B@5j zY!{?yYyn7c;$&9GKL4DU{R#^HoS0ox^Z_HYweT2VMkyzqQBR0Pu*$BzydNTICn&vc z&2!2c-{AJG1N$@D{ebS&$u3>Inq!KWstjR14t-mi%PRf7ejs>f#@yYH%QSKW=5Q8^ z*EEWex!-ownA_+(wI0n$r}nj77Bhl(Z3!FrsWbJ#uc8c=u$U$RmOHeP~zxoru6<5I(|=y~O|YKH^QMH%yG`s9Ow*#r9Y7+U3AqjFdd#UGb(}HCfmH@+S2E(iXD?oV{8o>~s^A&Hq7FKX? zhS?id_@x+TZ=Km6PG`0PZ#R|qpN>3Bj;UQ5Y3rG>q9WN@DT+| zPVIegPxnyvD;|!;0Rm_y7(|4i-9{y6t9fTquPJ|WhQ%^LlpzoLyUg5KTM+aEK;@E$ zbA4jw}r=I5*2eUl2~_Dl)LfRgf3)-TVLyH|ESX>ZpY*bm+i%LBw*_}7 zZhv_oSEF2-%Of+-L;BG9*lm%NQm(%qOV-TNDz9^0+M?(B{sS36H#KPmxik)iHx6OS zB#F4KIK~z7%#TZBF*49_N1ZmoC`kb$LrROTf&*w)@v5`FRG9~2$Cm|ra2TYvBAejy zT}2&v23ONbTi(qlgb=oXP$N^F+=TU1o{Y4N`me|jpq^KgW0PBsyvCiN;|@ajZj?_J zve&qi9K->Z)&IzRd`u(-PXr~4IvpAlD*)PB4AZ|c%r}PlOJSJrDcK*68daKFV1?UX zU#ONT7{*MPTBvo7(EhmGz33pT6G7k9!f!MS;Y#&0twwhx*o7w%TnKjIrLeBFZP@ze zQrm{s+`ZDadC9QiS=)wfNnL8&(87nW-Zor;Mb0tBIedJJ^FXJO9BBgRWVH5^ZBnCd zKrW4h>M*jT3d#_?u3>4BOCzDWB^@tyF#qcqOv@~dgz8|HW2W;zDA~@(UK`E%OREf* zI@u>HiIaUpJp{7Dd~hzT%i`7p?r;o%zCIF@uZl#Au)z-fit?&L_!w*DhSE=`W9kM% z`Te8bqf|_8;JzP3W7IJXN*K`t@c>9IydkwWr1pl?-jLdrAvNwYvSenhml1SLa2w2r z=ZGZjUFZ5n?KDe{STig}E{)8rozs^jOCxj)$fc2)H7K8xE)Cg5Mg2@JjZ9x1j3Cmb z)lB@<-sx?wh{xXP{(h&M0eAZS2gAm7;HPj!6miNa;v$qQ;*2|eIsZ=2*#_?1>8|lk zPji92JKf0+$mS54J6)10!&3e4Up%;lyVDI^5i?h8?oP*jmvdn}{7#=1T+LJKoxYHF zy1F7x2j1zNMwSln=|rE{8Lv0$;a31zmU~RW>OcZ+r^A6flGkWP0W6>^FbD~+D&43z z?j1kYwYFSQ0^Yfe>90PSlsc~=a zO3WJf;tvkF*|3~(FD}y|_ZKr)lpS|&;oKGFaSe;?Y7yAWu*W~4ycSfTR2n61?3n>r_t)*Z$k zIS3*S&l{uIQ-^x-#?9$)gvzl42hkl(5^aHj!hw>A^93XkB{>A#wcNXFX3YnF#%%Ei z05l+p_y#l%1vI#9@eOEHUK8|W^YZlt97nA4*TkHRWsm0g%#37|DCn`F2=(c}&$q5I zncAe8nexIaQX7@AAM|-Tm(LDf4|B4Viu}RD_AWJIuQ6yLHwIS>=`5wny^HFgsUbO( z95EKU7!oDU2P+gDpMshrHdE^J+-do_Zj9!k_NhK%444|$io+(4-2C=gT7F(jGdqH* z8ud!9RfmS|V@Z2{CeD(Pd6?fkZX=c+rP}tFzhf{FoQ!H1Q#+dofI_C(qwuHf3Rj~? zMurO#JV!~X?(P;6lHD7YEs@oWUT<5oi=9BLQ|YMS==E3lv_mkC{+!+;72eqX`5KJB zbKJ%tTNehyg2(-q*i3q8R0b^c-r# zkz>r=!0S7#Pcr6=M+d@w{RgwH3#@d=JYlvNwJ1h?<}c=oQHz4Ri81#eq~}R+-GNo5 z^mYuObg5|Hu3i>H6(M0er#?dkb6Dh7ep4KG7aD8@I!#IDfU9@TU%f60`#tB&HRC^p z`|Y3q@!#$kYg0#FB|@g+wN{C--kVSBt#(K0*9{i#Kk%vG{2OC^DQRqTU({i&WA3kA z1Y;E$!^x4?nvgg{$XqkIYb`XA#>@|cu?AeEJ_94?uC*Cgw`?^&kp0si|Nh6ne1HSPaK#K=1dEMFD3g<9#%qT%`Nc`ubzCTO$k5Gs zp$r*%nriQaGWoSJZNuz^GRf?hEZOJl-xHQrSkkW6UWN{-YjUPV(AJQqp?jfhLMY3m zuene*G={Pf{V9{nmovWzs*kgoshzCUwZ_0)O_0mb@r;7}ks12$*Hnz1B!=xK)a#Jz z*0?p4Q(IQ6LCvewCuHB^#ez@-Bucvz-OHy9onUjKT{p~Y6hJX~lSV z9LXOcNUARCpdZagE{Yszg%t*L0+jgHDFHO!#!R>h5)Nun*#ez|{D&U#PPJJA1h`YO z+t7ll+IG?=U@B|yt-L)_8%jH{O#%|-H%p`XXzaQq0u*ZV^LTr;@~<&~p(B=9Y*jV4 zlSak~ygkpd%Rn0l>Bi`#4b-aFZu%t`pW4d! z`#gt!S}Wu4@*K*CdAcyrc8 z;I=PVtz783ENY1Y%Yb~qke*yLf&fHO!!M&~MB|%A^rjKzniOQewUI_7w@FyiS(u&N zSaO;$Uz|o{UQHuH%U~dLW3Nf|#b`w3n~tTNzMdmp%rjWl5nQa?rcd$u`G9r#{a%Ut zX%b*^O_Ls6&pVgT&b>(3w>Bh88S`)dJkA%LgYsd)bVDuuPLHuX3Vg^$)PzeV-2K&}gjZ?ZnlrPWZP0y2FKUol#8fp9 zOA)$OWBf-M3J5G^)obrYY7uA@_JQ0=nrxc|5S96@`ymf-uc^Ab=j;J8MnTkbUHV^t zlO}%%(qt>Z{VLL=R8fuuxaBN{Kr)S5Nt5G`Lz)b&q{+F8G+DkPXknXIGbhL^f~6k7 zxqRd0+HWrGhiUvEb#)_m3+V~&NsE`XeqMPCFYeTEPJ3btIXGNlb34IjcC!OOMJKJ-YvRcu|-$w%XU!_DY{~v(?Ucuo_v;MP+ud> zz&&kyJB)PkqCT&hKBHny2jCwVE0SiY29`F7D&BsHZ$sBE$VeBRISO)9=l9MO|CRd> zMEB6v$j6pnKHFdv%LqGagKXpD zE)dP^gdNu9v(yGj3#<+fPIfxT8px~W`ME9QI&Tm@3dSW zP9JTZJd=)`T&t58Bv~pLpk#7=X>eLjVmfy6IL&}C|1h0AhZz?;XZ~U)*N1M3^}dwr zbEwe7x@Yt-w~J*+tt036F$Kvt+uCH*At0j3Sbno~`}W!lpNVuK6{b5R!#L0N@|$JH z4KCgopV)RIbhwo}1%=h_Jxg1rG`JMW2^+mO(o!H}H;*kCKiS!iA2;_XDv6cBW{0R| z#Y)U|6a6fWG(;ZnGt#g@tqZRdIswD+VSt@xUy{c`fIZ$*abg{RdzM!I==1<6%HxoJ z^n8RuZ+v6wRP)ix%cq?WLb8-iKky3?-~* z@9qC|D*omBgsu5nICzl7&<-J}u|prevffK93LvXp-<>4G7OkrM{HlkhGm>tp2Wq0io1A+5aUOqqa+{>(>%NK2I z6S}lr%!({aa((wKu>!qL6aL%N%Em(Bi|#*I)uZ)#`uhrBmOHzpg-DKS@~DH-Lgu91 z8!C2A>D9BC!-5#2uU?{1VQ3y`y!{2A7^OR!hyhMs*7x^9jcJ7I5b}qlz!at$WUe*1LZ1hGBb*E7fp`L~Z*Uj3a3uvM?}hod{E*Csj6B+1cXE(9>JQi%Eo zMXc!PInqD`D4vo#blPj^j>2p{)WW=k(1xGWD110$j7*k|1uILZ^X2>3R8Qp6NS>Wa z&e-&V=p`gMHg$VFDYUqJ+5@^lTd9kHSzbOZ^dhJK3RFV7@Oj%tC1}ylky-v}!;(U%^F`f zoUpz~Rw&93`q7i5H{bZtOcNVlaG9|aUS8jR^C+CUiA1H+-SS(>q<|nQ-hL^7u*eM* zWnM;SEWdlY_#Tr(7~*t)7CVr5;q|ltc&g}mmfk&kY!~?3A*+WGVgV`z-1_zjh#MSJI-QyNf}%^-xpt-sBi5coq70^iy@zZfC#Z0(&=6#lVU zxsLHfHltJ5!MYrAFT-ROmY|}74T)j^;j;os3{co04)o5YnITWV&8hX)H#8vXm zlE@E`5{t)i7^UoO(s`CXy=*`zH0kol&oCxO?jR?Ub@?<|DD4+siyO9(WFhF*sQJ$* z&F5~;qo*k?AQa5Wkt?W|pU23l<+E)fhI$=WM2s#LS$X;Nd^uPMN$>pTY*+pE4`bxe zH(_2aCJzdB4QQ9&J}r+0X|?J3=BwdDxrD*i`Svq$g)K}3UQ_7=vpD@iJB5jb#=$s% z^<0tLmpB9L^&f}MQDuCi7s0xn*un~NWqV2G^TIxYSGf#Wqp20Y?V)xj>1&nABWOCQ zs$HK)LS~!O@@29^owfrb**Y8brzBQs*gRULDm0NxM4H2G$c#_OxC{y|vne9uzZkhh zg1{7?jv|+MkV`_c#5cL*O)mLK$tC4PkfpF*$c86##n9d`5TVi{0a8$T=_ExSGyzCw zl$&!A8tsRt>xQje&^7O2YZpLXx~zCPyk0&Gw4WJf4z#G-HFZ*Mh~H3KDr(ZwyT3e9 zth9JG3@sRV#6qNFYx5H#FoBBJ?cj}tUQuBdiy0j%F^!@rNSxm|O@36Rch5p}FK>^Q z7SFPqE*5cUb`u;a6opi%+NO(p2CfShHM zC4PSi3UU@%f+{&HC3WqQvt}tJQ<7D=1f7IrHF=Z!dtaBJ9)58oIcwgLGRu*(v^*nA z&}MSh433pInZKARL9tX9hRk2gl%R|3W-B_a4VMT_&bk;c#7#4>S6gdptBNSHblm1v z1O;u6q{!{1{>U^Z8jXK_T2bB+VYu&yK>E-@#Y~fhsM%4|uDrd{uUL4uS4x5kK}w4W zB!Wp^&pc!s96{dtg#L`uuaD$8P233^X9$X8W9y)4FEJyqq6SLLNPwEE>FJc1(dpg3 zE}w-9@?5Aq&eY`>QeJ+ms^H6{z{<;Kk*3_}eUbfOa+?5!KzhHY`|Yz;H|PUQ!?cUl zQ(ivp%!bY5>o-rAcVv0_H1{OFY*{|k_49@62oXyiM}xD((G&X9yNUX4qNWvWr?oe! zH!d%vFz%DF`jMvXlzY01G*M9(j+yc<*F+7^THMtY?5z@Lvieck@F7<-U$KeGr-Ch3 zKR!uDP%R8yf-lohD>9e1fX4}ipM$_(feQ%yUmZgrIo<268)&bY25GkuYKnT9gU;F2 z@BQ0<{;v<`%*SuW(1c77qwo|G`=a9Y7e%l1?guns9unN1k6`9NcdbFl#0ynI=029L zy^onGhIR@}8>GY>Nu^B-edYlmj%0qWhHmy*weM^VvQl&S`%yt!a*8}P)QOp;Ls!~K z!S8(U`K$#&wx!OdPA+&~PnlAudw`*Ku&SV4IPEVVN)^g-M0lwHehRVO3~X3YVlKo4 z)D0@%SBFLcWT_)Dx8eZg#xG=c)Q0J!dR9P(E`*Gub55dtY&xZm*PEV(9gN-+$;Qm@ zhH*2Ci_qTV4fYUFXwDY`FYXN~zQ)4jh$_5=#_VfkqayltEb z^VkWtkd7T`_1*{ltPzEt^g~x5dHXjD=UlFJYwLc_~t z6flCL6=Yrv$AcL>NXYUMeuC?Q%pc+peSmTH5-}??=MOMcDaXz5QLh@*#8!J4KTrW$ zBG+x=?3AxC_U(h8I4sHXOVR(N(XWY4XofVK)Ka^^SC(iqr!u{r(CxZ+*yxEOKkl8d zyY;&`M}@{L-7?y$Sw-4o_S}M+tZG*By=v@lim5Q2^&k}n(Lg<#^7~$Cj%YM4>= zg(N_3L#24?R0y7PV}w=-q20fb8SYo1*%~E3bt(qIn>{)e0Lq^u!tbuusgUC{O--E& zqn!$qEb#;(v>f@ZJnl87V_SRUURF94DMznz+-n+he=oze6OP{It?Y5_uuig50T_SG z!1y_?ow|l*c38Gkfj>AbU;n{ury?|td#Qq2aGAfD`9(8;YgZIPE9W0H@1UVmv5`91 zXazLJVQ`+?#%|>{*oniHf|U0G4r4@`Va+b&2ev3k`Q|*I7KdNkF@7=UIga^~9b-`) z2rk12-s3!vnXs6P!^025dDh8dT96W>#iDIY7E%v52$>YClj*E(oC31uu_!C{F&0x} z4Z<97 z-{Q_!RD)aGAv16%Aeu)f${X>J-puaBxFb-H$BayjRXqscWCnIr7zm8EhE>nLV1% zjh%|>A?Y!&kuD&CG9#u!XYjOey`jH${v-w;NqWrY<|+^>y1FN&{vnxh2I+oIu!ISM zflqk@dk-=#0#=ZK6_&oVVPX+{%^J3nkp(XykT3Mz0|M`G_x=6HSQ~q=cDX-|w#{XP zqSu^|&Rw=@4;3N2r-@U}UFf1CO?)+k7swyb-Bi%)nAB^%foRp!o|-9Ley8f`dIQm; znW#QBjk5c1zene!*a4)qLgeVr=wIS-YWkPpN~sZhMf5AR&?a`KH`_uhn9WWbSse&P z)G}9cX)k$idYRnuc#mEtc0*p3%gJH!*!W(W|9(1(xk4L(!3L|riX)aDU_*76-SpfW z%5IY+FW#|Ra8Q_jy$xwx+|e(_wWr7G<%!&RiovJ zm1bW5t1__?4nqcVYj9`mwZfyLMy)*jUf`HZNaG>lAKaSx2d>dNf5+p6X)sFBxb+1_F zL}7aH^~+yt-sZQTI(Ur*>VZ$bnC5qV>FK(8SOD!>vR!od;pNLO!uKrrs_KcD2T?F2 z5B6w*rY2Kyj<9p@(4J*47ajX7ZN6$9rxF>pkqW!PK*=AL>C73IqBeXv;ckrz1VR)AVHV_5U|k73;_6=TGD z!VLH3xiPi`20@q_O))QoyO0F6-(3w166|A&$}iM7?2Iw2C0XKi#<0?Hs@gHE+@H&h zVL90WBp8H^GH1uWGGLH^U3U8Vi|4?g&^8VmW&kC_*MINuF)W>Gb(ts3j$z?1+8q3p z8^f~CBfm}UrdD*`Y6`W_ChTfA|kJlLEaI4)vkul@@R{J4uwbtR{7u{-@LZ7no*I)mhxYY!k zX*vA5x0>o$=RBjbx0(uBgvMKKqH)qI5fayMPLqQ&6p+*Ir5ark{WrCA}X-ZI_%KMQenZ9HL}WEhwcz;?1#T^IK&S; z7B5Q~6NNIf6ntc5kPkc#eFxpWy`^=!j3F9zF?CAQWtBlwr^^I;R6?c1bJHouKbe6= z=0=GaphW7S3h-TZ_1Ejsteb~^ z``@C;{^exrn(&aQr;~l)q0R4Q;Mq@kAt_-id$?CRDfoP+bI-bWnvFEw^T~}qRIeN3 zvJ2bC)_E5{q)REdu)=FeFD-LO$}q9X^6M2XiUliOZ|fWvmU8+WS26CeP8=xxW9=;Y zXI@X|G!nu3AEddo$h|bz2hvo?^=0d;42g;{^bVu5msXsN(Y^nDINut0=&^5!o0Cs3 ztvpl)BlCGW_UNt|4_j)zTm!DASGTl{BT27rGvWAb9V@-Mxh%*&iXgifJegsTA8d-C zpQBeN(=hSAp?Y=G^?P+B17(g1SzrITvgPb{tZ1)SCp|a1S9f3M<$HCb>Z<4D(Q~~z zohr)x#q0Iz)@y_1F z>J}5D0lSyC8q4`B3$-H`)%05thyvP+ZXGrp06Wu5zh7F1A4Y{s!FDPTz)vcE*1t(> zpKj=)Gq9Z>$pIc3Qa8hz!exE(L?a*osLU)G+}RaHAm8P z5QOG}zBb_VP4m1anq;@czy8Y~Zkxi6A7d?vOJAtye5odt^06v9Bc*GCbnTW@&FGuK zHDNnRZRD;znABE7>8Sdwp!Cnjm~XE z`?$BXUyys+=SS|$J)gG`+sQyn3n^J7gylQH4-_#uR7Vl6S@u9*A=@+tUzQB?_cU(t zeX>ZDus`nevSJ^^j!Oov8J?H|VC&7S4PHFB;A~3DTndR%vQam6%5&e@5A)ZU-1>igI6I9WH;j9nDl4NGRYk{I7Zm|(#Ov4t9E-Jw(RiN9zeNKPv?|=Ts zKbUZ1CssX2!c2rKhb?MjLGZlDOuP{n@BbR+o0j{nwnm`zzy82XoaE}8kz7OaXmS^4 zCdyfF6iT}6wI&obt#Y~)xsE^o`(YV7* zgfP4JUw`o&GZCU5@rzY46QSv0Q}yv(p>*&BP38|~Ai7DR=(?oQajM;74(a%J8@!j}@J#*K| zFXy`fes+91%6G%VcO#s4oxIq0L;N@AEb%bv0@eK(Y^KIH!5^?Wz% zLPKF%?mF2T_P zD>l#3I<4EgZX=d7?f?lXa*ihDNev*oTmUFU-YWsmC|V^ia`uXAbtPE*r$ZuB}g=UwM=zfL)6;qrApk{Xk< zmFqlB1NN#z*l49yD{*74C_r#Peb)I_4QUiz|i*r{UmQUD+Uo38G{ut z#TGDqnDJnwf1Fa~pwcI(<1&q``zhF->dOs@w2Ey})KwyxDyk2H)Fp~06=?o&N>+O# zj0SY4o$Q`|wY^bIwllOTYD@1pq^yW9vz<@|FYf;_gM`!!_iWsl`RB}bWZ^NFpt2oz z{cIRRtudUX2LW1HD&s5PS?7jH)xZb)io1=+GTkHgIXUGTj^RG>I$3$c$Od7VT1LVqx3 zGb0OWV%Wl40fubooqb^`U8702gQ`g68EFoKk&1?b=1@_r)KI$E+#0DgNVwJr-ysxo zYiuRtKRh{BhirL5rJ_IR9b{pl%_!%wA=MWIB~BWvn|Ofy)lx82 z!*y(`SwJ=}Beazb*FpF@_*Bb%gwPCAI{fi&xc(3gR}!IpH(cKh*Peze%@3CRcuLhx z3wr1xP^rUaW=4I{mt?P{4hwx<$Y1w4Q*BmwOVc)+oe$DkIaC;WyZY{|gdX;98LtJ+ zyaiS-1E_4j7~_*8#tuwO_;SnlsEF|P4aE7orh{XS$_Xy@<)|efghTbC@KdVj(MQL4 zs6f~<$|gf4HEeMU1%xpP5(ly}EIm*QEBcT`yCpjrtbR)&p*Z$RQQL*)it1pqaz$lM zrZ5kzv3X8@r*m{-Vj+<$+0H!gqE}4P$|!2Q^3}qsTT!uD)9+Os+;YZ3H=t2^+vnvM z&TyaqSsk**j@)ACcLJ&-iKLzLH)bC=Tkb)x8*#a}p^dEt%(b76_Bke+g`QyNz7V-4 zA}m-2nq3?dN5CkEi$QDFC7ZiStfwLo;e_U5-r*V&kvdf@?gO8tiuI6^uw@qr2T&Uu zWn|QDT+oVD~Jd=<~=fI|`z?mE&_OKc%@9d7LZX$x9GF~ydck?ka8Y* z{>4Upg@R`6||_5V3p}ltjn|v?QAHFw4rYSa;_ z3%iZp`ku{ww~F7hxmUfgf2CIOWM~COwb4)z6=OYlLL*>}*eZtcYK7>|ttpj3f^J$& zW?HZ5YMnIg7^lV_4p&xJ)%yuTn%UklNKd+@*io<@w3~FsXX%9?X$11+W?dC&05@;e zmj=|1R5t@mYJR?}loFeFbMi5|_3(L8dDe$3&qeL?;o3uj&APci#@aMpr6*y7g5f#2 z>|KovSnb|;g+{*zsI82Bz;}H-<+XHR(Z`qD#MWT)wM~L;dm6iy(cK1dMD-Rs2>Z`_ zi7i7V-LfE!TRUre>%=njtAl#WGRV$|lMvz2<#<%E&Me={{o_@FR(SHhDopNA=+>I+ z=tH2+TU2%XzCt%=gQXi_$E2#;BE#Ls=6c>ttwM{71ewu-E7SEqbEHt;Ff5aVv3V%1ePykRk@T3$sw}m|l%z2O^{vnDiMy zs_au%pPH+ZCR&b5@1BDMO=}xXo2d??rea7A3xcMCH#P~HW;gb8yr7Ac01?%@0$NP@ z=fK1gl3ex7vAy1IG@ZD?DN>&>y7e$lkvj$DBqIHRwRc;RxD5lnp*r&}$BS2zQ_IO} zGgX=JB|FIz_UWuSwxDd{@j;$mt2nQ><6%igGp)6y|C1`M)pQ>6+97MgO4|lN2Lx9k z=3sq3-=34uZRJL?oqY;b-)NH#Rjsk25_P5e#$AQqz_`r}cjlwn_LJV~8$X2l2CFsp zTJ?=d=C&u7{d+rFW26%G%eBT{uD*dT1Ftm(we1}x>WVyrGow2#&zM*oqrk{3WWqg+ zyb2hjUuXE{dQVKmM}gWL`iv|BYpF22p6-X<9=5uT=#DRY4Fc$Om=JT6sx_SEnrH{^eaWid^01l<=O0 zdQU_Bu+va*Snydg_t(EC;*<~1cTsZn%cr5RDI>A361{YIM$u#LoQ7)Vi7If2ZdmpL zj@_`tUA~UgTtv561^_fmC%q-W0LZt)pq!)`I(VWrlkNMAq2ZN`q1tWn3W_LdzR1`I z2Hn-RzNM9{YRk)o+IEbbmo>6~Sdv&Cq1&v#*KR`j6;JXr(RIPy^W1UGWT<7SII~Y% z(4AHk>vv`o%Q+I%leWi6@;2q@#o`Mv0o$_N^Y}s^%e}aKw&~QsUsBq$)frBPzf@d4 z8zdS!BT5$2x39`n9X+$#;#u5Pk@yXoQotchil%^X+x70_)yHNZkp!;DR(^@CZUqE*qbh2y+64IUu!8HkR`hF?8p$aefXT)kNV}}{|yBZ2&MVE>7llX#njr`&)-u35Ge1#z0z5zW` zaR2%q4KF9(z-^SlsZD2 zNUj6vMdvgNd7nEgSQV`$02V z^g<6x$NNcNplBA@2S~?L(pSwx6!$+(;=GO;_-+5c0!=kz20@Wd;(gHz*8T!lg9vaXaE^K zoqTqWj-Qje)VsV)f+!4lkmsCC9eaNi+Stt9O7awb1#hRZr7t|?CT<{UZ z5LFZHr<@J8vX(-si%`RQ)?^l}=UxpQ-cCezt@7DjD!H^>{)}-wodS!A)$a25NmsQh z;2Y8v_Og<$dP{q{EPJ_^M)4%F$ea=)lnV%h==@%QxTBq(1BvM~$hnR;W&E z`UOe-greoX6hCH{?A-{h%cM&=%e|qrcWBn=tHmFkC-?Qufc??BT3b-v4GAu; z+oQ(Au|NZ5^OrUnDB4<^q1x_3h(3v_$Ui7TOP-%~k~-e)?bB+Y5ck7vjykT;7y^Gg zmZ7NqdimRQ6mQ7g&0=-6PjBCJZk~1dw8p@gYy?do3n{>9?0I_ccd38sy^GSLk~VC= z3WNXq?|=LF_y72>55LUoyCWSgED9#PQDNemsd`8yJB=MCakv<77PZW>tPvLHtO`s* zK$t3O%%WWF@bTBb{N?W-H|)X0f3&k(?~yeIvQU=aySf@LRZ3KfkSU)AZ?%`@cjk)gt0aE2^K6`i zu942aQG`_rZ&M?9R8qv*Stbjm0(BpKa(sq-1`&|&0EWjd4V`K~JI}@@cS44auIHoC zALO1*no_suArA{F=7YNKtZ=ZxBwM44g5Vk0Ghki;GCXo|9eWCLQ$j)+E)10vH{Q=-YWE-?G41x4unsHC3bmHIr_>P1Z^-c2gzFzccA}NArx4 zhKm`jOuE^hQ8O^6%M&9Ko z-7JK(#@t`bF!F9gL;s(LG_QHmtyYtNQ#Hp)H%Si)one3m+m=Xg2GQyX^|HQpffLE5 zKd+YuFDO~FS^+vCIjQOdAmlc4XbYqi55yU}A2SZ7 zla|VgW?V|~VBP;T84jtuBG*z3Wr!cm2 z_bg2}gDqDguv^S=gVJ5pKLfV#z4Zz0=6fmT zFfI1o!1;@806ZZ?%VY zimPGbGJkCKuq@9nzjw@W-TL&PAXwWb3H*hDN}wFaeW?Ic74^`Zca(-n+X08rxM*NNHQ z_}4n|=Qe$XEUQReZj@D|AFOZn>)vTje2GaH#J}yNO9gqb)D&bZz5r$@CNV%2&1;8^ zn53T3S3?n1!_Y3j`&OkCYEl>bT<U)HpRu2uK?9t4C3&^mr|| z`||bm<m(&F|-gy#RHbWYKY3e)D+U1vGZn<+D5gLig=H^U=_P z$mxwxF#|3Ym=0BJv*@#)j?MQ+@H*8NCI-~p(^;EDx*-5@ zPuF1?6(Ky&lC+#$OUm=W$;ofO=-sWBZ=e~SJd(*}_7L-D%hg8X*Lw5!qdI z88r1*MhQ4!$<#VSwx~c0cxe?E-o^*EhmJhagRM&7g#vkOKId^)C@G)>h{;KB0Y(o_ zn=I;+fA^{pwn2T4i8U;#8XQtwt>BN@yuEb1{!;Idu@g3|l{VQ-gl^j=dA^8Fs+;tx z>OH%3w|~>JN~_t|t=}?o5jA^&M+Ap=ju3j0TL0AvqT{*Fw#(X`B6n zM5yQw`X!kd!=|B3CKm4SXfSMg-(F_>UVe^YQ(1T%<)ns9-Sur1Qx5Xpu<3nEhdCu7 z?~#dx+&iVD;2kotv{pjM{=LkwsSK%()7M}8Xj{dxb=VZ_ zQ{_wN{$g&})Z-b45}CNr)vO&diFb(jO+$&#N|Ws2^K9=BshJo2jscp`(UN^g&e@4C zy$5yTODJY}V?R6K+EXB4B!GZo4nlXuAuOfIUaIBzx(3z67N@VTtjjN-&CZ+JYhdss zu!gB=Zk7%&TUl>JV#?6+c5ej14DVl%D%2dGM?(P5yHzh6wk5FUl2Wo`EsrNwPq zh#SBww7CZTtxl>wUEQ{nSQwvHDXkf^-<8t5ZNLM$&sEnGVt*>`WP4|x*&A?#P{%MQ z-+QAy^gzmk{IuSH{s?@k;yts-ImX1a?F-WHTyei|+FX5F7_^3pY5{<==OC(5&;pQ4 z)u*DweOdq&pN55XL%c4ZFQvI)`U3)nQu?6d+d0I-D&)N$loehAcQ`x50H7RI zgx=vM|MCr9KA0&1X=?BCS?ZJ4Nzpz5p)+5!sy+{XXFlfbPwdWrJ@8-L`E2{4QyK$c!%k%IqcVglyva*^4{-SeIw-Uy z=leGPT1~c!;xRKA;LsdBJ2kN!h{rDNwNW6#^CH{q+z zb>fYMA+*#Wt58LP12ikl3XHpRYMN~@v}HR;PIdLJe)Wa%9X8i#o43!7@AN44-}XJ5 zsev-=RGH82Qu>CLq4t0(~@U`l-JVKEWdqP0~Lo*^(NguGKl4Grrb|9dtjaB^-l1K znr6vv`=pV_H7v0=+J(~Sif_}bzKe`mhp_WI&q+F^vQQ67OPvRYzOa#}ZiHI17k2dV z3&~-tu>kJ+IIXym#og?u-KaLoIf?BK^h|2D-YpIPs!M6vphq zaT3G4Q=9tx)`|?qmixTo)3B;4dUfll11A0Qov1mrZ8ra6xo#@ z@!-y7Cs5 z_C_iBH0}nWAz<9ZTH9I%)j+iyzGrH(*d_ zSn1bciBHg~4jI>_+%FDdf^-{3JS$AIN+*ids?rH`)+&@vkN~LCNiE2j%gxwncx}A{ zBAxJqJb`>cQw+|r7y_Cq>gA5yj22z%QZhlu5BXg0l$h^V{M=W(Q=Ll983^U9u46+p zpc}HAE|rhsw`nz+y#Tsh@oky~55{!o6+kq3x+w5^$lUI&aYAE&&;U~m5LiG#YZgM6 zn`-%FEPz%;Q!bHW`xRpw8;J0zv17WS7-IKRYBm8~3I^1wT&g0{*pC$#PEA!aH&rzw zC}`M}q+Nzq{CE2Qm?>G5ZA%npLn)YI#%+_ILv0fs9p8kX5s3!Ii$ILBW1&L8} zSqSa)w&&?i(wvf50q5s?tol2O?CBNW$&CnXd%kJ33b>?NFkuR)hKYFFI=TFVo%%-c zie|49K)6Ichk?`rwGp{xUFTSvA3}4VOF5CLis%v5F7@=qNHUV&g?z*fhHGRM~J-QC5cb3| z?$Q`x;>KDdV?)Pl;VIH9I>SbrXpXH3puA+0hyTVgcN6p`fHZ;eIumgFv69uyP%4w# z%vM&Er5o~TuNVa%ZP2yA){>FA;&3HMavN{a4#|&y{g*$i|JR5Au(j6}9zm94pfeul z&pW4_14{${p{P}~?wI!Mb=CnlRlbV0P@F=2q#du`YnArEZkfq~_La6!4jG86_%`(P z2QWpS?7V`s2jrzE)ujc(*6S3>9vpRj45`0J>4MwzwK2tT_7%aR#sE>rF-@lnRd#$B z`Huke>Ew$Bw)eQTZ~itp?TT+hr&e;8spFnf`t!+&OWDiH;IH{M<#g4$d%8N;7Sx)D zq@(Vrje7yViB@=Yy1T#o7QDqG8g@Y~rAslp``trUK$C6AP4sGgOl+42YU7J0XYlPV z_%@Eo5uehwR%@_fk@^c|Q0vZrg?`>yrTe<`>9KXWQLXwlhvd%rV(ZB6iXuJcpyji1 zC-Orf?=-{~PWIqp?gYQ1dT0H}!)WiJd~{3Ym(a`%S+feLK+v+YqM2yXHC+Dl^W|Th ziQbHXA2ZTDE;RI@?RnkNsBZH>Cd8mMThmmmvt*fQ*4N7~($5X;avRzVbGUD5S3B{A zo)>z*qtqH!2yCuXn_~?VbRif6)aEs3qZ}rTwehg%#@bzeiNnEKcpNLv(Hl)(q2z_s zDjrARn7!x@UB+D~H(B?6vnUO6RF6GesIk$cGs(X1KDKmUL8sm~2uDG~t_P?r?tI!& z>q62f>$#_)ze7!>d(-kCS;}jD`SjkokUGa2ci5Q~E4vn1j8;58zxCyYvIeFDC^Kqk zho$?LjQM#ldzarjn3p=<%bri)8|=z-s6#<-XoqwyzXH3X&EU}%#U}qwWt6E|>uY0E zxX(^%x)O5dqTTQdRR2BLQT2FIId`IS6qxIBr zYFL)FWZMtkCvuGP?gKh#tCl_?PDyuOHms-%*m+ypRiVJxu&l#D;h1)fZ5d8I4e8=< zlZKmKU1LLXsLesTCrg_B^hjF+cxf22>j}+)F?cKhe`Ky(a{(u6uIv3Bd_} z&*lDJWwupAgxyDND zc&K`WqDP|*>_S&ch{w0;UXV2iwRg_)u+@IsEDyk&bWE(*vvH>79ADieF6n;LHDgHb zwzzZhWGjSROebkmG<33+=2y5{`J@|5`DGTMZMGk1hbYmNK->B2A`fkMmg_SePg{s7 zr!~~rPPisgiot1OSWsI^H1es5o>IB~kN0wYXsOm!h1aI<{i#})ro!@xALgp{wV1yb z=L6_i%Uvwn44Xmy$7)?Z;{^7lM-i5A&@NZ4OJj11#VJ*-2UP1rvcvvC6y!0P$P1{+D0(tv6`JpbOFp3fqctcpd~ zR_pkK(WkJkTEDrI-&aaj>$gTh5s=90`9O(PB*2@(Fx#7Ms%O!@PHm=!Uj6==rmDMK z#g|7D(3aX(e7&l6bmHAYY)~P;CV~D^2J%xoThJ=;4L067KQ?YoQ*VAX(?d%woEdb0 zl=zS~=O?{|sZ=17D zDOQvY>@)xwl;bex*%~@wp~kRsraB4TGrRbF690Gb?Ig;#tUnlc^f!p~v2FqRBq zAO*B=!*_Cy*N?-ZQ_4C_>Cbul;6B}ro`^km|xZgj{LxPS|`(qdlRINzO6|^?#a|kb{?@$^lsBX z?`)LuN#u}z8x%-+)0S^jf}jOrpi}Cn=cg-zDK_&xYn;PMX(a1NbD_DwiciD#{-xmc z1JVwQv?H70>%RgrhaIKV>}8g+DgNtkoA%m^olUZ$l5D+Bs!L&I^L3^8+CA($(6PG# zRGKpLa*5R}lJc+r@`q2+0QYh8udaHGWLj#R=ky@=-rg^9Uy`^Rm5!z(ZiwHeXv`-?L$w~vKV5*!wMyjy zWbC|OmLFJ~bzS`{)Jqi>*(9o+cw4QU)%QtAmN=^HyN3eJ2=hJtL4NgmNuYfWI+>q) zW73bTs5dTjPTthWhfCM|oYeML6t8f7@+gm0@Q5G?mtQ`8pEY!iwF=Rf5Buv8_R50-SD z#E9pZA&u_3PKK}lUXE-!!r9(rY%X)Z2wtqqP0eO!O2Lb@xhdA%OliO~E+v*~G5=s^ z1pui6V^z+`l&5f&2H0@9G z>KZL)cyZeb9MEeVEV+RQNda#{-|P@uOtrC={MYR!+pB25{K!I%>&s{B5fv8F1W^$5 zG2ezg$ro;Y`7dxzi;wDO_T6E$j|XMZ@0e`P=#zsL`|_s$EP-J)|@svA}#4_~^m4ij?p1#O3u zgp=3h)7b0xG^(>w;A?FFar=QwVl)%=$~B8L`Hr``4|vmRC+KW=^@f=>66Rhyc;ps) z#vpp0JJ3L@g&-Y+ow_Q?`8;cWLE4AOdx@<##kzAp9qq&cD+{&$MaG`bk-n|pO3S(` zL!#d8>v6#RDSB5a0{3i8Hp9Y{i5eL}zHuywi6oe**1zstaxOjhAK)nG(%@H+uf#PJ zl85Uf=TFzKn=pE3%{$%j9~$sT*OR*8-w8ylrFNyct!lI@Ngh-L|bk)bYGf&i4R zSB36|Bv*7@MQFv-(Gq?=0~Tq=vPRHBI+kC-<-cF+qks6*pZ@wk{_^kt@%KOfwOwOJ z6>)v~5D(qUb;F5P&ZqNVwtFcSSOzp6WA6Bl88;i?p?f(A&vgdRHHhwZNMhyhnC_)y zb}kO;=DL?(bOtNCmy$hAOH23ivuXSJ40VA&RDd?WR_tB|Nk@Xq z^-90)LQc|(nc|>fr6R6q-eh~TUw0uViHy@`oqHNP_b<+B>{)4$+v{3aKr_5i>G$9uV+s_QIkS^%reRqAi#^L|yFsfE*`7Z}Q7W9Ee z@#3f&Kd)eR*_PbM4I#}TI+$JeWWg9QPc}mks@J9xc{96S!|b|m%T{Jr%pESt?3!e_ zWb&l`po88asXsVoRQ>kc0)`)k*)`!8L+&qTesMrHHv6-3BbNNEop(&O#2NK`lvWpP z6|o1vT7k)t)oc?N*U5t1=Bjy$*!QDo24D1d5%!k3xok-_JKcY<84Z7|W~D7Xp|cl+ zq7j^LkJ#^Oc6h92bLHiaUCj!td^7W=BDVCBLnNxyRkO>_Ud_5ujbTVGu5c(vb6+oy%6Q$Y*6;Qit18zi8Z{tw?;^K18iE;^Yvu(hypQs0S*J{Xj$1R)?rFkC?Uk(IM(04WWXiSIgl= zK_+sQ#!3o12xHk)Nl=%e7H97ntR-ioA?JUStCAlK`h(g8wiN7rTd;SM+#R8qahISr zx9JNB_R?sb)2rki?0<;9Dwnjryss*TIFrkn%;);5xC32du>X1csxX8LT(EZoeBGwj zr1d}l=U@K%rw;Zk+>xdfmU@b0GC;6QrSf~dFYfHw0j&CEk{^U3Lqy7NOp^KuS<`s! zNG4e86dlmp94gIuk?ge&l?!Ok*>d>n-wV+2$ud$h4wdqIf$TRLL~1gUsqbUPzA=}! zqBM1xG&vi|3?f<1FEaCs9xJsO4oo&}9q@=`ztMwsyW>zI&JMHFq|~XEi>qhHfy6rU)|R~gKv;VZti>0uo}_!U zy?dd1fP8Lc;qchz)4%`M$67^w{AO4o1P{47wIv5DCo6=Y`q@5Fz8PyCqyk?L zZKM#{3+0lj5?{Zwi;bC~NbTeY8BWMwaOz;e$AHWd-bZv)K{XZ^X;ZKe+6WU)DfzJQAf*xD_!z99F@)@JM?}5sygcLx zn+f!7ho~oX*agYb#YdQx=%S)(XYPVjhA{}$58^tz50dNBKR-q#FIx>cJLPc~I_0_x5z$HO*K+!!5J)ic|p ze7aUUjx|GWs?~a`9TJahVqa>jXDr~6!yVB>=DQ}kGVAUu*1 zf%;MKNR*mk&OP3qg-wQIohul^Pmf1BbagC=M+S&MxnjXkjL2k_fB<~s0Lc%QUHl1` zAS`37jK#W|5zXT2+2UXXh6?ly_eow%+9F@VP_LC5XRT#BS5H<1P48aX02cYT6~18H zY^5}*On?}j^LPG(F|{ykI+Hx$SsZTmiggv=Dml2?wkLYtYH{_n3^hj1p;8|(51k*~ zoM6|3jB}O}xhB7>;-0ik*S)zXZ|=#Pd-CRT;=f&kpeG>ah~x3Vp{7jz;M89yEG4Leq=!ryewl=}9X+pPP>V z1qO}!r%G*c(5PTLGT%C2R2Yt}vy_igPzgqhPMcfm<<_O}b6Y;!y`3QxrOSu2R9=1w zod>mpRKuPwJEGh2S>-Rd3r=eK0)yPVMh}|LA$8IlYM_m}4$w%`n(*3HHqP(27U6g^ zKRDvf|XVK9bUm^fE?MN-aI%DefFsHZAWs;N8jH0 z=kHK;oYjAe&>O32?2a_vDu@m^3|34~?UH|tlN!6XuRvQ-WusF5PVmq$4Cy91Fe=f~ zgRnb=gOq%SvCv>gh2+#TRhrv<$NKgiSek=IrNjfW6qUf1Q5!L+E!Mcv6-6)OXJ9oF zEwtKjs$sLY=>$K}HSF`{p#EsKFDSWz&M^cF9nI!A6sT1jhM0WIu{);HuE&U}q5f`D z&(}1j9(kH+`Vw*sm*yA+>NK`qNOFuSy_DiMVskxs@1o%nw^6~jOrokou7{8?R-ESY zHe%5x4J=drrGRau2Vd2Nk0UFMuON@%I8Pfr+KTf)l53jOZeoT}a9A4_k(yjSwOR8Z z)SZ}S)>kDUl#w57#5I48CXy^%CyKZxlDjUsMt*Hfn==<9*T_02L#o{fUov=-jo(eK z$^5yBXOu9Yr3-%+zGsmby z$xcR0)3s>bPtzgJJZqXh*MkRjMJqkthzSB6w3M$9@(r1@iq7}ON(3xC`qeQd0yM#+*Yt@4yE(y(lLmHEzSvHQ06Ba^^2~%Cl5fN3cxLi^ zxh`(5M1aJ3s+zpVGgA&$hS!$uQ-{Vrwdz{tm~@Btsl)iprE7}AbcasTJB*lpXL`3T zp9NuGo>r7Eah53O;8>Kp-V}V2)m>*vey7GuxI{JcWKP#cHS3}ImG=(Y6m8l&8{GSt zy|XT#EC^x-rTvGKGpO?NTk}^tylDBwt>0)Z*S7UrIHKjaL%TeoXM+AmMbE@SKmY~D zoW`o=y+b2IxU|MgTv~MhISZ-e0GdE$zgs?i%iog_TMxkeVSVL;=l~f;*>u!N7`ru| zZN=lr`XRskI2KpW;zRak-S&TYW1%)@U8EKN#`?vCS5;C?vyflrww?*XLO3?S_x zpF0CcB=_T&QDV%vW$ppH*B?MSTZyq4g)cuqT;zVRvWso=N5FNJ2#WEk-Yc+q{`pli zxaF76)}t?aF7htxyRPqY$gC>7B)* z_2LB+GK+t{U}CkGXXVA=6U4Z_5hgdV%UAMO4AEn_s5BebJK#qfaR^N5$ zKR?I|290&>Pk$$XDBa81W~G;3KU!JE*|zMUv6K>;yC@5OEKtv1pdf9VrOADIz$qkY&(YQ9F8VjqSqV9C% zXx#mFH)fm!9v#F#Z%D7ypQF|H9*z6v(Ks=JHze;>|6ZmM_6v{3DPfkhPoAL9BnPhZ zihSkVvT~txZn;;?qSjpD`{u`UH~g)PWsyc;M4(gZ4_tonI>dquOLEcD?KW2Q5 zAlI?*J>WaA{7h}u04QS=MG_g0PJHBctm#?BS5uSA%cpy1mzvmR!TQKe4(WwT+|X5BmVI8e_#&D3zCmG=(Yc$MZ=b#Z zuxqCm1)iFrSkuM>7L%6E*ecJR8kQimU!>^EJw-RerUUjKioNtutZ<7iJ`_7iLd`71pc`0A155?do&eiW(v^6A;MOAW;~_a84(y3v8><&%NM z<k{v%E-)5@|`^3HEalc=_B_cMoXL9m#|6ZBUIGxh^jF& zhVAY*k};t)Z1)C?&bx0hY}cluHl_l`R|AZEBVaW50Y)}M?#Qu8><zsvY|Cwm6zJzLTrj5Dgkjb3Iq!M$YE_s5q=f3eBVog9;%5rWIH39bco(Ru=R1+aHI5aAe99oCut=M3P{u7GUHS zoU6!y$nPCsQ}r(V%;j_F zHebEpbp?$Xy;Sc8vmois_*lKm*wQ5CN`sdsT1d9&)vEVdvb{OhR!sG7rBIs2bcrWa z@8m3qutYX97JIWG^5@uD5Jj&^v|QFXne5-&LCZO*919O2L()AQ&~mbRkFy{dZJz8e zqLyArv>cY(o5Qd>3xa19Glixbg>742%*oMD_Tx9h8ug4LY@ea{g96ay>xm#NJ#~8; z}0)K{IYZXh=;<^AMXuZK$M$i=h za#FMx$Z#R4keGbC{Pt-?^HPK+7UX(8p{WGO%K0Uq!44QIa48yFKr1lyp>F5$B9^1l z0~W7C&s|BOD!Efga?*{YeU4t2XS(Xw0b84%gM1V^Z7PV(F#DW1)2Sfe2UV}9n8td0 zu7HE5g4X5JoL0op&E9!=41GAj>|~ikSk%KPm(oUm;{kPy`fBnNIKaI_mGnLQ@{F|N zCSV7pMe)Rf_+y66AAx`>jWpnMwjHO$TX}<8)B_j#l@>oD}H4D(zGN z&e4%Z(25=DK6StK1hYrL0NJ;#XcyX!k<1;E-k*LzsPNPe#4(?wXFK7hh*i;P&>} ziW(WI0zNubn~y$S5Z(UJNUi91c8(rHBlAHOGCheC;v~H6i4=w^+(>we2fA_RmZmA^ z7n)Zv3xA!ZOS>I<|VKxlS&j(?Y8o_p7 z$F!Bz%M)ahquC_3iGyJ`T1_q1t?u*V7$e)%45R#@=+5$^ax|w2h^f9i1~DCB-5Nbm zF2m6jp!M<`&9_`f<`;iJxsEk6Y<0=ny0g5V8M0=hmv!bJID_Twr5>k zysACKCI%pzheR5+s2@HiNZ(;o4{Tm@EkAns1T3vi)pqojk*lhpPJmG>FdNkexEr%$ zFV<_4Wa-IdB_>bxu~b!&-E&giuQkG6XjcN?A3g#bQlXYUCF4{jj<1GP{Rn8I^a?t% zH^S?!#0$+c&(b=ek%E4<{-7kL3FZe}Jhge&JG$iQ;skqlNViUb7lG1HSy}Qij$vi7 z9C0gmvC7ogQKEby2B9Lc_-tCURD6OehH{%cwoFsnkZOEC+a78~&GeqzZ|R$5N<#UB(9#9^J?tTNrdE3*x}trBUS%Y@B-7|4 zJ>T}waa!%)(Sh)^LU^=7eCp-YNLDNx$%+cRpaSzCFL(==INVC=tCerdMu7_z7?vN5 z6}W5ZMs4iJDt;t)l(F46>Pz&>d!WbiPNpfdaOH97PX0!E3d?}E0=+r7Bw7oPxNJ-kDxmCPg)2j=Rz-cY285JPDD<(BUJ~rnC6)S4r z(q7;MgwBj>bebgJ)w=YC{>Hu*J=XBxul@WKHO7FUv*VIPM=1%in6P;7b-u&3jzb3F-Uy%HmQY7 zb~D6s3CA!XW2ne|ORuqV@rN9#s-9h&j`_Q1_wL!fdv@=h-HUp5rA9$urEBx_?t=2} zM%`_y&$o*OaG>YzL0VEmGUhY#S;1M_+3WII^3cmI-uICxR))fkvSB7n_&^gWpQJ~1 zL%0dgNG~g@_bhwMEcEu5DE%O?14yi}e{*P>DD6(|GvS6IS-ut+y+poR@#5|BlO{(I z)J9FE^^vO&B{fwZJu7vr_h^^Im$^-|lf~7PRL$sk78vPMN_hYR=K9Fc>lSJ@Ku(R- z=K}V2jy&AM7NUD=E`hNK_selg4nnu!abTB^G0SN)VwL|0(%2c@oScB63u{`p5Q{Z+ z+1IALlbUhgLRtTZzyI;?fBr1%ho-V#mf>8XtY6FWdwFg?Q@C7NZ-#rD+8FsnX1HH; z#%w~vLs>t|u=IlTb`qnEd3b?NU;~<-F19fZ>3(&`FHJ<^`!KL zMD?&_%j}F;uq-o%;L5Td(idb|Zv}qvob-j8QPy+P7i!(*N$CsdNjqb{{)4$+j4RFT z>8$hx&^EJ2+ZBsM5CUS)|QV#b=h3@Y`PmeDQac5%tdo~hy&((Z~&Eb%F+|6T%`(`T|7oa ztu7wU7KI8KW=^U;f{tPjiTB|P&qOQo2lb z`LXf4aWy-t)6=Gg_U`n&J3a4C&%4v}?bBHg*GV&}W+S*6Kh?o%d>YTQI_yjbU1rjI;ZL#H6sLjo_Y4}nK#}_ zg{o)K3=8-l`iB_%a=n5YY`(1;+|frD$XQ{OUG}lsSWX13yI3wvt;3U75G!1lPup8~ z&8!nNxuV)h2ZCy5onL*l2b1Clz0E?soVGGy)K=5VEFJ)Q2YJxU(n+hz2EM7TkKMR#A$IIlDz9-;^k+;0N}d9*=FJaARlCdI?}*n9d8PoC zj%QdfxBF5pI-oBg^`zcIW4@JxD+|WHn(2g!>$CzpVW%CVyqBVxfTr>>1^}|!zUdf-1+5U zSxO<8x2QZ7U>kPhkIRt6<7xk1$Md1Pr{nISH#3}R7=ELURBflKgAY+1siTo|d-vqA zwFXwU9$56gkLNTc-^<5f-udoX{Mtokm%RIL)APB#eOjLo-PDb>N1*{FDWuwg05YuM zn-)Vp?e*2-bcDIZgGXW4m(Rvb;n|r8wMzFd6xwH}iL%YKVhtL1Sc*>a=1*?dByXvf zV}quK_&7(3DXu~#D!*fu%K{0j?)#P<+ZmFP);NcJk|$3UHa<3SG*t*Mee=)QxQy)# zWo+EazcvCJ7fju3?(!#W{QmZ4r`Wh)&i;*!ABBzkFM!tTaQ zC+`thxGUEmD0wyqF$*XHdhw^VUh~_hXXx?bQcOQjpi%`vRTKl-z=yNfcusc7# zIG2XWnrt~E)@usgaUZp2O-kuR!2-`oLe~j$|6&U%YWfvI@;l9Mzg57fsdb^G7PKyU^$ysu8M7Phm{yb@82GUb=}!6W z(>l`Nk=L2Q#5c`2wqNpmP%X8Zly!4>|!vK$T>d$Tjr}g43sV>*@~e zu)GARYV4U^I$^jLP{XUn?u}_o*#rIbIX>9rg##di`F?Q8yb~L z58vqdF<3q{=9iJfs1$R->0@(`>Sf$*92*~my;E%hBX&u=WBaA%@-?13kQCdA@| z*z|-Ha$*!=V|u$*RO8to`rpkk3@nhdME3DBD3ixRtf*mI$njbS1+ngt?D!p6a9$jt zMr-O1IA~zTCuu^BlTi6P(6Xu!3>kBW^ou(T3}k$VQ9ewKmvSjStr_Pp%im0bFtfXpM-JV=7;l)TRpqKqvR{@e41tieW#`OxPh(E8L~ca8i2 z!@>Fc0bXig5@T-5&fQ`4^BL%nWc95-@ALc}r>xK=Wm?TWCs`kXg=lra08w>{m~Hkm zAOTfDKb+`9!>t2km++3?7! z`9Sg#Zx!mdw$MXUs=g|hN@dUor#?RjYjUG~Jd-Ao6=l|xCXvULStHw123sSx3NY$b zQD$jF2nxSPm02TsjTXwR!uh}q*^q9m?oq?|AhcD20AaWnm5lFqC0qIJr~yyCFXOV;mJz^N}n@BVE6sVAgaEOR76uK-%XoEUoP z0vJu^jZs=Y_1Dll9~gT78WvLzkf(#uw^2&@0i^l%b7mC%igndA)^jpB1uE=b=&}PePj%`rBrsXW1CW<`RNq4;ZsHSV3uGkgDvE*Q*2MyrC!nVwVTpzM zSha5pmIJ7d@jGfc(9=Pa2VnczAQGyI1E#@jzVet6o4_RiMp1Jf|IeLhOWxmJayk$E z-7T2b$&w+Q92^JjMXmR%5Q@D$#0qK5^2aeyZDoVFd}?cD%Q%&jkqjitd$-?rdpf)uTp^w1_-sD?=P$tM5mnz>6vo4Z2vRQn_e1gZlDBT}j!KK4^CWNDe- z$Sh6jw*r!jj&KW-Tba~ZT5A@)I{-Dxgv=*(uc+TF3k)>XZ|3~^&9by}h)`IaSOCtL zZ*%1POr33x_!w*s(FC1=z-^yz;M;I{RYIAWWVxld{$^SAVQL}34Iea>@2t|yuiPTd zSjDT*ykaYo1tTMCP|I{=YXv$DXhEq8Zw*hf_B%8~EiGVVI&M}|5tqLMOKXxz;Tt1D z_DCvQ{l#|}pJTs*^fd`WU8G@=qCA#bpnkppDY9&f9!l4$-~($3U$7b*p1()Diw}%X zEK<}&SpnpwcX1T|>O2>;iVG%hRDB7tCck`#<)iBZrOO&7bUE>-yH-NEage@pQog%6 z&qLpUW2$=8bs*#k*c)O*f}iFyW1cvQXr^7B1p{zhn5jGg8(Vb{5XnCBp%PgIfeiZ& zC`Jv~xU+}o1{J6(NZ$;=(!%H|2Ei3{8IPz74VEt| z3yC(km#H02)jKY10hIvvQ3K-C0RebZ!nit*c{m zs~K28c#V}>B0MK^HcPLz^5yKLeZomE%)_=5J^T;rA~he21Kru;2ir-DP!MB!Yd0Ft z)=;ma%V?|?^44^e5=C{5dTZ6FR;^|Frk#x}PO34}C#m$jCOR2HjM&&!6cF}}xDt|~ z<3@v6`&zYli#gG*CXimmqYAq~-tw@km`#nKo*CyMqVj(>H3E#PxEF+qd(_cCPb%Hv zPTOHPsU}N-jHC!$5|WO%K9!MsZc4{|gb5uk8Pj_A;NDsJ1F#cvFAtTwAAn{xBO-Ys zB0*p}k(lW0`NXnLN#~I>t1n60DAbs`x+u!@u1%#fKJA z@#wsorZ*3w;=>}j>GH|^PX0v|6<`1F&ktz^be@+xkH-ufiM9t(@gT|fgqy>|M=`0F ze|I%eaRH2`DA~=3iW{*goMP|gcu{dt`6flh1)UFZY_n2ST*S!dRE&H_R9wD5h+UjI zQStE}I3{J1){2U6j5_N?#Vw?|{qyhbh>8c1_`Wfhzx;byQE@nY4%63vF!zhrL5)1~ zgjrGXxm8qrf~ffYdTb5F^!NuF+G_BC@sE7DkO#1jW9@jhJw8A-KnqXFKV80TgKWU4 zWA8SJ<}GF0^K-P)sPs7&P;m+~gedp%915Wx_(7VXcoiM5@3KWG9%bBd2pMe^kJ-mb zshgkqs0z!sPB$zXV$v5ZlRaWncWA2w`cY^x;1N;8Yv&<`OKEuXXp22S;x_) zzO0_vF+H;#UBG*G!M4Y<>vx6Epa`-`8lm1jfGfgw#vi59X&~tot_a%_j}&pAUS9>) zoxJjSNv^M+PO_|@p1X__hw_6|;HJP8(K8SS@A|($qw0MWja0xU4~4lnBOwkbDj3XPTS@S-B!L&jG_Qud1ws zJal#=JFHveuC^Db#P>qic2aLus^*PMa>9f51!#aPS@ zEWiK23$D2#0Kaj~*Wj9=3)hVJ-6e6&NeE!mxa9uc*SMwtTft|(_`zhx$^0<5X24&J zrcQOZ<}AF+o9;~OMbbDmWR^6mn+g|+PcI*ssUOgyWXT>Xj}xYJH3fkp2=(hlLYU@d z%}%{x?{O=y^2WB5A4rDe@(Iz#ybA7aHQLw3&BMZ$kW+~n#jyM8b);H#vZYY3QI#pZ zdbT)Rka}Vs1j*@8K%x?JYvmhM&4XkWVgL1C{&1VMS$E&ZP4<8=P=DE`|T z!q|S44k@W>M@W{E-#H4wGEV2Eox^q*;L)q2+YsX{#Sv&km?VzWXhalu4ogvL3gP4P zzE?QBPZ;dBbJ!q`o#W@^A}^??8ZfN%20}}=V`TWYb7<>5T!l*-a|&(PD9xGNKz&Z< z^FZspUI&7>?Ht-W3oRTSPY?;J!UPYz-J4=g@#Q!6dae@i=~MxJHhl#(-P z3o?pRg5e+3l)CL4_S{bBN~*wu9OObDA5kaf)yJIMxwh1(2>B;v_~ocWONh!TbsD}y zas;=_2Y-CFNwQD_`RBS1x1B>;nu1D>@eU*5&`e#7gWGFln>bC`IwGdh;BedaCA{m4 zWbq;k77XB%2jjv$(8B5O#7U3Nx6d-#^&Z(|y!os1VQ?bJc#mUdO)n)OLUBn+h~2@2 zy+xby$D!jC)Nv>$nnQ`<2E~u|g|7g7sG%44C(G@qky` zOj%6J*b1L2mH1W4VuwJf@Sf*s_!w(9h`!kk?ro0`A~c5*%&RF)(h3UOa2`TxF%xgL zE{M>I=W_m)Ly7FI;9CH5NNw!E%d&>Pz1Nb0Y^_ux>_I5X}e+)bEHVXrATX zOdz(H$#rE2@&wITUusQNQtO#cI1I9WdX_sq1robUr$FuF%yX*TS+d9zGz&{I$=R$2 z<;dhro`B5)w)Xb>;-so9Z_})-o6TK2u~4B0P++5DdRoPyP%Iok^kC;3^h7F^b&Q!n z?g>;6z@^c*KUuJL%@xQjOt2G!EG*7Q^+Ay{YXJX62O*r>K`2vkYllRXiVIPAl+p|p zw}uL8o2a1R^K5*bc`E3-HSQzs1CHzxMAy=#VgF49eGL_~P1jPXpjm3>HK?Eh6HYGo zco{0F14Gt){l$-_f(}0n6?9T6=$!eB87k-^e-VZ+EjI$2=O5D-B-nhr83Jv!d7TlZ z-@Ku((|X`F6*9ibu%=ihb?ZLo<%T|sPW;=l46xV?MUfloLMevqYus3Wj8CgfwdsXOAcJ74J_Hr@Hz;X* zr?_=k8q~{6$YRW70UemsIW>6(LXtPBGpRAO_$<5b@}2FRw8TtRWY$!N&`2o9Ot!}f zNe`Dc@@>AyiDRm2KgfMTMXFPv;?(YYyn2uGrV5Rq1oa+3nuBmDJ{BAOq8+b&zBr3l z4=5CyzEqHL;y`-#&)brj49HCkxax)=C#)5YuT3KD)AkrO#%jJP#Bf zO!wQA-nPxlr^)lYlw34f49Rh+>ge3s3AwC`-1%OVZ2~&1USRexX7`Sh59ZO+bdtJ7 z46fE9h{iY2@=~ zV3DTV|_do4*J&RRyK+SelqC5a%aR1F8ezKZbNn^T)5mWt;-(sH2s=@#E>9gt zxexY$l>v%QGs@>L(JX@WGMkKC{vlt2yt$nuLk>8m6H{uJw-0l|19lVA7U9#nK97dy zFTq~o1LD0)lIub(>To6$#GQy@Zs$vo*LTeQ?0-u>P4?E)F9A5q38SX!OXT?+pX=wm zVvWcN8Egt86E>!Aq~P92q-RrFlmbC}8&JWc8F^9!)(vT!0|W{jbMQZE;?t;iL0RIQWK^pC=6YN0eC zI{S?f$`hq&yzlc3hi0-!bKxp{b-VEO-^)^(;GtnMHX*rRyww1AN|Vq0#SEoM zxlrk0*mdmyrAd;D##(_b+LZQ=Yr(;hqagZ=0tvx;A|^-*5Ab;xvTz2{`&g|ldeQAx zYx+A;s;d7kWa~}H6szTi+~#B9HV4yO87(^Ldw>ZSJImN+!i73b$K-(%*ODX(r+^&? z12ayA>vTsK5~`?&CnYp`v|Zqyd;%InLdQg3XNCC=*#BvPRx^L`e`bj)@(sum)5)FU z5LKKNuF9OR&?Kq#=odPHk9`-b1v~3zjEBr9E&qFZfY6d-AOI4&Q2^-=1mO+nu zbT$L#6Bj3g9wr5r--8}M!k|aIR4+N`5#(kMvAtU9Whk%3X1@5rgC4iX`#}ahwD3z` z&0bY>gPHGMhOSf<6)zIH-F_XWzFZ_US}(VlPCY1EU!YvWmUtsuRdJD*n5XPTZViS6 zC$ypfy=If~C=`zIT@?!N)Bl=BT4(3w#IdYy_WylT%{I&M@$difx4(ZFQ)_RnWEg38 zK-ooq;5s1h+m4{M$k9kfk_iQff}muGREsCHIO>?S?g(~vrb`AMJK`W2cy>ES8TeF$ zx1b;#6164m1a^w?%_9p;EJOP0$0Vq|k^#&{!Yv(kg4192<*N?Iu!$vo^3CkFS1D4f zLsw2uadzjV$fCm==xTGWJBKFFzB-H-RA8IqrJ2)sneVP?yi{YqxjtT&_6;kHCUujY zas7+WpDpOSL10ham*ET9r^K=D8(RCA5B6q(ZnlyuW+LKzUjJX=aG%$I@bmrpUxNv0 zonca09c4WB$$76Ex-qGG@NS)V(M`bWXn03g+74{+wxL;HLhN6(SghL>>9V4{7!_5O zT-P~CHL{abi%T~&uF!leImfnS-8Zdw&@pn@6^L4C-_U$Y1T}Teu)C?3cEdFFf+t|- z0L@5)nU@-%VQ(Q-75Ca3NnJ3$m|p4;!!GR{^2}Pm+5qLP;CwEB|JxxX5l7Rt-krma zUbWOq%1=}=G+1Bu>VLE0{$RJBp3HIGIqb{~dRAce=}527M|*4(Se9uj+1JFny?Zuf zzFhsg^zK>IZh?TC^5?hKyAJ0x$UBOsBvoR`1tml**`#`p3H22S=rkdeGW~8Ul7skmi!W@1>u81=ZA1u^!meaz+JtTh;#FHExXFggPdccCgHIv-K7hO8^RTA}t#>^M78)P<;c7|T zEhbUo5j=p0&r1D7&|@Pk(*k-NKmcVmeza+8)23|+?EqGmy0M(T!&q((7N&Yw$_B{a zFdN4u%mDUH3Voh`wmqk3S;?xAy_!2Ah{iB1vj!o(+bf3^UW#5hsdZtYwTl}*f>7Wa zb?eLQ>@IpD)+Hb^8UT5*a4;SfmQ#HL+u>&@yNh&wk!WkM6eIFp#vT!fSbz+*U^FF? z&t5Pkf?!-k`Xri7-T3j&R-rK}&@ngqMEO93^jKTHN)o6^%e(%3fQ;%kFt~peK&$>N|*`^7gyEbJaDpFjR!zogzc2TW!PofAfYtk%i%kLOe0a z=GWnhPLjN3AwnC8O|tRlWE*@zu0*?6d5*ZL|X$d8f0W;jwO=IK}nc?0bFlGbF z{~RI%vhdiGqeKSW3q%G)G{043fR$dmZ|N|TA_MXTLM&+OLo2}5GLTV1%ZUt3jUodEBmc4OQztTD9n=YI<}c<%1|arkbAK@>y#~ijAvCmHfiyx3 z&ZWAefP_A`dH%Z{oOawgw#oA_WDLUryF9xU4harwmKRP_JJ?l;Bv`F z$vA0Q^p2CW7r2f`Z1U~`pQtPEE)ta4%=L>+j7CX*B+EdPPzP%y8SEUaVNb21EU|MB zSbKM#?2D1C?v2k%lnFoD4LYe2Nh{fs2Y990ci2PD?MxqA3=8O>h9XA!av8G1Q)))m z?ditW4J~s)>OMIpgvQ=sem3Z!+x#$5=5#CNLME_e=hKMaJDWlmoQkw;=7I_x!;|KM z*nJ-d;y1T#9@@>{ww=>_Y|MFmqEil;EJg=&oG7Ifc9%bzWap^&QZGi=YJ+)^T%mbr zqkg1J2cT?%JNLTStp}IAZawTG)DBe&`$A7fQc)KVJHUWGJ(|N_Xyqb)j!)yx79#CaE?~n>_I<9-_Bv@&#!c2 ztuLS4_+Bd22`}GEuV8Z7jqfF0n2@S@Is23E6YQXKoec|B2PX^U;mzP=(5C z40vqXWWuqo7a4}!AR^?kyK@(t*5&YZGE;j#l|MR$?rhi6|E^ih`N zUI$NQx7^ghbKeI}GPwR#S*d1Jy38_T1yt;qtY7to3KVgjmC#wjLj*hIgs^QFo7M$N zU#H)68k_x%-P&h?$?iPZTE1Kiz;-L~lq!N3uMXQ7bs4 z=|+laAl>NeBgtl`aYb>@#>>7Oh zg&fIy?@|8zKCR+&DkN89LYHzo6m#!_4P?7F%D#_K{)n^Xg&`E&&MMa9`eAqZ0xYQ= zqor{)Gv*)JbR%m*cXlvk&%Zp3Q~Hhc6Ed8gK3kH^*) z9y-tk$xN7*E=m44_V$};RX~%%<_{9$+1Gy-)q+0U7ou8J91Rvx*GcD%HDwm)d9*A_ z(4r%5cD5;li5o35R8TUHIis^^@jIBl>&vcghl6FXZ6I@fnT3wtS!4|QXuq6{v7@NO zD)uR5+$NPrDX&t}Ln_%(GhSVwW3ZvAjgA3~1Gt-T{-cOr^VV+_vx(R8em76~7}@}5 z1T;%qpA{4OmO+oN6e4arhdj4VRA)Q}H676L!k~v_Q4Wn1E7)>p!F}5~G>HnvN=tGl zm#VoT*=-ZPS6|GZ>3#q5jG|^zGc?&jYrsk0J3py8EO*Sq3{WFjg4jX3^u4?%HD5ES z*=Gl>8jshU)SLv4oyL&+dtXm#3XUD3D(R_q&^pC<{YlMRAiF>7lKG37NzED0C@EA! z^3=-ph!RO47Zfy}V!!S=EQj92o3^pK5DR*}z*#s}((VW->lOHN5%}Nizyp2_B<_tU zjH1as9wzI?XJ;M3X$s%~!k0S~ss_wDqBE){qTK~EZNv$cYnyJJ0yPDcA1$&84q$-> z&F0;&_bn_29s1N>h(JHxXl!K6+`zz)Wy*6e&%+^G4QMZbL*8~iJ*oL>-^KFYX{LT} zB7R}-oCMRYZ=dC29P3@!ci+zZ!M#?pL$lV0yZj z=``Nf~FQA*%wrEqYhk z5cFnE5!IwX#km6%dGq%^=p+M1%#>?&z~mF%m_}qV^K-co1^2&Ti-Glk=!*2Gk1ba5 zeb}ie6@u5+FAj5IL=(uq=4BF%Z8wpZMqlM)I1rJouqItz#UXMwG%KDohMfC#XpcC! z7OR;hz~%y-Mh=+DS7S`-kl@D74ZeI7p(nV}LwA6Z!CV@54a(x?Q?)mDU~r@B8eq6O z6j?EefZR*-HAKjh4X{{)LS2}$F;TVUhYF)EmL|s0Z=*N3v0%}5N&(Ecd}a)TMbOA& za@j)%toS(W#FyMW4+kOg0CzJ3mGg(lX6ID*=jUN@RMjFuq}7f{{`2@aLMZ={sMmk) z;bCVO@HrGaLe`wWdw@~7QCae!+IRXBetsX;>qlqSZJJo;?;hEe%H*>W?Oe?hlw7cP zz79RZ03V~|jXZgVJeQ~%cC#Sq zyZBmMHonS5n|s4$D=a_DW$P2ZXAhwEs7BzpK&=bXsb@`E&-A{(5|?ed4Zxg#Y*qDD z$;(JWEBUh-${2fU9UxpZpDd(}raY!A{1j&ujT0E6Pf7K5YMVt!86M%Bo^%AA?yW-$ z&ZiPys&`VTUl6Di&pJdfd_A{pN8?Js;JSBc^oghWsm$G|FCXYruWXTLx#PHN;?P(H zc;+*Er?1t#?j6=4stY_zH7z^G zzbx;)8_s8m@7L<3(1$jg>f{gYd9-~tThN4eN|!PgUVhJ%TxsL8mo4OnN3?4}b};z{ zDZ;xB7CYQuz2+ipmVB)lIr`YX93m*cd^*)>vDQhW&V0=B*R9WT0|cRu6f?!V3vd6V zpt-(%I$3DQ^3{q;r-hJOcSp@ueNSedL~|Y8aFAoDNHj~i4%=dWxgLMPWM?kM`EqfBR~fcqRDsj zzSyT#&^BZe9UX>>Z2$>AZ$oAvc#TY9kstV)*7(kJV(>DZc;n?WuiQ$;7Pmj9TzfrZ zKDTmf$<5HC9p)=>cc}qaH-VTg{6@^704*EwdJAH%Jp|$&hAQn8kK;KhL$$*5Mq&{t z72^Vv@QzSl@oco5me4tajur$x7`ZR^AW1D>E<)!0XNRr5;JAW>CK}`v7^?CFC^y#( zN`9wiUi~vDxi6mJU;pI~x3FZb89%D^Ch#RNP^9QFbUNJu^E7l-WrMyD$+O^HoPDci z*;G})Jx`uSqYde__SVdEA792Ou)4tLI7)x=M!O8jfwMZ(0NbPrmm!_kBE+*hA`&~v z)fACj5|N}3>WoMgPe=O?rT$Q;pr`#gZoCoQipv4hC^TFIo%W#jw(O&jPXaYF*S#mL zvc96T;``7|OR%C9$&()xbaT|`6}wLxKnIvI@l`dV@MeyTh6bEB?8^s`A4yy2$Q1w-1l38=Obq$<@?8Q; z6jf)Xjm_ORxXIAx_DVf0Z`iF50dbY~(0sdqgodE+&I$T6 zz4O1tjwRDMXE(mJKslkPeZQ$q9G z(BwTObg-dGc53GuQ$lioP9`;8*)ue`zs-=kc2rLZx#!>ed8ULs9?^x&U(8GiO_&lA z=!R|qeD)>R9c0j$oVhlDQ!$YN=UT)|JNX#^c0h^0GoM&oX#BtqbV+a}<@z)%2_+A4@vu_GBHaRE9mazaRZUTA>U+C(eZn}5m%fFCgkR5nTq-A&y)~W|zKIWvwNjb$F&_;^gT{rAVYF}O(32=V7yrTitM%p6v*9iBgS=(gF-EY5jfR9SnhK{$N<-^?hNHk8SoEKH=jiq!p z_Cy&K>A%Qn?$eN!C!ia+fK0)hR{iDtAW(q*G9=G22sC%}5ce5LtnG{iUv$C1lC~JL zV1kvyZSg+Td28%C-12p3Nk^1{+MnKM^(Y@vFO;!9Bh3K{6<>!`e00DY5W3{rHnw{N zhb*P@Ajo0$AhOB4M@G5PfpWbbgzRdqZLLqhw!qDgNN#Nl3A)YLj{u=OMzr|7H@;d8s{y1+JH4LNUSsqSF4gZ;K!Pv`MLNnEdbW7!%p|j=purYG2sVUQii$&C|E6f$xVG*XFa%d&}<;Y zsHWV85YrtrX+`LUpovRO$EeYG8GCOm7V^JXy1*V>mBUh`(eJ04@~)M~p^x0l$)NET zm9$M!>XKm)JZm)WKxw9TE_b3SB`y>63t|SthP}7wC+vLt^z;dmcKdwgnk5hPDkEk} zGrZnAt67j+?<_QY^ZAZi>2Bc34j#bm+_HYqN*e?TK;COn$^i01I*=X(Z8~=j9d?2q zQ`z{ka|+^U*f~DI*n635-mqJzNljcqcAqZ4=Iv)4kJgt@?j0{p#Q=>qFUi2oeL1sw zCm+n~u&U%tzDnG;xOZqRbY4O?jz=aI*zum&d-=K5vu$DIjbH>i38HR;C2uHfH%^A+ zr_xI9D^u=`ZMHeN9eC2XnQyH^InXGL6Rp*X#&(%z!WN3wLZ^BGe+sX6UzpKAIR+0j z96*Dlo7-58S7An@%ga_VrbWXOJE~vPcpy;Tz z6j~SE5>0a};cXicE39u7zC30Wbh;(B-16siDK$RyDR6&O&xd~bs>-KzxYQ@pe#a^j zKUFn zCwl*E=+;l16S3P~=Bdm%`TUQW0oCquKTzu}QR18k{57F->}6+%>Od&6GgR`isemSRF`D9tX)+jpbdg~2zelWkA$9c{hqi^Py_K7HCIAlUjH zb5plh&-Shz=Cx3`N%rIlHWu0lP)%d#VRxTfws);u=jnOln*v?wywsHzP6VvHp?epg z#K=r;s5y6x3BB6n)q0=lvaAA{0+nD|ykc3|N%i0Cz}`e~hr2m3Jgvm$-5yn18Ml|( zB>6r*fH!P3QBuw_B)ik(cQjm$RM-K%$+5eTXFGFOx=3d}oIsmHxg>rslt1cuJl&(c z9qDy5($g=nh|(S*D*J3H9N7yS9HOE%kw3WW&S#mk7`=t%Dx@06d0$^5TDzjR(L3=t z(|yGY?ZWTYYX%dr%KLKGy<}8YU9yY_e@7add5{U@1E#e)JTRiY{IQxwj+~JDF z7xz6&gPAddj<$|m0j{ud;AN@pBiPi2?i7sX-@V!#pt$c@{+*K15=)W-2T5tas>-7w zvzJN2U$@|@YyOMNCtrIY=tPn{A!b&-uo~@b9#Dh*Yys5MQ6~nyhOWN7!|wcsY(bl( zI;f)Npxp1;C6I`}%)X0)&ZyXk5mBCi*52vig(~2BATN{#!5sWav8Nxr9&%40 zX>6RLRaRwXTr8}gG}cg7B0}_$tVH&Tj~Z&AYFDrlB?dmQ66Fx|-I4MH&BF>VO%d~T z0$7P&2hCCU@9%uvAuosNY=KX=>@i#U>6+|51MoIC(a3{{{|ZP>@8YRtpZnCet7 z_deO$S&O zUZH}h%!ZAWU8lJjyLDnRpsTOoWne&4lYz7(Z+`hStwhe;Ruf^LRJ?upJ^osA?Z&~< zz1L4JS%8eo*^V)f-@IYB0PcJ16A{(eCMi@EDhVu`zEhKA-2!Yfh?&pmm;Rl>kKVw* zE9d9)7oqMv5kuQCY7o*3Z?VBoy-Mt6Af!MoLgGE9FTeZVr?JsX#crC=2ykw*^Y?`r zG+=^L*V&F{Z+$DT{)^s3e(~Gh1o%^ZJI5RL+^Q(kq*N{_JLPrY;j97r0Gisa##*bq z@o6ogL+(v%oz77r_SmY3(YO)g*;g>LbDFgDqSAcMwSg4V_U0GMhXJd0WWZkQ?a0s< zt^UTQRnqR(_+dy9|)Ez2LZXxI5uxR7Zd_lZtEJn&FkOnQXDBSB+jMqKPRy_@k zAx##>q%U5@qAO**>{K&&K+uK)9&4vOeyD6I#nAzIbeH?BWTLH@*EeW?vKt>zvI4P@ zS(8WW5WM3M%#cLP=8ex@{F*>*dUE-W=vfA9-q07ns$mUD!d}v`i#z=p{O|T6Ug&oE ztHnQyTfem(Jtql!3F*?UpX%7vq7`2aGDtsnGSf%3Z44elVx>XqI4tr5)7u~C3n0F| zuV|x9veOPA%6=ddPr}Sf<6~+u9Vop!?JZ8T>7Vn*7?!-p#lYt=~`O|a9F zehit!>>In&t~Ub9IIu;cn|hw!XyB_2yj@_XPM|~X8bz3^JOrf#sIK5b3reF{+6*{TH zu6KlNhH%g97Q-b{;|xIw6dwyf$Ql^0ysFW-`>M>E_mcT0x_H>+CYs&%F3X$ys;YHQ z@vbCavsT47z}~8yJ1Ag=^RRrdlE@(HsY*Qj=WAfMouu5G_2U`xv&D%>?dhbk^`Nxe zG`ZY@bhM#_@oD+6-20YmdMzEdm6i*9 z5PT+S-A&6m#nIq@oV0w#FD{wCm`lr1dW5pe?an` zfx98C&y@gW$Jmr#vZ6OB-YP%smRQ%st#2q5eI1!;~sx@5Fxt7x&N@|6c^l zjPM*cnF}ZPi?^in{$C(n&ea}$%-uMd`0vF5{w8PB9%v^Cvs2)xuPXnhnl+mcW_m8~&xD8ZLmyy80PBjqFI&_uH?m}0@`HyOycf2EM&)z)C z?>~^Sqgy4@TNs5f!@z=U)$~D#%$@Dq7*qlrZYB^~?(91W^Ye|ezw}YzG%ky+v@~q2mRq+am4pw1~<8cf&`C@&egyTSOp0MFicugR3Zy$Vn)hJgT zo{AhJZ|(!+qZbH{^Y)nPgcuaepLtjZpyP*!1 z7k2@9-y}vMB4x}RQmOBQdqd+%Q;-|}= zbA9!+^NqZ^%Jx>E+4CD2U6Y5~`aa_Qu#va?@2j6ocH*Yn%EC zDt9vR? zw=SQ3`TE`z3XvIw!nBoI0_)8KEtG>PJ5%3qZ>gPJJ>2_iwUd9KdN5a@W$M8(`2g>F z@N)(?)G|Xg&O)`QoXTxTu!zRDy1}==D{bV^-%&m~SGsa0+%LIh6%pc7CqSI)U z+0(f&<_0|C#D%e-&p0)A*lNiOQMejDQM}>*hjoF7XgA>E>FD2WWe9P(-b#E@dZ_4V+n2I7l`@ z4XRZvjSLITqC*<}dSb1VtxKW(tbIz9_mvc|+~P@S)uLfT$zeZX)7KVZ9 ze_;38z2gX5Uac?*Yw4N_^MC&J;~)O?r@wv{nr#wNNMmdo(4Nw>dvDkbp8{j~Dl93svSM;)XM7ckolDMD*)&>6vWCDUQlfRpy8)b4ZqWe3d!p%CJm&78=tt znH?XpRbQscj0rlGo=GI*XBFl7MzYFWc1o~ZdWNoJZZwUV~&=^3kzKBc^1O|=S&%#b9~b{YCj6<123YhY;6#yGrk|G@yorF?EF z*-T{9$_cQYGPdjT>0X~n?n8L{IB4SHSs6v!at*mF2h3dci1r z3wf>(qN>=?tVBuda^x+z0DjozvuJ-^pvSLDZoGd`+t3mqx<-ct+sW}1pR1jFzvfCM|1V4 z0(j74u*6W%SmN%6EMC5D)iDWu4D9a19)m5veM0txZ$z{&$}CgAQS~m8 zycafaaeH*}2;2>>BBKU)NQybaysLtTWYZJcn--4j6$I9U`sFDXreIOi!-c5~dUQsB z$|xb0lqw@NSVT&^V78(c`WRm>06UIT{q9eSsN|!WFJ&d#TMu|1Mx}Zd3(se%{0--@gG)^XhFwSk9J;9Ci+Y4EO5DZ2NqVz_k>7lb6dPNa_$0vFP8uf$KML{qzBZ6f-#zxE2-s z5J-`|pC7melPe0fR|3~F6h$WA3|x;ic-nwIs{a(yOifMuJXP1*f$fC?Y*gl~hb3$_ zyHxjabxhJ}pU(7*tfWWD#?<@{wUe*7V#~XLo!LOHqU~Yr%olb62oAanjv+YIZedx+ zqlK%ay++gC&ezDI$t{D}Fcyyo5Cul>_{GR}B4B3-%L&*SmiQR}ZwDQ>6EM1)7eQ}g z3{h*UxTN*M7Zo#H>2U_ZQR%VLyDgrz2$6co!P>w|AanVdFZKF_51^I(cY6c-@BUM0 z=PQAvyKx#cvB#S+26G^-q%aO#eJf?BtBGsbcj}C#-65rF^9p67j4RYV;Mr-dgyN}B zm15lz&B%$)Fz)+>8!**SXCGvay1sqd*%H@*q9FSdq+<1>m|dJ8?Zw|LEvj*;wk*5% zb@^e_R4dGY!CriMPK;vh*@RfEFQ4xCE zPOik6XvT}<(zkcqEe|3u(rAGFBItQfq;(A@A0ug*8Qbz85aS2F0{$!{sI{R)cgdbn9Wir=8wc^I|}&1Mm}Hk%7c+T6x-_<6Et5(Lf_5xDz*%!~sNxFFQg z4IAolvIh!iNIrwK%|p_N``zUbI02lZVLXMvIUsN*S>pF2a58CQvy(O%1a4_W;GFzk zb|CU=1Wq=}WJv^0P?(3o#ySK}=Vda0*d79xdI#+yaK06R(*YfFvL^t#V{MLRbswFJ z2wblHf4dyWRL2Ps!K1b0Bzf>ATclVix*arbtg!iAxAvT6%|(^GrE^L9?Ok|lM{`jm zp519Oiyx{bWg>H93f`h}V53yRd`x?nW#XvOyH559@YP@IvCvC^Q6v)H3Dw3)(`m&c z$P#Z1u30IxouEQ)GbtR8pcJMKV^vo6u&b$5i?tH1mjRDQ6%#}uRA9&X1mzH@%+^Ro zm$wcLIs&m!&~cw&(Ip*PK<(IlZ{livf;s{gqGUwT?17Qybx)a!j zE>8?_^6rB=-U&$TY5=lQ^y9SQjYFdc7mpRNBJ_Jg`9X}TYVQ**Ipr*(wWJQZuCnAT z80MN`nm-njKGEfvTXK!P%SczJ8kN3qre3@49@p zi5+B8KeV|b+ss?p-DIiqT;oYZ2@7!w*QW)PG*y zzN?`al;1wv4tjZSypX1{2iFQ&%i$1OQ6<|NgvED{ITH+(>3~Gdlv|;TTkE*9?c$C} zzU&IxA*Xe5>0|4mI+aWYm#D+oY+*=_tvN^^{tRL4nqV3s^>z(X}c zsRm>HO;_F&Y;CEM@hb9#I=6tMo<{hg(Hsq=&coTgzf?`IGD8#U}p|`5Z&v zP`0~G#F81$tMT0O@>!ZH61LeqCv4v{ZR6Q|^Gb*!y>-ynRI;@sFE;<~tpOhwzXLw* zSh4d9h@vNx&4?&!+8YN&a{ImyQ7pZBa@)HxB2@Puz&Se4IdJH_I%T*B8ec<&E^m8Q zFRrEb-j0P}g!6zdHY;XrMS(!)n{*%NCqt~D2M(@MvC`_BGoz!+%t5%MvRcgTH zGW&rQDYh2ck6SBt@6VMo^glLDBDrfu6ti%eL1_A_W$aa{`!7_i^0+(oV+bPcda#fsGzwjy=j(+~A# z{;2lmRnR2yDAgH^8tAe{(R3o`M3q>jAQV`VJ#6y%M)2mouPW_=j;&0Wd~&O7Ky}N6 z_GvF36Ie7%-CH+f!>@0GtjnkM3g%=f-`GW1HxFA}j3EhBifru+n1!`53`ux#|<_PtogkfzK>M1MB-QT}yE zl7~6}0icTKH_hi>+8i4`AIE@eQ#WN;D@36o_lRk3+J_bf2jThpEg=rTe--@G?5&sd z%7&nre5xgd%tFtFVeTn;Wa4fjOWbju@o)jTD}do6I6k~cCrhmp8G^e=i68Z_f|)6 zZ3%!FO-i-xQLg$y+K)1U+GrVWVUCv;+>b-3v!pG{C%BpXix0YwRxHCEEW__7-bTqx>Wm>&mn`wXAyj#jQ8c%12z8JTuw?#P zW|&mcne8y?-Vmw`uf}=qx*=33hK}j)?dd*>uxNanbwj8SMU2hjsv*<>R}$yG{(HGu zQj0%m=CA)?b_f;It1jpDk{LqvFqcUW!|o930^P^^Gd9Iz+7b=9JJIn%(K#jgXJqU* zp%3#-0)@Lxl<%#CR&;+0N<8pZ1{+WrMSbP(x_q`{1j#>@qC(re&}v6Up(HAgR(lV4 zvM!!1yeuGa^?lHczd{j1>1(o;I)!ZJ+vIU?f-2p*g55mGb|8`}1H9A9a9uvzv{1xV zVOzhue5EZbRvO`#p5;5krh!VNGdk~fvMoba^KWLEI{ML-$t|ro(?=)1y+&G%Nj{*G zhR!CUm%6re^j0o+>h5R?ofGJ&rTz*vReJddvaN@-2N;Pg1@u^6zD{jO-~HCV=y>_- z(WZG)Mz0O|fz#lYS-p~Ry((cLjt_BC#RDtV9Y>TT=L>h7-=87O1=v=5vLe{N(~vnMz9 zqg81ZY|UPeQ}*PlIcHQ5j>M5{#y+Hh2Yt3)T_rkM1I2Di#SCAs@gVz$&Gog_UoSLp zVxyBTST%I|=X=-8`QwX^rbbbRxskCcTjZ&wJfqjERLK6J$>B~HEFS>=a0PlYoVteR z575cAxa}W$Vjp;oP4-V-7)=Kwbol{eQ{AI?tiz6Wi4-n-@J-Eyf0PA5d72bNIXaBQA**Uh*<&jW>6lOPV0=6QRt|`}<&ZBARJZcTU z(YWF}kHd05+GYSCy108Qa@b7gzB`Yv={(BNe{1J4_t$>)&STc*2NSmYq6Jw1U(cITF}rGG9DAixIH1E^`|f=qAo%3 z!70p4A*0>kcn45FS>LNB>ZgubCmHP_vm>U;-uX!_0Qiu-O-!#Ts|= zD7YeL9zme1{j{#0_Ev`g1fr*^iWGW(P?1P9UTqDUQRv^yv_yi{6DO~6(pZ|kyn2RZ zi&zGGY_BwPcYFJ^#y|sw^eiC588+%%X=3g6_Af7yaAR(KMT!=&6*o@HM|JGi7m5Y= z=clMb77HV2Y!u(9fQ}2?+0Ie{E$#+dvLWbdCEUMBaN-Ivl83JUI5vq(Q@dpd#D;vbs>Ksj7 z3&?8BMnUUP;i3VE3bOwWY89=Hf)n746*NFk5-_DhCXn>Fao|3am-^R6s6efezOu4D{EKkc#X_E95hAQGApDQVvLZ0@MEF`owflW1dmQgM_0 zsAeAOf>0`H2#>w7&h5Yk63xX!vXs21UPos8z*}7Q1LqxPJb^wjxFXD0AEzc z@|(0T?yBlLl?>+WH>(I#c8E^*W^n?|8|f|3|F|8xL5 zi!~07T|fn7A(NhmvgD&ZP?8Vn<=#F3i-W3vAJQlnB^I+)J?3W8N#2@v7DFx@o3g1f zMx=Z;RV!<8$M%dF?`Pc%cLd6q&DhGDT=qlATBJ$X#mHsS91l_NsV2wTuo8A6e-*kHU-M0$6P^3hxHYFw2NSc<~gKX4knsVLnLFTl0ZGQQ*EKMA+ zO3JwW-ck5ogJ#-8YK1ZApsC?nXSH|ri2J5Q3$io|DN7?E#%NzbSXZV`J@fV&+2{>= z!OJYYORte@t{Oq5jFoi^((f}hGs^{<0~-mV^C5=+OgjAc#T5uwGeiQV%y#bq+SVNi zloCdvnQ@XYdT9?-mJfQA{PP|#5~56})$sZpY=2I(0-t7-g|YkeH7ZZ6FAH^s%_Uw> zcFpdB_1#y7G2M+2?ofQ%)(7?iN0iNIV(z<1h6}z}Cvilbp>u*`yw*vrw=vJTnTGID z>r{Ye@KNmI{sV_be|L`3>X;KunxlM!=3DLUdIF>7bcQ9DJ7j)OVsMD`;mXTg+TA|a&CihsH_1xX zWp3wQ7l&N)Id_?lxXd%+ExBLxdM9VpVzQTc$o%5&We&X=`FAqS_49>pNlZUr)Th2mH4M;uwT=ZyJ@hwMM@^Zsy;bbBpTX| z*1q;_uDkcuZ093ea@adl_%mgdD*ZnFZ8ka(?;Q3eIjoS?gXaRRv?2VI9T)l~bJ)=$ z?r}M+lPmRseEcitur8m&8aIp|wc;DvMFa6UWe(R2998A8ZScSw?|kR5KWq;B#yfvz zyfd^31I-lyt#*`Mi*op+Eb@bma`jJ_4|picpV3$Oh0%Z$G4X?%O9tLa0Kz zo(pJE7--xt%D>XA{w&HTWA8QjXh&`^#R{w6VJI9nD(*1Uv#l6^qn_`K@rTVA->B!0 zhI%p?6p{z|4SN4z#>2Tn4W_I5(ODG4jNkrS0 zMFN?ZA8agCe~QdZ1DS6MWUt7~@sJrU(z-C9H5RWQObBw|I^7yO@u1o?3r4|+OO^eg0V4tc9 z$Uo93PBX58u-xN=RvSOQ-FS_>u#!>DNhqJUJ^sutzU@U1uivO4XB3o0sZxjGpj6ql zspj{V-TJ|2K_4H=K$)#Ciyzdtp>f)#Rk`dPTD4Ym9vx00v_~^GL^3F|dM(N0L*ukf zEq11NzQ!GuuZDXKI4py_Wm*gKQ@ovj+9ahLu^Ta7mHq!_9yeo^{ z_^_*1#|7BbO>?H%Cp@zJ1&z3@B96nf`eAMWO!N+R75ggW0My`DX6Lq`*;_vBVCR@PS4*c0kdNK&oaUHM>#w53?VgjhY`OqD zDHEUdf~c9?Ix~A{or$dZoW#Rb*EaF&!IBErd^7xd3l8l%y8EinAfvneI2!+EOyTj3 z3lGfzET(ze!r+p8(b<=ai&oU!nM+81N9CW@YNdcqlfOghntOv@4AF)1B8tmmPk4MJ z(6%j#kE>G-x%%)4oa6i}6H2gohUZWcP?Fk9i1uTsfSbfu&kke!!P*vo+4?kOFzQZ! zP9Mkg;-hW~i*KXgS-tgZ?QvA`R565%ZfktnkFZT&?@&6B%Z=YFBTs%Xq*Hguwg+1p zxs^&-eA$gpA6xqzzl(we~PYI0?z*QP1tT3AAlhpEGR!6_OFP zZb$LI|M)}f3DlT>^_I`S;6^mXn-Hz8w{?S_YVsjRDm{0 z_lhmIlJuUky95eKK4mDnl;Svr+}eY)V!AcMQCpZHvQ1>!#Nh`Gq* ztlWS@D||&?XC6ZzsNZF{+NP0PCth5u=d%1Ga-+9jXLQ48NY(F|>kQpF+{Q|lYD+|C z_A=H)&P6oAYzf)Ic*$V?Y#JAIk@Y!uQs8=aNvs6+Ge!L8P2mlR-`MyaNJy$4BfJ* zNTN^U+0i>6j1i~BJG#{AP9vJ^p)ntj>o(wuzOgDArP|@Mde~!jSoPLtPp(| z%8+F<2HRm9Z#uo{OAC+ z9qqMfwu$sJGl+OvpOJ4B-Kb4E(_82Aj3L9$V5>yefmXj^XQYp!0akzT=Xu8LV{FzaY9{NfR7(EbHw@b zsfOkYhW=(xHy0q6pk^p|_3<$p+{(4%k&n2J9&o(U1&EHK_wgOjoC?rsSOv!ywRbqi zSpC-V4!ZdMFdOh35HrvLB$!~>tewWjUdi(K^wGu5I7h2*3iKc}g&&E4n0Fz@PS@;4aXrDi0nyJ3xkob!!nkm%K-I$}9W^ci2qSXzW*2^_gOH~T^|9<|b zojbk62_*6T=@T$6n}VnioLcmPIJ>z?KESvTO$F#;p`_5-vo3{yw#}DV%_LVl#1s$R zJB{30Is>U``ks}1&-BK>JbTTwj@aDwdO@&E?}gnrPIjD~*(4HQ1dImkebE%RO3ZO?|BJ6%`H#-|BxHK2Dyw_y2XdcNp;Dad}5cq^MH->PLjvpfG* zSl4oJX_C?uZDVE>XR~)&TfS_@5TZUqYsNLbY5BG`XlSJIPW`3cvT@Tnbg7m1BH3r3 z9$*wfcn{rTE06E`<_edVVTt(yADRZq)jw;Lh(5V@%GZ{yUn|*thu-88cxZH_f)$|} zI0s8cvUiq~alT;U40cIZz%M*nGLk*Ew?Y?|jbG~yv+Zgp=b%nxbns7%*7|=qOSu;mYq*uxO~1~Cb@96k*|E#R<+3bu(^r)$<1?S)Mxd{t7>%2-9?sW zrqO3&S$*1^=SE?3S$(!pLE3(Y;oW8RYlU8^R9}8)>Z4a~G?ZH&pH3NWL_=I2pS^R( z7#%!)@8?~ztrwH*;{Fhxs>hxHbO5`Rso*kQ6{KD<^~HX~F30lCInI3qJ0WPP^s#M2 zEtuhGZN%8lN@qVa{g1OunAoSk8H(y^xw2K_5aaXp*vysXpZ-Qj(z5t8&nAXd&Ytg2 ziqZA9bmQaM`ygQY_<S@YLw!flNE{ zLPO)XxIH0hniz=60qXZ zDbY=5^G7c$>CsyD@@#%g?j0{%rAOA|{iF8qjkU+}`1Gs?R=1xn_xkpcK zEM>DHHIYNRPF2begd{V!YSYtqDYkSliNm5MhsQ!&b9g=m0YRwV6V>%JF@thII8Qx3 zty5K#l@ASB`QNV)a4IV&Q-R*eq`sAH+u5`%ioM|(-_`$i)BviNio%H>Tb$A#o+Q`xvT&GHEQal^Oi-`irNev7l5H zB*TiNu?Eqba^AoGdntvh6(N8B9{~<3lg4sTxav}WF(Hk$?z6|cro^}s(paN|{MX~# zSKV>dK6r$m7o`h)HthV6k`NIu>jfG58Tu~2Ftj3N)t-6ab9g;x^ zgJa)Q&bq4Gd+-Z zBY?zo*MMs+mL8cYayI!=uPET|fl8ik91kFuV~QL;Lqjt%Sj)EwTPIAgB-j2djYB$) z+=;ZhYXh3aQ{pu;58%Vt^kI!bycRISuYQQ8^dIu)CgX-+AHE7*rtcw9mKwPCI7V9tP;5d_CIA%}3~FS* z(Oc(EditX)<^42K5B0Ej&wHm?ke=Th%7PndSe1>h$; zj>duN^w=arH2eLFyHTB_**Z>DR42Fq4k75DM|J9>Un`_K#gwHzs?+0q40Dotl%P7v z?~Td7m!LWcNzTOXfjd+u_xQab<;s_$I`umic`2$B9P<49`tK#FPJJcS$%C1}_fR+}??{ctOdkN%t17GigPRSK)1s}5uXcq%x! znN}NH{2bJ3L!@dHMPN71-RkCVSr=*fnzXXeCW6G9_{GMT-wB;I`JIwZTWaI~%FrEV z0sp}0wlmm?$&KSA-aDLgJEPm*95CrV5BB|rEtc*yoOjvMdI&eVY)!{swm0g~j_Vx6 zw|m(xL5F3eRWz8@M#o0Oo~_)_nLW6-BIYhzpM^^A)MH!r4r^PRZjYx&_s?pN&+Ngy zRkE`zKJ6*PcgMA^aT<4y>W=ft&W*SU%j45iR983m)43YC??bNE$GKYi!V-0pFnxl_ zpQ*L6PeTjWJDOyVZ7nCK3R>8t3kAnESKYGKk!bpFtJ{Jhq)m0(s*}A zA&A*3g4hSCuD^cq-w8oXKEeDBJY@)CKQApTiQ{9~yOb7|g%(!)h~KUjRxLryCLZ(W zu7y=i5bN-Zi8s8Z7S`}hi%GF}p~4G#Gwd6ipXQ?A44cJ<-rc5wkuiaQ_VA{G(VU5V z(|Szw&aV;j&?yJ~V8oO|5<<7+wy&W(SOF40KZnw2ds@II z)&trk(`h75i?<2zR~xf)<_|5la2JQng0^FXWVN>)>B_mHe-Y{jMSijP&4oV;Lc_A6 z!#)6)VMw>E#WgaB_TbtW8|e=8&aWD+>Z1LoA+vR)meEvofXoSYo{cj# zEpA&0V)Zb4xk2M;wnoe9(?v=}jiq;V9A}%&JufNF&$6&tR=+l1V5*cZMpH&e;I=Y- z_!==uO)anptf8jn5@niSSd%DsaiR>8SSwM6-a1vyRcQIfkY;Fq4zZwW(4wB-ig^VvPmzdyM5!_#4iTseep-0`6DxEAmi&lnEJ&Y{1Nl*@JD9$F1%GYak))ckf$lVQ-tuC zI(=sE!a5T4N$t3?IHL=D$3{@=XEM;~t#f%Em4x+6ZNhH~>(f;YVvgU4?PQ?MlLon# zZBLtdL0Rh#_SXENy-~nl_6}=fS2f2azhm{J$Ida;dxusS8l&*{neN>5&c73VWZ64x z-LJZcH*jNE_6{vFbSFhWdgtE>wlLi_NZe_Hgmk9aB=(_S7Cg-braI4O1T#=pS`D?}PBpT9*`0rViI}cZs>w%P)W>ycy@vC2Vt8ca>P}*& zht6jCbv<;@lq0gKYbN?$1B{$^+p^3lGe^-6A}|@HlJhL@cu?(L0snI??^;=dbb*e$ zXB&6Yo98@PIlf8(*Ow@=ROV(=WHsmcmz22YMV>1x?+nUf6F+`iva_syH9S%td5okC zF9fz)DW`OzfBDhfAs;Op(7oAGZ9*MangkezVVs4+P%Dq|n(X&9m6+*Dg`uPM#Fxis zvDRAwPW0m632<5#p9U-M1bMJq=T?x%viQ~NtXCaehNR@bNJ?KMr7x1w7fI<`B`L9Y z;jMTwGkuxdED@pc^Bd_*E{|Uer`&?A!7u)e@+fDjb8t$meB-5ajN1jf5s= zdgtG#b>vxnqzZytn?6#e_rlgbHxv4pXrjiQzuA{1DY`Tt(vTXFu-|QX4o#}cN)Mf8nQl6jIGo|z7nw}~4TUFS6fBIM1=D+{P ze}8j+eEWe-8*FjrWCkr}>QXdeRAK z52lL#j$90U1-2hVS>mcXT_THvOnfej>*Fj=g6PFN%Z6y7bn$Q_x?EqAP%x^Xy*ee5a~|kHfy0KI*&2L-?@Wsb$NVQ{V{!XvHE=kPqho}@TE#k#+$i$AH*n6+H&48E>73{4y}yb~y?S^NnR5M` zE7b=O(eq?PujZ+Hv!w)L%?0FhDwA+vNC5AqX|FQ!chfZ6TQNIDs#&PY8!PtUr$8>0ist&D_DT7Us|J0$CoN0ascfUHc(xH_oG=^l8>d;zr zXyZrx>d=05Xus1A?Hc%}jN0Ki**9ak5UW+7)qW4ryV-dn=Z#tJBI<$c0F`XHtCbL0 zge&H+qPZU)SCJ`p?TMMO2C9&lKq;wwQz^tx!#uw&Nf7tRJc<`w)=o0Ip&*-KZpE?c zR>YOC>GVI{idJ|_lPS9ueS9m%W%9>cQIhM?INXX7T%*Z?``wC@+=^4pt++}F{PI1v z&*$BWzV24Eb+@9xW5b-hrC$G@m){FDP2sI5`IPox3U5WB;SGJq-HJVK#l)47x)o>K z7>U1^ycO{WgN$a`t>|&N8AINR7Pq1&Z$&TfIM}^gF%w%0+Zh^L0}dpyRf^-Gv@`K4 zvdXiN__z*6f)3yuMwHWCMM*!yK+KH$wBVjfO(n_mvp#(~*oiw+a?Yi2N;X%-JHUYt zpwdUaARpOzezrLzv?-5kBwTGtpvPH^Vhqvq4+Y~SJA0Y495=FCjDqz>e&CugCwUll zKn@ly~p;c%wEte)(sL7z@Ha1#RG%%9nzF!IB|0SdV?1b9M;672x|8mAmEP*?DbIrVK6ts=t@s0&3<2IMam*=$0MqZRsZ1 zQuVa;j($Wk8i%%xHUXeP(jbnwu04H~$Wq%0Ymb@9^xDH&3xUiERl?3CW1jD@!YicX z9ab{9%0v@@^67%l8h%Y zb6I<$Z4LEh2h*f|Oh0IQ79{F)L;(P(tFNw!1>rE6oUVI^O-BY?J-Al@R@!uCs*+iV zhftsKZPedo@30+RmHmjP_A?#b4Rcx9r)^j1vUg~k7)(FtHbzw0C+&x;)Eu()y=Aj7 zYrsg`u&C3k?~%(6@z!rsZdq2pc4pki^C~&z7Kr4|(dH>Rx%t&+(?}3`^-&NvGlI90 zH994mPx3izTi9P#pN%}1$IblevqqH=ARRYHH*;%2uXn@bX^uR!>gT;8Ppwg9Sp8w| z@ij^9HZ-udYin8kYG(x|@=OhpCs{&y%jRo}tYI7I4_rFx4*=h^gu*q@9QEmg8&9i# zZrq_cZ20Ov&R){`{vz;Yl`IcNq-);!Gpi=HXry6sz+3!6elyHVjT>Z zbQhs3SaL`0oKEYFu;_j1bUNZ5+G;??K_l@+3YV!S^beCSB`#{mPsT_*5*IJcNZ+TU zw#<8Y2QMrMH@+nAEoM88Z*N@{+fjIoZj8@n%?!W;4SJuXef-{Iz<0^e<6ZY%upK3B z9mlE}0OK(O&_$1UAlp%BePbW}THy>p>aX2|?byq6_NmuP{GLz-21~LXeI?sbX8`8c zfA2nQN2`R+)L%@n9WiwP0ETa)mr@-=6$}pr7!WAKDFdQFj2Nx=9w1&pG)_0YCeM;1 zwSPb9oB)21u3&};L$N^HOB$xRrDw}8q|6xG3iO0@@(*pE+2MsH(;Z8a|J*gs5_g2s z=wXrQg$b7qvVfggey;?bi8PG98s;eT7w{*Ph;{!NgCMbhj zp%V|x0cHQx7;#vW9SdKC4vDKgNP6nq3Cixg9u+Ko8{qFP9qmDDp9p&tRkT*b4eDl1 zJ7@AN^EQz3l?L2JyCA)DP_@|+S45j)z_KiK%(+#ck-cmKTn=QRgzE^E0mVA$f%wE= zfi5;ug{~JvWAN5*%?-OgWUPfyKDrJnX=i^+35=`wwg!zrJys z-_ES?Q3FpikbIz`VOptnbR@r5>y}L)m3THpQi)lz+DSakW%X&o&TU$^>*BL*|1{BY zw_<%kbZp-G?8&`T-_~1t0805fF+%?-OhNwS-ioV6=a9-2RIWOhf@zV{^O%D4(Y;v? zNplu3x?`Th{^u|S*`xajDNnwg)laGkHF6W$%EQ4Bm3IEe<~>axNkkNXQAEj;C>&YW z1xgbzIWbjtV>*)**e-y^jPdSXo7SQsbBI|cRFCdH zm{1d%?CHD!!)AdAg<1Rqm{5+^lC5FW&3a~^zd(sH!0sEc$&KsjQvkZx8EiU7gTpok zhk;L~GkamkoV177t614|cp7EXW%xS4^Oa2pb?YPI5X(5)^49j}SLalUR%9Wu!#El- z(_Bg})o+0m0p9{D7)3+DnMNhc^Y%iI^q5NZ2Gae>*zN{synrnw``Vj>`9|gjnxpSq z%ovE;%?|^jcLLIjU;5%3oz6Fcx>_aQD9Qi13ExOm;QCkvZjWyy8!WWw&*dA<-wxks z#4D2OYP|v9Xw31Avf-Gl)&`+o43N#~`;C@t9FD!D+8(L47k0Ft3&L@x>BY``sPGZ; z9WTsJpXTcag(wwc1NPiTdSS;s-oLio8gk2_W0x*+RNxe~b&u(TMyeM(>=D}MxRSN; znSOdGg*#1YB`cW<+UL2YXZc2uIn!pTD!gPs7A!rQr9#vUvmtd5g}3ym+_6KtB@kUM z;*f=zmu{U0gGHHYeX=ZQO8|Go`Hg!Uxak@rxW9p*V<(kvH?}BVdCNxcFfxn*Nc};E zv)D8;oZAUxiQ9{o;{fVeFS7`JCVUGY)@$6+(StS$&a(Kl%moU&xYFw~DAi_SSdMPV z20GS(Fd0uSHyi<2&ef(g{=jP1~?jf3iA0MFW|*>pN*37Sod^8I>ZSdPlE;-bx2RISghnjm~y2EMzm=t)M5 zXL$fJ(#Fq#TdJFb-=VZ1c6#2P1s7H6WGIft%BlpgtG3n6Ds=Q^a`|1Dr+s*O031v> z*lSF~ZeUW{2LcmBl+HaHv_$-<0p~dg_xlQOJpfMC_9(Q%d$q52-;DL^o1^V76v$Q| z$qu=N9YvA%F{)ho4q%n!9A3m8iMw1POP+cR;HKeKHA*erV{G%Bs@pa+087(j^Q_XACA_N`ao8bR1lpMYg;FTc-6G76wgQ zxosKiFx2??9S_-qk~$~9FQ8s!il?Tc{8QD?f{V%ld}mLq%OPSQV_}pZ<5}WEA_kif zgS$Lx{sdram#eys7$xSXJ7<$^CgE%jN(R7T&Dd~(41jt>zJNRA3$PUxdK$`))U%m> z1C7}@1r`b<4UF?2CQr|dRL~iMgol=c@boLV^chN}y#b~2%f|g?Htvm-$}b!DC!%8a zW#isxG+Q<<~j&Tj%lIwGD^9tuZ2bbxF z=kNzV2kRSq)_N2s+lQ=2wr#{_J;5YtV^x+Zvs0x*PuRQ+%)`PSA6ya`y_hi{YJ?B`uNqZb653r^YM>14nxCWj8^h2E_eR%YfbZl zQNzi6;{4{hy=h*KjloXpXEbOtlw2qcsLpp7-EUm{OFZ*V3Nj4|HmpJ&Hmw?f_RY<_PIFJ;i0B1P(^>isOpFa52``!K1 zWBLV$5lBRd=1{&1+Oq@Q;4xz}z>U&nHV`}}RQRmNh{h3LfX&0e8yj>=OPYc!x7qNJ*`_Mr=ZjOsDM3$lQTsDy~rbM?+w_5z>M)Z9(Ti#1)voVxpWPK_453z zZj}MyRVP_w4-M%n^#fn=gi}B};B_JytW*gwJ+;ec6rl)m&N(qstKF7*{?H;t(^?$l~dUJ0-n2!8bNcN#R zrTM38gHTH;mxrtoLuPSRWR_kU!kdoD6PZO`Vtzk>Ut|^`vq&F&3hf+<%rb(^GDeU1 z&5~KF^}&S9GJcU+4$=q1lXf>Fv*ZG6E&ZW}c92eMQr8dLud>KlkSoh(wP~ge)R7&S zHj3vAP3TkVmFV#YfG9ft05Wqrb+b@;)$wJ>*0u~LrrwC{41M6-OSX@RrZi6j!-`J5 z7^Su@Q8dr(|61D3(MjNKXgBP9VAxyyth}>|(qLk$38zf$P_}an3+y0^QJ^a!k;aYj zGPHr%-tr@Za9_~*c~pDp1J*P@pWE115}|TkJa+C3!`xw}x9*EEoo! zlLtDj$VPf!yU0tzh)|eq(^pHq5`+>AlScNk`0Vw7=Cnc$pqsi3w^EHO&tL=7 zY6!~C?Blp|(w&uOKn(0S{zlJBC>=qwD82kf-33r46x68MON-^xZZ0i^m8whY0N=5J z#l!j-JT_p*r`I1`ek2;2dGyVpzm`&~vGeR}H_u6By%9v9%jl!XO>B>`(}V(>c%@B>S?Vgfn|dV=4wEVjgWKAKB6?ezoW~9o%5qRP zRRQU<15yAKvBaSwx0~qRkrZ}L9|U+rx-6QY+rNP<&fraz3N~**MHkKK=g-c&asz0kx6?@?52-K05(^*}tVo6=!?w>*J#a!0vXt7^>Oo8oYa0a1 zh@xTBCXic6vV0HdIOhkH7E1 zHpU)oZN#(GhN1z^4gVG->-2aE07Cs8^kGda|AW`_xK$UN7BfJE(geOhPT~|=d}=$9 z^kK+M=@5lh0d3CL%g&&K|J5D(Y;MZlygHDi?rBiDNZ-60YS0Axf+liGYHP4PW4(N* z_^4)D-jFTRfGY)2o2T)zoxWwBoVRT88Qeo-wS_57w>gOgzOH^X&F?C{LH01SWFc7m zsBs4j)O@DUl&zvhjuVR0R^wb+uSR)(o1`rxn|WT+MvL#k#XXX23hD1q0g& z_CD|Wl%=FA4cs1MbPh925FHJO=1SU?18KP>5S2|lagclbmK${U1JKfY6(lo6o2p7R zPsJHou-wb;ouU0Lr_*PI>)J?WdtZRIH+*Da9#k9!q0?VLW4HQ#;diDMxL;m?t*QWA zKzUC=bDz4TT)8?;KM5gdw^t7?Dg`KToiEPDHlB3QM8~}A-$e~1*X$e7cn#gWuryl zD)mORHlL544%xI#f23K`9MB|CeESlDW)^;?Cp|H)GARZG_5U;pMU(_rv^jzh&yY*8 zbVC4aS1m$8LdghRCG|#HjL5w$9sLYKda`?h_B+CE+WEE%BtO|-VBejghh$k$02Jn# zpfCfa9wUjwR^psB>@2$WCarnCSU#b@l=>oU-{A6#h2(WulGovlqD<0A5Y9=P;@-Mj zZqMt;KmNB(SYjuog9C%&2eL`~42c7wMj4^92K^~XV6B!xUv3Z9me=MQYpi&{^^LV$ zdzc*%4<0HudV0IhJ`6M`NqL(!cv#(o>uD+Z!%Rc*J_?K4QA_U~k6Ho0a~LUzjYSz= z%4d*?+0lGW^4%uwNrzInbp_>HtN%#j>ffH1lBY&YBsBv4aAna7+bw9=SFhylNha9~ z=$mJDOms>%>L!eKa{3@{RCQHOw)qnJ=9wK+?VOHIPN@KBdJ7?Qu(1+fiC}iVB|Ool zigE-d5Z0tz`T%-wnJK;Q_$Qdl9bxD<(aer`kP~x`8HOqxr1cG8#MtEMAuqtzB^Yf4 zOCwnPWiW&(id@R6E1bO|o5P#|NS< zR5=S0nw?`zGdpBM!{;|JJJPTwy8F&Y2d5+q?S284*)b!#MRhJh1E_EGl z?@d{E22|~6zJg?|QzTiQ85dTw6lde#Ib;6Zu_N`sAbT}S0{WiOXpmAVGz@`3wnC_- z12$U-RT1EFC-g}heNaO7zZPbi8 z1x$mnv;lS88N6A?Hb_j0 zk?GUh#QA8Yv2XfTcP{!qy%FCg^+o88iNug0$~m)kZwNEPbIxg6%}>~nfMDIdXdC^`=5$3KhmVGTP0`%$Pi%4R-; zGrkxkZ^zJRlfArv}hbxMj&Nw{`smDK$vBFLs-%ZS8 zu4SxX?=y^*><#qIQ$FTu%EuhVkrK+s6jdH=s)zChY+8nq_{qm%A$=B=GZFiyw{s@u zYR-gC=zuek){^K#q9c2#i@=eVFFBhd%W1eCxS&IHo~9IJYO(C*z_ zM^8OKnTDC)MJv-iz{fbFF@nFF?(z9dx5<<~_5dZ6jK-k{D6OQ35b%q;^#G-Y7=y7A z(*s7J z#uHDK_nE5;1l33-NMNx9{t+awnN6}WOFU`2aX&9n5|~P4A4US3t4UzkLrMZG$V+gm zBNI3zGi0kYXj=6ESR}yNj6jjf``{E%Brq1R^R#R{Hi$~UVS^+=7c;{gSAPdx)-zCH z&o=rb%y`}NIw6{@K!R3#7yr%~>;zAiBsvX64AKQZ1FgwHUO!&U+_R=y(#lu$Mk~+O z=46q2Iu?n<23J%O;_Er)1k_h`2OY(nprf=Oa%c&pVn$u8=ekl z$QgKcP*l4HeY80;(yOpT3XS@sC>;EN*VV8)doht}3<{yE8pAOZ4r}<)t=o5pJ)1wP z>6M8>Nro8#`oHo<<-l_3E?5J2sE%9N~D68Ff$qC@6l>&oA+F%m<_6n%fz(`kFxDKwzZM@}{!eeq zC!OD1>4bp31+;9mLoZL{T2&3`SaK^>1Nt!D=^C|$-pwC2Vzl^Yv6S-c&T$Y?R8#C5 zwrLbY6;&+d+*DZeXAW8K{9CcntLBFwy#gy$DZP?P`F)*UJQZo&{F;JtLR z1F`aMBWrvHV#&JZsVwEN=_@5SnR<(EhZtKCOZM1@N_H%cS{F;=vQ~OQtNtoc1iGOcLrB4>PFD^o8%6w+CmeCz*3yUEVp6^a3#oK z2tI)!_=2a;%!jf}H0>b+4Nz;X%)3~CB0dt3`c^XlIo ziO;n`X!;_8h_*Bk5{Jy**+_z;Y;IosZyNUIcmAzlZ+7q84)(T#h#JhLimZD5LK{$N z>C)IM(m%|D%*FzZpG&=305Yp(vFvUqd3cepQf7YXkAL~szx-(5hnKpl$tBU@^kZD! zf0$e{-D45WLn=A?4?j#UJ#HQYAi#8wQ(yuR@M3allCV3&zh#tiX^NbVe{r|TC24(D zsu!+~AP*Ts8$IIjlS@--lqfN|B$GYtV@)t zF7d?^lS?am^)U~W5ED#IE?KA-y4Qa%Ik`0A58Bs%F!hTL14yP%{KZ}MLUwYg!*tf; z7mtF=SW_(6q?&*wf1nZEcQZ>q~*CC5^3aa|WTJm6g<_z$dbvX+4a9_N2!ZK)s z1?0Rldabl~(1+HlJRT_DnK}om{8}UX=*!j=3aK|@tNtEh;|N(j_)!@Xfj-)I+C*=$ zqDs9H4T51@M$=u%v0S=UIYGJ$zk}((5>I;ijo9*3b=C27kX=~%d2 z4?RfL`f9i$X54z|YemDIkHXz4R?EDl3j(&*u^7h5kQU^y1)0rQiMGCuH7H}d!k7@j z*~+B{o?uJJA!YTVsi_w|3{N(}_NiWca6ru(I5PF3d4SEvs?vqjncEi1$9hq$6lWv% z(qR%q-{X`neg^g8h+mACX0KkHWo?|a29CNiXqjTQ z<5T4bzjtM5uH^yxtMB+3Nk6TxtJ3f~2MW$YdJqe^>7;{LxJu8ME#t+>as8o>Hc{UI~~d(~E6dl4mt3`vP7RsD>~ zGHO$6@rh1Z?%IB(A;kDFWF4l9&!ivnQj-`77@{zgnIT309-c|cVQ8Z?rCy1aUIp=3 z&p9}*8L{@wSHd}OhG0y1r4MiC?ff<_Z&!JHt{q2txq_B?JZl9`a*1jY|Gn5gx*D^W z2Qeq7%9}B|o=PmrTD}(zB|}SKQy`~-8CA^GMsMH{?!H`#TDAXbWQyR#`oiIEQ~|0n zGgbno8Bzp~WfS`Y3Y=%(*kt+0QWSDE&+dLSt$@o^)@QX3MWRJ(3T?F6dBT2AWJq1AeLw zzY{*f?#pHI|NOhrJi8d0#mlAz=z>_Ly0Xg4x;FXZ4{)xn6D|&(Wf?ek6h-3qA&4~n z=W)NRu&%(lk5tNZn+W*?=ZY#aE`ZTL(u*k??fk_ToLeni9J`QyA8@XW(v1pH6~ei) z!G?h|FLnO=hjSs7JaF_M-{BpljyR}I%Szw@nYFX>aKQ&n2WL!dIBix-kE>1lBlSw` zxV-6~?^1<#$Be92uUDreqM)gt@=7$(21Z^gF$)SuhU<;8Ts4Xg8T(DWQtix=kAw(w zO1rApsKq#thU1}6l1FU>a5v~7bTYR`3^a*`K)FreNNOe90b%!HF!VPB%2$A2Um%!O%(_LLx#vCpT|iIj6A7YpWU zMhaWL%qM&_XoWjHqxc&GDPRDL6_~7=(4s2 zK)>&cg%#5b=J9dwjilB(#?b>30}H>d;GxPOZ&$@&mV)8~#PtgDHZr-|XgD@M6hsY#$X%|Rpu zG$mYJ5;tVcmW9B#4!H_$C~P--#wabVMyIolnuEe>D~aDgAlMt#2a?|@k(6MUo764G z=%A`e3QTTFBuiOLlNRy=WDId;vy%`>qG4j{ zz|G8ykvVtCzn2*4k_{H?TojuZ4_rbtBO#i}gy?efVviIo&fWGBB7Mg`n-K9anzl2B z(SfRL0w_<9p`gvAO&T?yJLTG;yMyvdNcoi4unF29x`W_$0h@)sSjkOF=LT$Z@PpN_ zTtfnjXE*?gDd3F&`Iq>&(Qm}UQt03U3wN+#NQ1Xd(lyPHoV=nhk}Ipn+)823V|s&1 z**LQ|NDC$!ti8?@2JQ3IE2;hgptj@m5AxsZQ+tCgcH;Z5R^1z%uj&mpe77r_aNr)f zxCvJ>rdZvCbCpdvbruli*OhB^>L;jf!o{I(Lz3P$;l?=BwOJ|dzuc8gIAA);zABj+ zt&aR}w-NajOOqf@~Ea83(??hbTq zy??W%V{~!vcGf8$R4E0qgu%k9kX8tTt}vCEC8W0EbhMvx8phseH}M z&mYKjC@Rh+!TSpea3`D1j~H5zqg8}Uw!9oG(4?iUT1Xb4c(cBLgyJ=eAmv|iC~MGqTXrOdV~Tak z_qmIuYQGyKABD4)aR)rqFhKsHRQg(Xfs24o$+*33SE{w0_>9|91;u!ubt+X0ujayQ zG~+YA@-W{V%a0PuQP4lsX~Bc}7Im5na2wcAv7c&8=`_smkttJS8mTcS)R^*vF(aWn z$Tu4?nb%8)bcj-)+trvdn^j3bwIgcGF?z%kYD|)ViW)QZ*HQ#jsomzJq-}zLDumD} ze$1U3Q&wf@Q<4&i-;-Y85EDuFYD|L~Gode=sxf=in2DM;S!03@+9gCDQoq=Ds4)|& z5~&&!n=)BOr)o?O30>j()xnC+jc=v3q_%Qqji*o5R?!e)#<^_t9V_^-oj(nX$W&&e z?k?)t2TO@I58QEWJ#aZI#7_ITO`GwnRtn!u%HO$Qa{anUR19)B&^US+^PILii}-pPdq zqrqNeXos}Yj28&5_mXybp>>5Eqk8e#J}~x<65-WVet+)-A%mINJL}@Ve0pcuu<2yz z(xcS(@@RoJwFPOwl7K6_lRCvWx)hIVsz5IOm|FY{3MR}fd+4g8 zg$Fr&o0%;|O{kLq0=|}*`{Wm&)?-HUGQ?qL9|P+*w$g2nZwwoEwz-!okYv~?8`TmV z+_t#mEPdqy?-^rmZo1d6m|VA!erh7utedCSV2C-n2Cux4TyJxCe;-ce9)Aen+B5!} z!4V|u_#Z5B z50-crhUAhrF1nj4cmSlmE!|nxp6)s!>hu>yo&3&cCl!=KrI$bY#AyQu_|;6>S3>u_ zdFRtod3@Ya|B3B1OcPytU47c6Fc9pYLSV4xOCMaVEJqvtPW9^7)>)UOYuQwvW@g5z zzDAXFy0i813^dfn#b@iRI|ZKQ@##vXCSySVTK7(W)YhkS<*O?drgc}P9pgq!XJtpF z3tM-O?8q1oxpXV;mo8qPuh!l7*t)BxhuXwr{@h!4)$~xb?z-yM9jP5t*t$#M)xTO} z1sRT25-yC*$-O+JeXVeH>tq?UQEgiuIC|P?4BEjl@)Ann7q>|~{h*j>Yp;ej-hv3Q zYJljyoB@u|-@yes0d;I`v$Ez|!ERH52&%KwwLzO!`PwDPnb;ER~OFRzh?9it)r0He0HSy}V3Tb*2I z>9D_br~sup{rDF4B)BS2lD6jzbOGHGc*csrv!fzzI#815QqfyvVko404Uly z4(AzNFUYfR!g*T};)=~X!`_*r7^rN+jCTxZS{vd?YZs5+`g#hLHzW5z#>d%?PNe&=3Sg9OfLG7oYCFhwJkB&P~gIgqC+ine13hnD-DyU`kt9y9m zlqEC6<$iiz{ooE){rGeVg3Y6kzdv;^dS-Uq5DuCjzdGBzZ13-kDNc|2sb_s###mzr z7wKG(#xRXVU)5N|B&Ru|u?Qb#A<6|G=1tlA)mXfyu?P+3%EsbV8qBqgMfts+^kHsp zEJkWB#kw>1Z!8YYjm0k4Sj<8av~MKpvg>9^vX5U4NeuDhpEQk;fBbKI29I_fyw?m~ zslMJ#XYg3V!CP$}XW7V8Lk?BLJ{v`z_GuE<5%K!HpMzA`xVc<0j}jen(N5&6e3}#B zqXZBa^oj9P+8|fzFmH7sr)VL!i!TrB6$8WXv}tgyi%PM0@Rp9gUQmer@3Y_~#l`GhUmy-p8bpVhryR-cVL z72JU{11H@I;M>32_Hz-Ft*HH*Uw!)MULAR|`6k`M1F25s>_IS1r#zs7L4sTJrsfG$ z+S~nRfrrl6TDsSpbh&x!vnTiRHc~d*XSw?`V00+%&M>h~i_KVw(n2FevcA4qvw|8V$ zA7-oefV-nR79^Iha=i|VWskBb(*YF8jtoty=h{221ht=JtQQS(B;7!=?6?y2E zLB*V~3MZJ<7pq=0MF$#V>ueo4(pj@4xreZu+^jn^K_fD*HIISvZ`rp~0_{^_e_Sl9ezl>)-+0 zGGp-m_-q=BR>cg`m#VL&LF*nh5FQ^zkt;wi@{iA|m*Z)T+~rDQ%Saz6&kdY4QDb*~ zYqyE^jGtmTW^I;b#Me)o>|&3ZshlCwx`78HERz>65A0>l+MXORN zIo)%*8FIYp%|o{;lOALHt;*xA8QFyAV5g;m)nA>-Up>4ylMn7~_2evi348*N1|K%F zq(LhL-nbj8d#6``B z3+NXyUT)*ETUi6mcP@K$@05WVL+|}NDeJ%c(%t;hWjeoORp(c$@%ZL?>ikNQIlrHP zROh#wALqh!NU-NY1`S;dM}O@6%61$9(jD}crj8~5#Xe$j}v{qyhbb$(~*iuQ@WcYNm; z4P0Xqe=()Ysjry&i;2!}-$9pi$aQ|x>c{{3r$4=!x1av}=fAwI|FaAK)0=4tZa);J ziP|F#+8I)FNT;$%$!zy2S?PF#c4-&ΠnHPTpix*?tM)V}Zt8vX0w*HQHMliqz& zY{x)bo0EMlJfj_&KHdmvisSK9tUc`lgv~RRZyunlic_OY(7t(SKSp@s5F^mY(Tf_YoW2{yV>SUvn+bEysEF1Q#SP{4?^t4lkhS}Apry}4Y9F4JN#S1nT zQh5BO7YD*~lT zbFxfln>E50@;kxx8Rw;ug}|n3irgS-I+qgu3)yBbT*VH*Ba{^1h>5nW&#`B%jxbWdqO6IR4M=yC;k8}oxGZBMs9{$ zz`hDQh@973v4e3%uE@s^BZ%dY@kuI7<9a=lCla*&xZCq77JmcHNW7Kg>hNvPa?uXR-gcv9)}9n0+_x>N!vGXeVUAWdEj}^ zBYi55bhdlsk;~33j6%xe5i}UDVrOKQt+f$-8{Mn4qx0Y@f;qrnRg@aaPh>tG($t9x z8zEc)R?{C@gRUSK$n-(jOrWk00!${F&2`Pukjx@)1*R^K&$jN(9AR^tjPOMkdD3j_ za&GAgsz%ifdH+V$t&O#^JbpFhsa(~~T4a$LHF0iR(NsE*xqP-Yzxwn{*VSX`*}y|H zUBNs6*I4xm(l_pTv!ra)zf}X%7`lMEoOzIc{7azh)4b!@z5fZDCyxc&>5;QySt7rBSYnjfYMG%8G2u55z$ty(g5DJt4o6 zf242B&6hnv=T|qfG;@{?=XWA%jH|y4mz>|Jw=}L6C*2Iwm<^HV@4+xlwP)7&;HWm(mNL%GAtpVZ-U__lG?G zvicVQ@#mSEv5A<&4FtYXNN199Q$5T8qIsFB^Cd0~`NgN(syD)9mer?Io{BDrzVZ-1 zek&ME*HE|OHM1FylXde}syUUrb!ktw8PsR^`N_?<=G={oU(IE@D(+-DXl%N6D|Opt z?degRdxagEP;eu8*k$$EsCh3Wn~gg+L$cYUd#k-~_&KgS(LSsxMc+52N|?z)nVv=& z&Kg4-{lrq@b$VBmmwhYC^H7F~=1|@UWf<34s=Ce7R_7ii8!%Oq&u^e9zdSx&=Yslw z16A{J1$@cJPr$R;h6y;wn}$jAiV~7BZ70!LI)k~Fq&yo3Z&ms&tIsB2;~dvcXf*6wq1Q>u@6F@(gA7vmnVrZD zUXYI;V6tK?2@(1E4(}dMHIP&Y$dYOo(_+40YGumOrOuto{AH`slb#g~Rn<1!G*nv~ z1AL`+BZzBReY!1E(UI)p>h3U>IA3VqNuQ1`6}&t>rUf*Evgwm6rM1IkkQ1EA4b5A> z+IHn0frmzSYdVssGY?01>63e_W;|OfXdivn#=5i{(}kLs?znfs;A5bK>ZceD9Q%|(wgxc$AeDU*rOrkzr!clOO$E(J6FsFCCG11DEkQG+_4 zul7!c24+@2#!Hu6hpD|VQ*A5PYorC+>OxM$Rd<~luj3!#y?d+vh*L%Z77fH^UW-IoY z&1-FNbb5w2hP3RK!(a-KJjBrw@1$&6&K}*WnfHzIBGdKHp&4w(V5Oe^pqwa?Xh{2H zNEzq`HLen=kY=JIoo+&NJn#>Ydlf)QE>3tFp(HlnaUIl#X23$yOBvIPkMA=^P?B%< z3ZRqaDk!OoKA7(OR>}gMuHCEIMd$i+oO-FX)@NYYbhfF@EsogJL88N(NfS4W=grOT$9pxSisv-A=~##43A`8_G+4-S^4-z>5lCkBK=iakaNiVA|^!A~Oc{#GPW&Tv`_} zgv-&RX4EW5qfGfp21PtjqwLutHVx9>1BmO#8j zb?%y(P$NV`%Viv|_hp*Wp=y+oPg}Rw|FIeFxdma9OmtWQsE8 z{1$f-qxw=fL}S!u0!6lxE%NOWuQAW1dk(Dt+Z64k zU~Sqs&2H(k{?)W+yoR==7KU+?%{X`)OlmE=_k)%|G>o64n{G#9;}M|DFdjjOpGHvgkkj z)!+T?`tPm(*@FjaIwbqExIWCAllA^fkAHj=O}-K6;UJ=xLsa7}zx~g@|1ZD)`~Uo_ z-~HxSfBX37{^j?-UjDVe`rkkP+mCEF{?q^S=YNm>Q!fN)l}`Pu z-~Gei{W|=Y>q`9fi(ee&Z;Wa$`&WPX&9DC9zy9VA|83`&f4TnFnn(Zg{WX2`hWduU|dkAfaJUQ~|$+Kk@R{dYNn(yh;4EKmO+BpA#DByYCniq6e5wMXzxQHU9)D+$KWO~xKbZQ(HJ^Aqa_TQ`7v}L7C%odA_=~T9(e?7E z;I>s=g)CYLBD`j#Kws15^g$3quR@~lknUMo%U|Nx6PN#W0NNsH;gk95#%E!M-(MqB4|GH`n2$V6L5nWgC*&_kJHh#$W>g{773Zj^!hHTrRTABC`0 zmMECA1q?6z4$}Ccu-%dYQ-=46DXy;(rq<#}(kKsMEgefPuV}KDC@aBUb%}zTeDEb| zExVZm+iH#%KVxy)atkFF|5x+ev+`D$O#s{9dUV3ll&7hG4uoM!qJ<^BK0UkfdmyZM z`T@6U`swAOvQPmag$ESsc z1!naM-@xLTA>VlX`!HCX-uw4T3k_Y*A*1S|&66ufM@7M-a)#W1p~3a9zjy+e?E!bX1WuX&X4ltypqGiicOPKZ=_|U# zUrYeAE0_5r_*`|D+`dbeO=4MC8GODO3F!dvCX>XX!-~XrBA7q9ikMA9LPeq#@vvVJ zM-y;b5&KqZM=7zZ4bzv3SQ*u0oH$nRe3lTd$`z4z_Sz^vrJ&D0KCQP6rI+#f;0Do| zOH=S_xrj(jDJLWb2qp+fVfEW^alaz%WCa0lQS9tfYK-d|FWka|1dZzUvgM$gJ5Qvu(JzUkB013kGEU z*!A~Q$oiJ%+Mv8qnpU^F_f@=0Yj6}MO(r;Y?d*_&XNWRX%oF;3AC_%a+uD?{bV(su z(WH4HM!nNuhBhVK;ai_3I$;EOEZ#ez{2bz7)k^WR)%9ps9C-AK)wnsvQnwXZ`MUOO zNpU&FFPB5I?(kZCYBdT7H3NgqVD3Oi0J8}tGo9I|XVV=ln@)Hm8OUrL{ZEkKnP7;} zxbNv>JI3h__Ha7a#MV)XXZ=T77r)wi3#G(_YAwC^r_yqInFj7XH&+_83LwL%!WhMK zrOD~reiHct>q<4}wbGOY9-*lq3M;-ze`NjC?DYs!HG|JKhB>ullt5=fr4nW5&V?h^ zuRgR;Ij3QKE47`$Ve8SJ0O;wDKmga(WD!6;IAfeEopo?>$wq0deoI!hU+3Yt)xVsU zoGb84trBj@+q0J4JHg8)7O&~jkB|E~s3oFP*V?vnwCX1c{Ai^2GiZm_vRgkq{)T#0 z;IE*oe@x4ES$(?3yb)`1d3?6OZ<@wGn0Dx0sZZuHyuE`v1!?Mef;>D$YUZ@ zK$)YBbnQ}km@U&Ko}M_1>5^Z3mZ;-5ToHVYjN~dhS5e2d6`%0ywZ}bv%lK-by5O`o ziOgHe9^5c_gMt_Nr%9vIQ`lb5*?gl#^0N5!BI0Hs8%25>@Yo%JSJ705s7e&piHwPdk7v*b3D(!j6FJqjCmK7=aHOs<-h2Vsv z>!-$QnswmBfwa&fRgKy{T|jBgh+r;SSgRIpGjIacM{7$#Al<6+SQEA?I0|D+17clN z7KYUkHxxM@ecQAkYSW0@-l9$rT_Xtw0XR{3bK?7U}r9_je99ae5id(Ko9;z4V+jPwIam zx{2}D_Irs%)xpeGg$2z#)2A1NXsAOunNxF9o8O_ebnt{}YB;?$`g z!E=3jy5_}69iKB^=Q1-DIH?G?Ft%w-3jEp=As4@5rb20fCSLrOlKofn%o@uF^akZd zKSnX|I+CWfY~axmYc*@u#ZTw>y?Nd{O8IHKx7NpJZ!KlsXo&*mO*(GQ@KD6Gao#aPNy+8wKQ4dbN5nJ&CO$0&ANzLigF+%YP zn5a9+#(dilKF!t$RPPwqM5Mhis)BDZJME)1jlmu9Z*e>wcnHN??dE=mBo^K1!HNuCrXvw$zQfZO(1e zvxT7M2|Zg{_bt}`4;fRz3@wOF64JbH?Rm1%RU>7f)Fa%cTefEb3Vs!e;?6RnQ9MO( znz9hRpICS;&Dl${$d$8)mZ5U?+R{~P>HDGz;Q^~G2KJjLL1{;oJIx|m%ZAqgP~lNZ z0IImHqQydUu5L1u>WSa_o5TWzb0)DsM;BK!I=X!;HcPt+ zxy@|Y80~`>i$6wNA1AkjNtl293y|@ka1d)c2K;gp?SM2D@SDp683`qoY6vQnBzOnTQ6OElRtp0y zQ^L2dtp!`#L>F{P`*EjVvn2U%t`q@?kx-3G=!cffQ{>l^CCpao6B><(PdRH54Te8` zbQUD}m#^AXt2ATVP5a?D^O5uT#z`Xyu6xdMxgmZ8AX=?=V3rof7HJXMpk5h?VJ z7WxPEXi!CKiT*wfn_oM9MhkUEE_ z=wYElHSJHSuV`!Z@i{(emniL`q7|aw*dH~F$F94H9gc-a22j|bnvuEf@I90sHt6YS z3{R|%8KTj4`sp(?{OITk-?Cw&MU{@O1~V8MicrosS5~!?ivdLbJdu8D=~!eTT`@c# z!rbNo`sO#B=2lAfK#$3_I3KIvG{Z625y=rTC+kad?if%G-T@%iG~ceYFd_m93e|o; zIe_BRpo%j9p_@-+wZ2-UXw>2jlEGKGI?G3amn<4~Wfo88D z1B!dsnJ9rCjf&Um#n%)i@Csz&rnXvU{onA!(*3$LH8_=`Zk#PT3FEHKt4r2cpu5BF#et!j))2LQEW0b69#<3v* zuCru%mJbp1>>g2aE>#`CeiE3>tDs4bR&rBQ9xF?W1kdp*b-N)34vsj}iV>A?QufX# z+nR@N>sItC1DXa-=`#-5md7S=7T#_E7#zL{lv^?f7Co6k)mb4}aK@Aht>LfrvU^U~ zbEIT^6t4**6kyJniJ(0#pc$*Z1fAsEWplYsy-!i5Hl;|ZSE5@g$SY$#nvC3+dSfVc z91Z?cz7nk(6weCv`;#l1l>7tlu&vCct-`7_+|d{tpT9^Wa+CTZY&z0mh%}O*&GhY% zMS7LGRa=KvQUL287BJLUG$>~%;S?v2u(VT-Jq-nc3TC4-k`@LF!7dtDD?0WWT%WZ9 z47k3Jv)ck(U%S|$>s1X!q`n3>uWUT5-GK~Q!D@##w#CR&-P3G~j08^$+aimr(2-L+ z4knJAnT`Vn-jyEUG?X@m8G4Ac#;(jn841H~;KK~vj)o&v7l)Y)Jrn8yQ?v}Wb(E++ z@NXs^Gx;8}4;>{sX82&)Q1rDsMdv})bqnY+m+%`{ml6#~Q&hWViVCGYhd$~U{Z93U zqO|9H7-5)YJ}el~VmIUaA9rAky`E<2jB4jLnwRwI&gRC`$8u_?R7E3gUr2d%*8d@9%Q zb#eOxO`@hN9k0OF+}e$a<<<)tzNSWzdZ!!1Y9D1hZ8FNM$!^RM9cx+qvM&#Y3tbt8aZ_IdY0iHrM(&_C( z0xCVQ4eo|A(f7F<4)kKZpW-3!BkOJ2Po)C64>O5Fbmg`=KH;m-ev6%kmgnaiG6J(; z)L<+JGm|9=KZzEXntH^Y1=Woc5|%0IWWSRfrXEKKU~ zHQDk#-#GvWN$n=G|Lst!m?)zuVSQ!#-?ZO( zliC0pW=#J(!-`-7xK4q4)sRQ0B`w>C!WYP}-bdXTx~9CjLbSG;0gM@?S=>oT-H+kh ztzU#T8${}`I^Y{z(c9Kh;7xD4#h(*zaOpfYQeG)ukD14& zl?tIoPBphroEm1O@#?0Akl8y;{vGf4A* z&rsLreTO33B%PcYGs5liJLr4_^P-maZ|aTMc8IojRk{pvKN-j<_RmnKuGTi$Olx^p zPz==FU?YK7u*c{RLZe`i5Cd&ey^GU{kh=5YBjQdgAsy!s$eBGLACkyt45Wl%pmr<- z!+<~Y^h6YTZpcihxMWP?daLk={0=A|tsvA)bB`;C2{zbeN1?oRoPoYXln*o#2OM{X zs_=oNQ+PjT#Nn54zU-q?2@cJGWc`9L9VnKkY;k(zMHV@<%#in|M|gFHIwESdDh04t zK+wC_y36Q+O@K3dRWq5#hq-`?xZ?3o zN%B~T7U>O25AA8D8^}&*;U3GNqS|c{GxbVrQHe5G;}2+AW2O@kw|A6eQBX-_7&|kY z5hWQrKHXAID^|4i1+AqoU^ozL3AyQ!WGuDYX#KR3cxRdgSfNeB<^ z2(M!lpSp}M6`Vcw@ehHg*VU&LdHZPdDAy+a_$L`zud7efi_npoynyRPXE9q`)y{>tX)}P{(Hu$IiCHJR9_RNm9SBjvZEVB# zy$*(0P`jl|v_3rD%Wp}NH*#9dQJm)1#7Qz>PLs}GY)Dt+1KOjKWO}IGMIl64Wtk*- zV{i;kU!j9vrYTV>T^bkEs;+a4t~#EEp0%hMryie?TRqN)w47<-)T*KOFrO%|eWZ#x zE5YduT5H-jgO+q=6bil=nwEooSP)WnnuV+^YUr9rL+w5z^wBibzSnZ5H8;^DL;F~z z`E!g(Aha$@BHFmZc!lb|e;*%&4BZ*$KT=6*6pO(tusOPlcWHEo4Nu08P4;~kbB0X= z@e?mVcg^Kta8rV`w~iAe4+4~`&~gUQUvfR|e*?N}ELG20<#-*503EZ~*T6cw3!;Gt*v@YBWB_2RDu6DC!g&2bb_m$Yy(~m!WpJ!OcaE zrp@+-^!``oz41csf223slEu}KE)A86s*P!gCbW=Z-yfeg8I9Y9=t5`2S$a&G;PDZM zUDHLD+8T6rA==iEaIijf+LJjybVUKVn(?SF!sgi8<5w49*-(8t$Hwq4HlZ^?#JT?Q zQy+vy0{dQXgy#*Ex#f_Z=oQh2JLC8_qSYb+vql3LF4KF_xX{H3>?t<{+idUQRjp+j zqJ0M90=9v25I|GQXz}}@VYk@LqHyH^)^$;sJ|S#sjsgi)Kd9a=(|gep4;)gFZuhnz z;mCgw_B7l-2(u72b`;LH6&Pln%t^jc_k6w;c_?DH=4XmbH^@v;Us`?Zt5`kTQAu=$ zEIVyLxYgoC+c6E#03_4a3!{XbjimHGxjK^WAf~0FYFK?q_EyzTsSE`1E$PAKg9uw1 z-zc}nVpe)S8tnopfjqq%platgR;))zfU@djVJ2KBy-+Hq4U1-wTh)vun25B3M64E> zHjJE3XA9O~GjMM_o$bTEI^Y}7;$64H8cPMQj=&lN8$M0hz4oC z;Ob(l5ZXj)MxLu>p_sL1u(@p&5+>BzGCEq+{qnMq-(zfg1X^3DR`yMEv|5Rk!&<8q zR-*ns)k^$1rg8lornH@auOY|5KqVmzIGz%aXf=9>jHrf&&$(QSJj}5QGh+!iAlvkpSFEP;?%{k@f6M!u1QByki zq4Yz%5{d9tuqu z%<&>H!N4YM2orJ|ZMjbx-Kw)IyZ{Sbedv+P!+4EV$#4cjM$A|*Ir}?(-BJZ0ZR25T z1R1;B45maZY-ce|s-J=^cmgZ8rv2jb!xN&i1 z6%Kv6R}b|+{_^k3^ZY+={cLPk$|={}xzk(e@dIk;>3yMI>4>~9R4?mfOewD;9$G=p zsnWJ{gI#hW*CM5t&$8o5Jsqt_22m3g=*ARYfwF!qZ&A`9%VvVCR_yiFMy|3hl?}cr zHIi1jy>S|jOi5S zy*RnEG5w%6THc;W&TkePz8@7xis1W?s`V*Kcw4)CsHzt*tk2N@6+#12q?h&VD zS-fv;b+fAOx}!qcggP%nw7GJCf%fmv$P6zIiL#l#aL`%-Y9m*__lN4;;nc8~%@A_9 z=NcztQv==OAyyzPiOf49O-re6-dyQ4TuiW5C15DNb%%0Ru*fuV7YH$O3jm9m0s@T{ zbtp$;rHwwFItrEkE7uurXH%@(PnhH*>o+{rkvbxDUuf17@zfW}$@*NBA>x&2LNlVG zx|$Sp9H2s>^5j^CQ(lQ~b;0jvdQN(mlbrral4EIKNI5GF23DyTVqsn=65{p~DB6^W z(WahFZZgx4_N^GG)J@~H!}JV1x7lGP!al9Y{lSRi8AeU_pu(QsXrP`^$k8qW+SAix zx^GZ|tjTXA-dif6^)4t?XZt*GlEh(3MWwZm;I8Z=u!6q9Lr#&rFx!`0ZpnLe9#oOM zf&-AtduZ{z`$J1~Z(#-wsgy8`CMG|K4)qUeR53(n=FmOH)?~=7gJ3sO|BMq?(iOeM z*W`VZ-JaskLQ8!2%|~wpwZqICsk4~c@zhBQb}-W?LNf#z>eoAYtpUR|%ylo0{C7ea~+eHb`kEqB@`Zrts>ht1&iq z;XL$6v%s0U38=6}j8A0J->D+~B>{laKA7?bKJMz;0o2N=#t& zYV{0&E|WL4&>r$%q*kx$vIZ6(lIX5A926An!1+zLdlbNnyB8&2ggw9H{pEtCh@4+j zet!AorUfCOP6(P6IvyF4jO2Y@M`P8H?@%feUWq*vkeTeYiG!)3j0jCIRhE<}o)FHx z(mR`WdJVL$eA?w;n>SbL^aTvSLLdbK@Kz3LiBDiI^C?veyJ<6u)#tiVx&Qw7-x0Y} zjwlTY!!%Z#NCkBlrjbKuhj1Lp9*6Ib{>B=9Sc^B%oCZ^H8G(A)mpUwi{{Pe}B1r3w z-8eJF7oiD>()3Yi0*ZVYDK!8xLu^M?QY-VX#6lBD?fho4GUFD9gmz<-)O?NHUhbCv zA}s4$6&^IEqLxo*e_bjLn==wx)^z5hx^{GKGX39RuN%Emqrw9#G50N8Ei}eDMJIS; zn7I8Q3Pb4!!7NlO=s_#yU4FHY^(Z?x2r_|*2_@&;5iBK1!+Rfn`HYPrTu29nuL0t; z0y6Xf@#S|!F8Y*C4}U|^hb~<$9#5emTwiHE7+9c26WgvF49M@N+jpw@FG91KKu6Im zFo=<-UdcwKMlgPFLX!C0xyei*nJ(H#8*X9U<2%~FH;iuf@s>`;XC0&Q8!CAdJAPe#cBT7oP^CAq>;UnN_ADLGyew zg4J{x{WNx~4kMdfIp|U9RSmL5=J3K-Oq(`n8?;@g#xwkwh%uZsFZ=0}PcR$ zFTh5Bz-5?U2Rk!I#>A|n3b&zPFOr_lXOsQ>$R>xoTM&Tx!ekt@9~z_)WlwM`X{4EBlyfh+ifNlWT%$7$H}<-4&*3eT*Q$!Rjtm6h z*2axMk9yZ!jllD2uEzZ8v+%p-sO~u}&-VJk?B8^0+WZtBJDIJO?eHAkLO&$^29R-_ zW2$A_K)||xXvbMxkJ!out=OjPF~5J)AaTb{t=U1P`1g;L_ z`PF9&BRqZxBnUp4*;!N(5w;ZKZRYWlV*ih`OGY0r8U}upNaAIRrBC;Woft$rq*h@h zqYcwAmWO1nE{k@O01~f-e7(vc3!B^wCFgM63aJW>EOB~)76uHQ^%9_mv~#$Z$kU@K z=B3Q*OB{Ie`+yFDcPnw&o+&_CH%HmN%ScwjQBxg|AVts&Pt=CNyCmZz9aJRKjUx9B z=Bmd_rkAoQ<82E6awCe9W<1`yuGH%W>*kO)03bVNtaIIgZ14B-n}BX-vqV%UTK^sZ zs&#-c(y!#v&Q`a3=zX5@O0+IVuUUT7LP>LtE|OZnE78*naOXx?S0$U5R7^{mTpw(ZzKVsec^uQWMP7wsh5J^)H51>)06%NveZ4lJ5_v!J2 zipXPd+&5F(ZLKV0>2&#Coj5vSlb!#;A7FPxN6|7&9R+-<2`!V*LrYviQW1C`viXd0 zxHw}3b~g@l2|B#{1}x7HW|QjECLd+hGD^JxMmfDwihyjic9GclqZpR+an7H?kWr=0 zaqw)5{m!w8o0Is{L%vTf%rcOWWZJ#TpUdj^%``>&0Bh<{jykHDX{fjm1q$NNUg|); zfHUaFVTKU{`(rZxJ2OV<2Bav*rT{8$z$%DAXR3=mfLz7^t%b>x%N|%n6iWW8c&VKG z^!)|zNdwFghIGwT2D;`|c*I7TTW0%j@e*VYZOM;wbmFhEbK{7WzJAr9P-oy{-QkAQ zTiYT~UsBA)4;cXnv=wx89;45#JgVxd-9?sPxp_P7fA;Y=Yh^+5xijo6W5uH>d3eo; zr`59ekZEMuKeP*Mr=MN|BOslO(Xhl@d^A-dDLDZ7{c{O}2Ax^ODtvV28_qAypg|@K zq2Lbks>v77AuMf(IY_27XFt^wR-4)N^Z@|wqbg?FA!r$Ill7-XNj--#AbTr|yXnVI`&5V^T{G)2BXSjW=xxzvOT7^- zpoew`>L3qTV4uqv$QF;-=1UlOJJU{fl4&P)bpE7ir_?&rEy@Zq6(FTCZq&2^cBxmQ znMbH1HYr`^5|^zrD`5guqy|2B>V*o^awT{N$Mwe#F!og<;mR*Jo%G2Lva$7X25}UC zVjW$KYR*$GJvI$gx~zs%0CZ^(9x$->9l8L8pYTd_ZG=0{MyX-Ooy4K|h}(!omFZ4A z6)lp!^kz6Fo+kZ89s(&&p30Z{Fl@SaiW`Ac*4n#fn~y;tCF&q$1yUrU>maG7H?5o* z+J938tn^VZmiQTrCA$BjedvgAl@qW!kFiAC*xhXP>E_EJgex#1nvZTR{&thcXOVxn zL-l>U_fKN$fyG^H!%Ikn8Ne|9kE6k(#y( zl;g(dT&bw-l0UsPXQo1a0!60Ly9*Jl1w5ARLn~tmpdVDOLK`o&{Z$AH+ehiP&H5QE z;cZ0D2&fyvb~b(as(gl0exy-3wKl!z%uVBlZUwu$`?c$?l>*Al7Pj`f`PrJKkJw7! z0Sjg z+sYso{Cw$UYE-bpRnL2pf22{}-8mYb0g!59k*}OJ01O<|brTHL=0iz_I)^M>?+iI$ z-ezW_C8<(sIM_yQ{u6R2X+d=WOg2uMl5OrFtI2c~#Z?*GxJY4Z=N5L?dU#oCokNQQ3yHKh&HAuHD0J{-(xRgz%iT#5 z*+fOnZB*O|50|-8F`A;GA6(N`Y8snoU7scH1|OE&E5s*{iVd;RoAgkhz-S&!38y$! ztHdKsZG^Xza?=zrPGbZ*N2)#TQ3G(}{$cOl>r;o!C_RfXdBHP0uu_lAa7gbRke8H; zDxi*c4HK1*y-)5PZ;;pmT@_!?K0QzDJhBZG*h-6S!uRCxve93$_D$xHp+DfNim%>g zd1AV`b9v^l_W@X9FOH%zYE&L7ojR)oLQFk%1?#_a`;sRhR4SDNh2Rq~g(B|R6knwp(jTwmBJRe|d57Dt(KLFcesM3c%r@@n8 zl#R3*3XZ2X5JGj9L`VecM2|gC%+4UmjVzFCaWx&xyY zlO|qfD~PCc_^B0`)Y(LU7MZFIUV}QtVFGS>!bf83XzdS8Q6`)I zNa{&BY9SiBzbCvBn_J;4se(KbjSPK2hlS$bX`EUfdzSliGmS%*{BSdkL$>*Ty~g2s zN4&bZ#PYVE--=~JG>4Nb%Et2^Z3?jKa?*;I_u9;Khvr_JnI0XxdNXIVOV~IVw5FAi zDOXjW>CZ?DX8`>H;sLgK?P(j9tMxjw_&OcNx4Rg_Qfc!O**ZNYkx_u!5-sgN{`$AS z{hL3$+&%x_fBExIe|r1r$A5b>-CNOn{Na!P{GWdDPrvx9sL!<-4txA}fB*hp%~I*k z?>Rv9AO7m^{&xNMy8p{`kL<@7xTemJ=(^MxaZGssrN=)$$SE2z*56AqJVdfI%Wwbl z@Bhp1|NcM!>UY2S)!#n;xqtcnua|%Aum1Os|Mugb{_V&0pB3C1TID(rjQ{li{Q2Lb z|5T>LeHi)s-9P-@ufu=2uEbxz_{C8)JVqUM|EoXz=2!plUw`w5|F-kXzkGk)C0@S& zkKhp=y^Z{C_wJGN*gwiU`l~-e|#|? zlzAV&mtM_ZzK7iT@p~@y_g*Z^``=lw`}hB$7fkdAQ@`kBZ+Y#1#eZ?TFz^3;kH6>> zubB8nH_Bgx{!!IMQf=~m1MOkw=!9DFt;pa$$3}9B!ESm<_^$KPCkFf+|vji($071%RhzWD)UbE`U465FM z$8Vj1WcyUtYgg}X|JniUVbdy4D}tbE==1~FL-vocY#tsyz*QP{JIra?uq!l{A3yN0 zR7ity%JbS#r<-rDY6WGDKKlxkihFCL6Od}_Bpc1LQ)wHe84b}B=MBxVl_E}u&kCAs+wGO(Sko-KAzn!B z|7C`$Hl{UF%e1q+JUbyFx#tI#7u59A!3XvdMiEq}hoN!v(CW{(i~j8C)uCi;1_N#O z^ak>M^gHObmJkkd_&duHgw>V0DN5?^O?qUk2dNyGy~ht)If69)ej|?Qy85(qEHv*S zItndimln>KyTR*afxNlMI(={RlhG4nzrDx)kqy=RbO%8gjRSxmHv@NQy1L{IXqqVq zx_T3#9h1(U)v04c(r+#utL5i45d-p;;X%Zf(JH@G@c28|7kLDnL)Qk^mxruNMm-#2 z;c8xh5ZoMqXc-Q$P82$Uqk2Zf7WJAo0FnC?1fUe#Oqc{q_CLI>*Lcxu;4R?#t|Tsy z&V0+nTY~B{v0NEsTbiw?c|{tXRZ(=r7z~<^O+h~OO0||#L!_jUA!I34*>AF*GdN}w z&8g|5Km-smL)TZMW4w||R-eHZpV+z{kEd?sdS7R_jWX~QChqW$oWO0ISgOl}`~qGL zK;fqJZGoet1Eo-o7|tM|PV|uGhW@hazoMoaChFZZ`bMT+iLEHWcUL`5$m?hHJuoWS zM_P2YEh$gEQe~B`@mQHNxk={W1p5pcxPnZVK1wX7R*#a2j?$QpcM#YG^+##KV@bT* z;lB@}!BRY@8f~`^^A=$M*X%<(EPwmL<5Vl&H~#pQ!C^YonN<|ddG z3G>nA*Oun4k6+tZ3t2iIC1cD8B-O@8x51R*2j@!Tj*eQz<}VO2X_i=K0n(4YE>93e zHiT^aEdfz9NXV?qNGmKyF0DE%mL}GO_JH>G@PmQ?Qbv(AZ4}vf;a^f@-3^6pV^jU8EUAsF8XI`LoN_w z?~@+@{j(l!LQc@+erlBnp^MuJ@8&Sb48h{Zhs;(-Q7R*Any43 z1msY6z>8MR&T#@-xqmdepytgRU5v~J0Ci{s__nK)(!^J&NrH9{Dnyq?YE@zh-AffX zY!#@Z(Gk5teRO;?e@SA!v^p1m-s0B-h_bx7DMx^rD8-m#9zV z*Pn)WOtk&`@Ig}Hv&s87pFOaJ{RhsT`~aqv8R8$hnDNv`cYru=&jM5L>onDJ-1H%(6n9Uu3Q!U^N)W8b+IXW z?p$6ha}+9Ivl=J~wJhB^)dQoWm|o^6yu&P|n?Ke&G;-#{=huy6Fpmx!C^ zHxSKUtO@_;c(BL^pbgwAY*^H#c%y69Jh;@e1*DMwJ~VM5*_a_ugMEgMt zMLqzv(WhBf#?02kIMB*Rw&12`SQ$S09hd^PsXnJ(iKRb!#3;>}VU)Jd6%68xp=vnc zl~~wOeaBtAhcbyD)DHA_0Og)RLz`LmAQYaxR`s#9+l!kdlF-yoZO@R{n`!q39Gy70 zrNwgXFzQ>&<#>pvcj zICOGw8Zr{TVe>~RXDdC2?VW71-%k`@TOOarzEL}ExLwyv{w$AA^ZmzYB|lFyXMOx{ zTeFe|F17CyJ$`^uFYA1+k57~KYZ`AYnw7;7zA%PrgrDLF4^^3hH7%oh*)OWs7uD;N zsa`^%nx@2`OZ=X+N`_!X@kRCO-rJR+dd;u@V3O)Jfa*0Q{^I?pUe3{(of7Ufv%^&F z{>X;{<491NM?UDb#0N#(2x3iN&S&Ko&e4gf4ySJNgiLM$C1EYB(N%2D>}cDx3R2iv za^BAIc{LVpGqI9!ecO07#QKBPt53rbEo$U3T6qMfKd^W!#2ghn9riUv2Kaz?@%C@U z^xLcAPwWXf$JS+d+VCg^T6_bn5Mn9KHXL=`{xqY|M5})`Cb46e%#ORjRbqmXO#z{8 z4n5Q7@6k+Xqpz;ZJBpc6Betej4>JUw;DwMGHHtbZif}jkHS!nN)y>3w;Gu{8An3V~ zqfU1_AVfB5*5k?_KQ-(pC$}(gV)Z@Z41$R4CSzMk;dBVExPgLk=fSit=h*B$gm9fV zH+wN8kQf!%^wF)=lEJWn!~*!xfV`_)qNew%N9ti|)+!0e#=$Dz(NlPJ>+WHz56HbB zeOJwx5>nmx9K`K>fS%#NVzXnA?k`?`sF7;)QJPwF3P9T--Rl~nN17o0Fhti-T97eJ z#?4U%V~^NBWc27YR;zuCtChCq40zZolhU=?9qXdFoeXm%?= zp<+2b@~S7?7C(*U@u!;bk2F4}mbL93`2egUrh%CPm@J@)x%387{3#~2H@0?-m&d0;yb4b+2P+K~&SR88b{JX{7+QrHp{7Q$+6t&0$feWTQJ8^=YdVB#TP~8AKDUe#T_&Jjx_p7HeYKJwJYyG8u~k ztAJs1JfUa(!kGVaILs(dH|_l$sfm$Rp+{3wg#e)pm>KYien-(^*E(xY*EN^J68YFe zJ8VkOp0u&+qd0OUBaE%-L+r7i9(!c_(}R@)$XYa-WyH{@rx2a=B)zKRSPaRv3Jvke z;c) zrAs80uh~pqrVphKlXh`F+?>wWsyCS~$_O$Q-bqY5**~;;lYDyRIfxIj&Ez!w{CsAD zjd*LPnholq#MV0rC3Yqxws=Qncn)kiay?lsPnQ}CW2c^7c$Q)vy^Yf0>TN*FNbwq) zFZk-n4O`u(*}_gaa6u0fmS`vO=61sfOIVOJ!;dN=DD8zYEdnR8Kj^kfvC%gZUg$Wk z*U(kw@%jBz&6uvC+bKumK)T&nJ#exaB~CL&dWcAk@d;=~2C4}Qga~Mp1Mf~2NfQ== zv!9fmk|Jh+c1ZppbqiNhD_?{L#;V-c&lG_hSs4lFR}HaFjLi(p$bVvDotQ>kc=-Ud z2-c0_=h(BjWF0Py|QKH?w~kr@tmW zqCCVodvS#Hwh)?73ZlR~piwvrkNMWFEsGl~kvFI$6|g`~Q%PbW&XC>3ZO;-CizXLG zkyvPitwts3JOjT{kY_VY5mpjq%1Qn(8H9~)IHOk_8V!IhGeqpddGd zgMdE9769aVgn43JzHEqn@&ifD#&m}c)W6^o0Xk0WL>7ISLOr=^BJ1!55u3y-ZOPj> ziBF$Jb(xBpLv0?FvVYicE*?3d@2zTS>HX6~HUJm!VLS!8hOMEFo_Lwo4s?gDjO22E zp16uSnrA9Z92OG{RB<-|I62{PpMQUM7!XICfeh6zjs zCE>Pq+W1I*uZxJS>XdFdJg2Cq(JgoP4=YTnDogY8RhnZgs=J|bb#Q>2_Ycijyqc+y z-#=_wGz6;N^W&dIxZFvDS;`;M81%KQ&R7r0Aeo&}5BXY3~J za{>_x!{$7mjz5=!V(_rbkcTT)fr8;d^}YSl9C&3dFBB%H*a zz9sjD2JBIk+`~+ZMVLQ9>m+$Kzf*_IvTq*bAzx}L8z-r#av&+*+67!zl_uW|{HmTO z!BPtReWCGaJpX*J97FK8-XW?!*=@xo8flmMOlBnpdCh7k-Wa`pcuctUd`dcqgNI zP@3^lrTaeFZSztI_c*#9Ao*|r%uhRh!zFeAk!CO&jgmNzR5?0O1LUpE()R~r>8kj0 zpe+~p*j{}3>5s0Eud|Ng@ zO}zn1sJv0TpY`Aa;CHm$-`XSPnM1gFZ!k^f9Gi0n`FS7bk78$U7E-|JlSpg%~x z0Z1I$&^Ru2qag=ezMI>t!2IIa+eJ)WxKfcNvPq5R!el55O~Pr8v;APgCWk2j&=l&$4^i z{`%!2pF0GB(#~`s2;gDBwUY~N)jLgYA6frdrAVNJ(G>mV4OohaWMf{34psLv!!VR` zQ5|CxJZYW#q2o`LBwySHIwpL|2cTzXD%_8RWazrh5pKS}=p&5DH%%b=;t!xvYnY>` zm3#d6M%I+(n3#UBZ;o@*bW#R*9$#@gch}XgnfM0anteoVq+d-C&4i6!r1<2Q*K3d|FI*^Z2fK^%Y@NCVRWm#B#<& zYGRGN)Sku_KdGK;sHPiNmDU)?o{lxyN>+8QS=H?0v!!R#k(>_S)3pEc=JAQuACFyq z=h%R#6Qjdse0z7eMAOCKoz}efY2>A4?^msUKBDxGcv5b3u;J*U7lWMKwu%_gmkfOS z`b|Uq@_CVUOR&Yv^;;sl`ZSbob>W_ol#CkFN%Gd~>eI5iH(GsT>2P?tsBxwT%q9Xs z+Zwv`CEC_eEI*F?!&4)3ETy{blj_l`X7J!_vL~9pSON?w)0#gCFs$`LK5X2r?gRVX9TjYGaAx zk01CF>}n?@4Gz!cSUG4bk1?t4fFyQfd#LoC1Ju`(PJAf2!TJqi6YXDdKu2TfxxM9N zD|w5_p`LCXW+vvn@88xT3+j<1tf_SxicVl&+OO2H2&d0UY6LkwBeM&}l@P}A zgNt^6=(%G%QChxCQTbA$IJhUpE@y8jb>~qyTaKQNb*OVq@sMXGQb8Zyvrpxsr;=P@ z$yS=b;E0Q+H)QT4AJD^z%t3#4DA-pSWrhFp0E9~Wb93O|y*$f%tt z`2iB=f_rf%{j+^HPAjF2O9A^0&0VmCB2}{FI;pJGwB&zml8l-LELIgtJD~U)Q9N5N zr@<(2AeW=9U4)PCY$!kDvB(r&3qYm(!ZE0*BFq3K9nHG|WTVjEzNj3apTkywS#A7N6xPe3&nPY98dkWfgtL2ZOa)Ym>iY zo8)&ox69(wVP`Y2iXMMP)(Od6OwVlfo9$?6o{F#oKbWJ#j&*d{(P_OgK7^UR1ng|4 zc;^>9ep(x5**dfn1JsAL7lDYF$>{;X#d@QC<9Kc=N@&BOtqwahu?toJx{|OD*o~T6dWDOlsTuI-$e9UHw;{)y1ZZ@F5*#6$ z(VB$O1A8~Rik2;1>rQI5$&4O(hIG@%!5rtJP3^_|`b5A!2Nc_7(ijw=@#D8SVk~>7 zc2x4R1U^ZYvyQ!qv?qtDcRhkW1DquU25m_&Og_B#Yz_m(3Wuny4Mm@sa|Se~$`}~* zYR+Nk;zO1#h#Vn+WVrRR?bQ!dr>okw{j&NrF(;7pFq1y5tYlDb z+{@o}_0euA{yW+}>;H6muEkTq;2xijYb`tN`Omxl|&yB-B)*MK)#kyRg9)Ew>JaXWA-Er+5=4v6MvzE$61Y zV)xzXF)cX=D!hY+qe*_YFa)StTZrCm6qnyGb>u(&$B+N<tTV}-0?q#bF=H@&XNrT3q^i}5} z(nXJWf`ub3oxG5#G<|J z?m)y|qBuvJa0ZG3&=>3C^tTdwDdlf`UjJ_A^7@-U{pqKF{PX|%-+%e>r^gjmd4Ean zuz8E;`)iQ<%VqDcN4M1&k@8wDG$R*Uob7)XJWWB;#xS75++P;=mm=dQ@-!#z{sK=k z=}DEizvRPB&2Nm4YzFyZAGRT&0O}+qw1U zoLleduyZJpt7z}+lq{2d6bEyuJ349uq)3}DdG_09Di*%YIV zH>k5f2k{0==YMW_M_b3<(Ju@l$|9!dR$?lBV=2W=%d!HBTaXo!YY%2t z#ZnosY$P57oOY6?jVDbT0<}cHMEF7Daim92z~AYjJ3Yr>KaKZDUq#@4^q6C|;ciN9 z3OU58FA2%Rq4_GJ6L@T4HAnnB-lKKwkqp{sL!1y64E`uaNDThuAD<t9xpS4^Cr zM7^WfHm0guDc-)$#X#Y+s?6h*%Mx@@yQ2SGC z8)*y;LCXI&sxn~Pu-(dw**211jT`lwoo=P5hYdZ~tqg3NNUP=hVcYchMNg{AjOfS? z1#FwN$i*yj5_4&A)^Rjpv49Ebr2O@b+WE%P*7a9|Y%Hy%>Y`9nlnqYH(^}ShMC4W={SrTo}8Kx+Xllccry%8TM^T8op5f|MTvEt93SAa0uk=VO=F;<=0BGifdC zMWM8ou9ntf*~USYUIG9}#gG7-H4;NCZKuJ*r;3{CtR76Er&c!*x?^_rb(m|~u$Orl z7E?b8`1%yg#T+~=W=b`eZz@m3A6pkc{wGq3N>$g|=*@x_^d?^Xwx{==jyku}hO*U7 zk6U!Q=^8>oRr~z-Wm8QOQ-|$O9RQME1L}CEo`xD^2lZY%J@MdI6!lvE|Kb`$HH7YZl8T!gf3qz&pR$8WmTdACxR=yHn zdBn66wCFR_04JvIbkwgAhC;T6!>%6m1*@M+HCYLkD#lWaib;O!(DaBKapSGyx6ai! zE=7;9RrClsyxwF@cZ94u&-r2Q$DM94)?&KH=)LJS8P&(Gmt^0gl}w=qyj?_NGhX87|bZ17_E7gNa{Nm~q^7t1LZAbon zhq=M4a5BM0&d^0}G;W^W+}jTvB4nhlH;~ZoB-1h_CYl8@Y9F4ARWenwhFk*<18Ql& z&`HvyGq0LBw$UI=IaIH+@I9z7Ac64 z=D2YMunzZR9PJCHyB68IamYE_DyQ|$xD#K~HE8O!zCZjcCfyH1&py2yG3f0PfT3cP ztem_wD?NgOmoWuD6_kA}X{|DSf1LESgOy3&3$>UAN#6yGHl3FrY!s`%NNd-U)>2|c z3DR0zKb4Z!gzPq@s)fYwIZ5C9WVw*g5(K+B>Cu@Wt;utyw2^j6YxC>ec9*o4a&1<-_4VXESSt@6>xTSz`{d6H~si1H9Fw2XeAA;Tj%!va=GR+9X^hg zxo=b|akRn1jgIZI__a!OZnJgLkAE6-(a|%$HBqNUM{iH`$eQ7;m;LMHR+vL79YJ$4hy!JHfwPNR=XDvrxxYB8{Rulb` zjdUsgnX77G36Sbt`~_V2k^HkD&odM+IBdAw$aU#NDF~gKM%sXwqII9 zpF`V#C>=@LsI}0#mbNi=$d^dgwIp#vET~gV+qlR=>w4P801L=IbY!njS`hYg3LRX7>|4K60OkyY45Jdo*DZNByQcZ3!gz6ZlHNPF0z` z00+%b7faV(CkhaV(1{QxgBeeWldPr)Am;Fu0dl6531;+BZTvfpc50p$Cis*gi3GgQ zUb`7Fz)V}Qg;JN>)|?x8pzK80eq&odwXNBt;gT>6l#JG1iW83!Ixju~zHe=Kvbom_k;{|0mbp{OaulKW zsIhI-09hZ~Qiqal?psM^p;@!q9B15CV5TkG8-*~#4d9NIbC4pS7znR+I97qza@HlolYp(CFx8{1w)i=aJKC+)MX{YTn^dGPt(vLAMb{Z6&9(d3&9*$Ry z$~9rmSrTDq(^n_JM2yv2**dOo9WA007aRB9G_gfW3W~wWcjf5G_pXZ zd$h)l>EgZnMI^q4NDN9Qy?KC0F>xsmW&WsPk zE|C~sri0H>stV)?6TzCc7m-xlsmvfEac1TwN;<7akFg+F7wFj~UX9f2?BOnr>(Ypa zTM5IW;ksl&0Kli}k3M5oG!5yYUcz1ACq5^7iTE|n|j}%{4k8R(g z0ki*Z^pvcmaG=P9mrZ{+P~I>pXot91J|!ryr2*W4M|A_1SIdYdAYnsYrroX*l)3)Z z$hsjYuMT)MdCUyA1_`axMjpC!$0&;?UX7g;kxl>veZ!aPmR6(HRa^Vt!;nc`-zHKJI|@`+w>pVboBmpjg6kvAGe+XzQpm~=HgMh7 zDA2W|K&0*Xw=oJd$a9XH`g%{nRW?{kQ8hIRb6@{|z)mRph$VFWe%VA?oF&Dh=YH=&{WUI35X^kz$6 z1jVJCX^-N@^^i+wol)!QA=DaULiAX{)ltGvBhQO|`YP7uMw{p;A@7+kazPY`3`Mg< z0pKRFl2;K?AiH;1gh5vl(c%MXCb@T}2-P-F*eet?PDMIWN*^W&8@p@wp zFpcs_*VI8kKpPV!LoPXr-ArrIN1UM`dY6uVO?sTUr!P%4?y02D$G!@NvD@4{#|+jl zTZeu88Zl9ehGZW-ejB{KEIvDfRCDd?v_q|ue(K`kLcA3>FAIAw6(kuOxA1)Xso{L~ zK@VM^LomfB@NzSV)OAG9Tte$XUkL#sQ{N!xiknVFDZ_grGo=!lVN%z{iG%6f2)#Fy zI8uiNM5yMTNi8(Z5`||4ZcZAqEgDuX$w6VCFfS<*axI7Y2FZI-}~4z zxKYnwIezrx%UAE?;bXJ!+A*QXM*UPm-{4GQT`7-C;XZ)U$o^49a|YvZh2&pJBez}DEFhRk&Qm^uv&*Tx!D zIDsTByEX4by)rg3%I0rbxMX<<+C31DAG=6o2&y_0uGKnzr(i5E<9o!Xofz!Z+9{0b zB@ZBg64Yo80luwCW{XkzwzapZc;0Hg!^34Ta_OV2IUPUD9M7<7U$9L#uyo&_+>u^y z5!%71LeBH7R_XHlv~k+zi$X6YUAH2q3dIL<#53gW*m;D2VB(c@w!40Czy9J!8|!>K^RO1wH%n6AJl@gS9Q7@KL)O{}U?eGAC+RZLW8yMaA#=^3 z3Ee&7(>yCSLRK|~)Z6wTrOekeY?jr>1)%7=<* z`V5B;j^MZqRdS$FHFv&3XA=$0USSlJux)C}rK+t8&;i>(x+KI-+uC;HriIm`Td`3a zNRInoGtWdGrUCQ$0|(qY8Bbe@Kk@^~14?*mByZQU-6*h&R5x0n6Th+C4c#cn??chi z$#t}9h#!*bK0#Tu$2IhJu-upt=`)O^If?_C;1;}5CWx{9wS$9L+wmj!`H28C-Qqb` z1-qdB%(BsGTZ0szJGX;P^gGJuZUbZOdV`Z!8Q-&4zGh%d5Xy`By+e05D>RpNG;X80 z+{&0>dn-!P^6^yD21q`c8MQ_bvPA{zyj7`Sc%l_-;_OHTO>3>uTn0*Vu;%g-LFk5v zEaaBaoqqf#MmKw_TRJAr@dp81nE4=R8S3v~zNl5mm~9!>sYnmeM+9%W(av)TDm2f5?Ne6t!VR}4mA>pK= z2ri=%(Ot>p4qN!MwlwOYr^*S#Zs8A3LMZ$@{YOsxRxA=|puHDsw+ScEVQAS{`=5p`*!fSRJJU{4(N#iJuRhw2%$(&5 z7&wDsBf_KbVDx8zxrP6U) zz{*Cqn)u=5GK=0S1SbE8q#7ljul1q8(YExdq%VWrsXI|k(w8ew$-~}6Icr#BIv;$Uz3HkGq$*1phHq+~=MM7LkN%#)#(x_&#P_ zJMgt^l#`W69p+91r}x&zvZ%kL+58)qbYF2v^WmNry^`BVtt4~;D&tFwD?*`Eu#%g{ z_}Jv`@;Ta~FOt|fwCU~;y&R_ZGedr==NEYf+pf(pp%kz_1Wj!Sfb0f>FQm?d!^9** zpGGkNj7!sqBGt4!y=&_2gWhFKX{EDy6+D&}&keKr`zy|NwC65bad4ixOcSh&paY0I zmGlVsAj*zusHT_ZW?}ay4=S44_P~R>|FuXV6S-22%GnB}ZEQ2-S*@&ll?5-GSeBOW zo8)UoBISe6*k1A#Vh;eZwjpj7yPW|h>l8HTKF7C|=&~F@8c19)Gxli}RVOigWmL#N zB5kOWnHahTp_v1n#yiN20wSY0CsTgcr=DJ`|rXB|(NugWM<3AX083;Xz&>o4TCHJRa_!x2mc4BE01QY&iQib5~5*Kr@Iy_`97 zud~RK_Agah-|r!lW&*p-JSPpoUZQ%h(~pl+hDXss&CDor%b;fV@kcgUxfgMej{Rw% zAbo+JCAc+`zM7{1U2D!q7MN!PZcovHR63MQ-{2w)FxxS9yHCNGEP1_*DSOPNdGUbg zT{<6?S+-S}D?(XYIm4y-TU+I2pYM`Tc{K-KS`=LZm5=X$Ih`XlanLh4YiW{Ekh5kw zjPQ&whvuwn*u6l&BB)xqI4NwbTS(+yfU3Zk!j<6*PGBzVk{C==qM45bv`l%8wG^7e zYAFO+)HcOJmVNKU2JZ!dJp()jL3lYz2n-E-Aa&)DA|yS+m`XLvmDkQrXJ@^T+m5jf zN`@(l?CM}4x^-LA=nAxB0jlW`d}doqGSs11!tnXfy!sam#podnK?QS;ts+PC6?Z4| zfMxaR7G&I|+wkDh+_`OKaeTH~3i$_8lk98{B(%d1lBdB5mG^_RfaD-!Te`P=4_Xwn zLNiOZpvJG*grGVW(W1cE*;h?WQWBEGmxSb(gyiQ+NJFZNJ@GNgp$y3Zc(IwbyLO3cYY%qi=hoDazC{eHPQ z&<;bScR~=cq_;~OK_tJZ?7#tXr0x7t#&-0K`K1*X;F!3L>Q32ZWOJRWu_efXYJx$G zEwSQ{b@_smY;x1ssSYeC}I)~gG58= z&L<=qzAn*l@&RTt(Wv#dkl!1Ve=m_}NTwHzQVW3zS(ctlH2j|NLn_e_d|@?j;1Z2$ zZwufHCiNE&2PTkA4K9~xWV)FwAM1LRY4(EgEW-?wwxBII8I1Os#OVWqA^!-WY?Mo! zIKfD5AWWcXU`Fl)X=Ptahj)Vec-cFC%4`+kofsc)mFE5+P2IbT#qzQ*CXytqbnHk@ z)|#e_G?mlQvsiNE)R0jp`O}mLpoqP)i^92W*%_vEZJVU(@jIb9i^*U$A#la+=zKaQ zt~_MIU5mJK?&&9bb_rrWS`8o)U$0BM#FSdsd4>*b<0i3|XKlQ+i5$JsNh`HG1S_l6 z!ImY?R2AuJ>K%vku2mCsVsDlzPJ8S*d>E&ljUZJ_2S6lVA0IR21ra2-iOF6Rlyfw3 z1EXgyXrkouXp(PHmq()mv|$CdQrFl!u!fthaZ7b!hdB7<9{;^N=~Lawc^V(>$)Qwd z1s_6u>)d346+v97F=ZoZzgQiw{jp{=6j*fd!Q*^BvO5PMA6BsdF`!wX=av$#r&1U=Bbwp#aF9UdA2-M7eX{8 z;53jCc80(P6kfIKT~f*9!wpSFshPioXOrSfbM!l>gd1}D&7+pUn{@~`%`u(kdR*P0 z`1^JL*R3);x-JcYH4r_1AfxMkO7DN!bRKt{7c$K1KyhNB(6fbt>Ye!ba>!uVP~=bv zVRS!ERXz9Yo>X#3I~6vo!Wh*UQw@5GNF0q~~i$&tM^^$UzA%l8}&ZPkO%C@g9s| zlVAkzlAhh`zjq_j^U03)4fiZ92@fny=Z>c6UfBk0sO%#Y1s91{mI2#ko8pFFkBU7F zSxE(8Cv7i758+I4*Phi(l8hNRk!mKD!{cX!C>OT&o={HDg!Qsl1U}_EWC_mRG zfAsvWwPwa}XtD8&f)j=^&eQXQIR` zV}0Z{v|Y?O6?`HVN1v;3bT#sbi-ji~T^j83IOK73Cpfx|arC&2@?GHQQh7~b;*!D9 zoq(jH=FI1bhp;$$toC+K9DNkt-l!yq(wSbt(R)s8Ea2$1;vxO(zjq%T9plG7UYb1| zea2rLvopPg!I>sXLNr8Y+dz~|_ir6I$;uN0Alm2$t#3i5>q_Ata8*JoYfR^T+POx$ z4zIVd*)UVzgU!vMVcp@{Qy-2r!KuepiToAQMq@K4SKp&n3SVLN31T&w^2tW;fs*UM z?@GF`v-(L}S(=9b`4MW=(rIh|03gJ`ZKz;4b!^r(tZ0IRnDal49^>Ol=2 zbD469WDK2aBH8RUr0+p12b*YDN!FfG)6@4rWYD3AqQpKwOSP<{f57=O*@l92f&;b5 zNuBDZGmB?ZNfB~Wfim2~(W&vm%0DHmpWq=!2((c33Dfp1Du@lrA zYcnRG$QKlO4K650oSk?1k!f7zM^@)z?p({KfzeMVTnTfmI0~MQ#>e0rrTh|HSLyW3 zMV}}Lz#KFq`SeDtV9J;>F8Ari`UJnJ5f>S1x}#b{Pp?Ef5}^{%d8%DgNNf7|BVmyB zsAT-In*KFGJJ$Oy&@xv>f@|Ebp zpvRu+)tYlcHJ5ft0qE~l@<(>!&_uXPy%L=mbkW9xf9F^*#-(*!aA`f^mFUtGS~>oC z!nJmFQ;Av3;&(QvO2F?d4f5^e|Y@VODa*?D>%geEJW_&sQ3QEFH*Ow5CG%>rg&F6Rj<*r0M zLUMBr>cR3Et5A;~r7CiAMLkYcTB4_`H>ihBrJjU((8-cvg|XDpw=2&|g|HGXL~UOk zsojh>Vy|m_6L=yy36w4q7j-O5KdgLdcSc5QXmwfHGAdPsHrJ4TC5Jw7ECb!2QQ#RG zEP?Rf5MRy7q)KZ*x4H4oDDX-x%|d4$U&%*lQKs%td4?fAe#bP&o?eLUbpR99nY=SG zQA4K)QVRE7ROY2q1l>VlXasf2DZ!YXHo_ZN%~t`prMuUl)GIWsuB}Hpwcw3tIUYzo z=vW#`+H`p_1Lj2lmsRj3F75nBVv_)f25W+&oP#vs13hpgIHAfY9KwACF8uDde_Z}g zZ)4ZJ&E(MrSs$Opc(Ah173rHV0Tw{{7~v3op&Iz#XoYjnPU*>{@oE{?2st%Y95JOVtiGLc~KXM4T>#)jOsi-?w?Ot+CF{W$p~ z$6!RJ)Q|Dt&0~pvakt4QIeKGwJ4!*UhnwMv3SzR7s>e|{`P9h=NKPUpCZ8~96}uAd zxf#me8&hwWQV@FtL*6#|@x_POvTx7L(7?^mKL6g{QY1!=ZcgIM+)K{GjU53sm)xQ9dRg;K9nD}b-}P7iV23f={xa7IbJ z5NSr`XU*;(KalfiN_g)4a?=J?@MVm&RC3k6s>SOy-$lQ3=quG~@OaHM0V8GTfwJo+ zb$yzmgLLRCu~iT7NfpIML7YD4iU^L1Dq~{u48YQ|#g2iLFdlD4>o`Lm2FV_J#M(VN zsgKk%6>Jr5acY^^PRs>m)S>qGTG_ zm(Us5YmKxUhl(YpjwzileiN|GG80K+Xi_|8IGB=12gNC9Se%g*m-Z>QLxP$VgG-cV zk0?VLMXAy^PkAMFSWB7q%1)P&x{M40tV2fakmINxBQW>$LgZjJ#>XLg62`~Rs1!?E zlYwmkI;)b5XYIPCmb(uWSSj#>9qHlAO{(|-oYIodjnRq7JR8f6a%?M0a-p!=P@>Fe zmB6k5y}gffw5|7$9rY%1B%w$-wkp}Jj>#ubf@z0b)uF|zDJ?CHfT#lz29#&WXcRCb zsIts{m1SRL*;iTiRhE5MWf|?24Y9qT0lVKHQ9*wj|IQh68@$Cdx@9_C13qJBxCWDN zv9G}?WEIx)c&V2jF&A?iS`=kiVRMAOhS`)ex>%)V!JGa_&>D!<5npHxKt+6`HE_zt zqs0{~v<3{C4@$KL{(nZn&br^)UJ_kqs$bcMk(E+44p1t`DMJtq3M%rBh}?&$ zlfHi%_6CB@iH_NMn9F274IW#A2dLJ^SM;T3vWhASJf%EU7;d#%b?XYVK%%+>Vmv@g z^z=9oI1s(w9&&&bP#vwGOi7XY2t^ErvMA1KObZ()*bL%=9n+d+nCKh&=i0-x zjV-O8fgWEUQfHyyGmPhb1~y+4MMa~0P9z0m8nA^JRT$mJyk47Q-trmfmRHMXs5uQq zA&H$R1`O*|6H7|5jDs(Lb-km3HMG$v*N?y!n=aP9#I)*=k-hg36s=$K5TW(=&%lzy zD$artw9xdC^r9(&)bpQ#z1S{43;D;VXY)2X1{mASuvFodpncIpu(BVV=jCjHi|NFZ z-+g@1di~I;o)3BaW%XIJ1lcRSD$Gcb_i2eEC$HS2)_2lq_fy;ESyrFDj#Yk2iRc)I zD|Zmlrg~fBdR=|?0#MSqq9zzy$as=A?fo74&L}dPr2^q`hcOJHB3CF#4eEV@$x6nV zE>Vc3J?4TMIDQ8#fwr;ob+^+~@o|d)fSK8qT~(q_D8!oc1=itD(1adHE~w1CO*{O_ zKI$Q(fLPNvKE82$1%??T?SRCj`xlX3aOW^pg2A4)SmQjee=R3cAEiM5+F_JhS^bBo zW3H>uqJJN*)#th~GYM{RUZPiSoX=TTpJsoB8$Npc%m9LXF+0Z>RTJdDu0Abs7An2x z3OuLB0ZEum6Q;o+cwKu|Q(XF44pRwE=$o$37(zi+0W=$oT{K30yX zI;uI=u=4?}J^DbZ|IANC8nbO@rE_9}292rS@R*>?5# z@M+jA8}ztNdt)+Vk=bKFv#h2{zyyvSxph_CZpoXyiLvx2Znqq!`JL7N#qECl;wY%v z6eyMyw|fM)dyF3Oo8@*3)H#GZu#DR+T7JV6KjzP!+YJ}@ZhZX*Q@_~5aNZ=|@Uytx z^ku{50U!uYXkdD1s_uHPtIV=WOLPSVRasufQj*ZSjZLzBS}@5Xqt?+Q4`NV3UPgZy zwiPr*If}C|Yfdv7^uuTtV9P&DX%>KoDXtQdipeM7(h!{d0V)9#!Nhe3#jA`PNpB+kyro=GHtIK z7#XI~8GKIdhhto5I%Bq`XNiqEF0_$G%XoH;&)-G`G)|E+=;;FlE`^#v1f#3OE14Oz zdLz#GEGo-Or)eU1~s1@p0C%&Y20=5)bcC(Ax?NQ=0r&Iq5z8r=|BW(4drh5GgXm zgOdgtmd*2R^F;Yn08pv!Qr!7VZqI5#F#fVA6&I+xX_oyP)aV@~cnt{>fwdAbrwmh+O&QdF4-ev`zQ{7vqquV%L!Caj zT23fKeOEN+w76p&zw>J--X|U0 zMXgBIUMo;5Fy^MzigX~=!;Fs7F|_aJ7onM=azs_8Oqrr-B7#S23{)57)+LR*9ou8? zIyFt<#HzJdfYd^D{QMdAWdptQ0RZZh2GO*NRo@Tn^_JR}#l2p21TM)yL6Dd=7R6vo z=0zw5tN&uKelb|T7_46m);lv;`P+t0P~_nEF&(wvXBGh-wjSC=2k5yy?i!ZD3WgUj z(?I#k6s+#~u7UWiE%DW4X%Pe4c4(qSfG4TEqUOvdd>R(T)WIh;(ttf+=F@=k1zwR- zzD`NeSY#8~RY=jGB)XrXxj2DtgGZjf4UKWa{cRUaXui7{DH))cbf5T5?#@ zM7uH0OrI7l7ZoZ$q-;-ZGVGELFwLT-DRdf@gi!V zi}rZ)i@f?aY#jK|Di!!{-i7b9Ss#m1l=PfPUFd;ydQuZr3DChrwN+-5Mdb8D2W4{r zyBQm{CT>ag@oAzXzH+3Q9~5Y=<3TKsPm9|jB&u{5-X~3*cR{f`T7%}tr!yuQM?IN#P9Yt6 zFljX^qXgOB^{PS3>a+QRiA7CMC*@^VpB6Q}k!sMg`gBGRtcfJ@NUfG>WjDqBOfQ&@ z`l=d)(WffaAdKoZrwnW}wTS?xKv}>2rcwVG_M35Z+;MT#*GKsb3Ju+VQ7X2E%`pmr zB~)w^h1%-P!&WCs+U%l(n}PJs3Y*5!VN=t~jmYC?Fo4*M=cDj;6gD#{!%0MVs9EDql=ZQqdPYo-2w2lAbgHW#pI!Z$$M+!iC^sARc-ugi zaddpVJiHe*Rvg>8H|X`Cv10Lz$upo`2dAcfp_$kC6=*SH8;5zj8|KX>LnDxSy7@4& z^&+$xlvoHq;0;?Wd=WVp9$(SQv3A38+LMkhd}UduSTG{T+yjevh_B#+^pj%l^&5P&2ybO^{298ZS`FG8nQXx%BRt3b(1`pZGp8~1s$FX^k)ibYdV>{wO62Rf8~ zCCt$TWkS^72Yws6azz>E;ulGSGhjoSq6jFdoyx=D^2j)$J8+S3QL0qJ30kQm z+k602V|=u@8D~LtCV^tCT>ZYyiFo}Wbk`Qdm1yuaU>q-?O-NVAo!&NB=lNi;Ag7;o zPs>)r&dOvVpejJb&zk6Ws=q@mXUeGr!aidSU6elZ+$rl}<)yT|m3h~mOtyo)2}mSE z7|d*3R2ukp&yNyFWf^;F6CuZn^%o=!bhKg9pp7y!F6Oizt6B~!fm|AN-nWXIvElzt zR+OnPQfYy%^C^0g(+=)n`h<~tMB$-fqHX;iWS(~?d&<=Jpl6JrA=bwgPcqL76|9zf zvCU=O2{Dev!p#&5QGf`O;jo=V21tF8>IRrf0{Y!U4^waD!s#ou_V4JJRns}bC9>%p zmGB$Z%JY>F#qWOm$L0U@wl=@sY^jxNR6pxjq&|^r6^)@W#hQNZ5JgPg4~0ZYc0Q!%D0kr*2bXY)uH z6m<$#hWU%+>cio~$5~5r9!Xy*u!9|e53BdI$yb5o>GM%5J0--87jbvP5CVbyTv@!FoAcFd{ZB@rp1SxJ*| zEFuyRIo%Lo-DS)>TE>@2hR(!cWkzO}49;vPSeYqeP3%;x(n*{DQlOPEu8m%d}= zFsiAKCd6rcPuWf=of)=M>DFQiLuh!9kaO&@wKBIY>Ya#zP$Wy2ZQ+OaV0{WU_Q(@3 zz)VF9kQT=ZU&u8^$M713nF3CV2S%?%YPo3-BvSk8(Jn$O9$2=CRV7bR{G3>T7^DJq zwSZgln4!rH@hbtIKTasq*BX*p8z%*sJ_BYq6)FarSa9)Dqg_MQXqPn6w)%@tqg{f+ z%^c$S^XUszh1@aP?Ia=gZ3FhHS7O1k5qh`UYLC?r zj~?LJ^BITH_{_BiLyJ^s8zJa{bJ~smMKKe?KW^SGkDXlQ>D4d)6BDAy|x*|Y-_r=YE)Wr0> z4DFJZEM6akYi_{QS{|RC<-|&={>rqs`X}n4(h&-kpC5?xaFV>%vifu@y=LGGT`S-> zs=w6A)S@@fwzXh&H>Do`Ea9qU^=V7z`%HJOt50)8Z{&$Q4lFFL?dE=iD`%JtC+T1t z$3`9)>bY)+^PG&VVt-EHTvnfUhP{=6Ks9pK#}+dsnW^$hyXd%AKR(UFyH6UoMjl#| z^G1%;&eON<<#y&7q6^&zuX3ce&r$z4M`}7{(2YKpZyiZ9)VEjbuaZtre(TVK4d@(> z(VhDV?gz{2(e^(~>d-rO__> zo$AM@iA0v)JZ7_b;u-VYF_>^|DWX62?952gQ#XUtq0OTsi9XP>8uvA=w05=q zNfE)PCkZ~A;38s$SJnXh{M=OwM41BvUx+#CpE34)8}sLe?c zyRmC7a1;bVnFvME8SKcJZVAB9ZFt^VW=@A1^@!H)a3H`RwzXJxB#4b3@lU<$(q}{s zvJv{#?D{wkUr#$8T7B;48$N?}siCrJgb*_!tWwj=qNlh}aUZBGZsyYfwow!Vec2KQ zF8xNdDCGQn0-P|c)~-tGjV7?XAy=pa)&;!~ipw|NfXny(kD2BFfy?*!LAM!f`pD%I zkaNUG?`0PZ(Vg{+yK(sh?;NKpF5jB_tp8&O$j0$pzQ^tx#+a11!{u}G0mj5%OL6%g zdwU3#Od*#KkD2^?2`-l@`F!Fprnr3as7}b%O_kG8gJV7;*?@^e3juD-{QOvDq+Jy!8nHebz^C2HV?q&aR+QW2)(%`Zp8EXAz#FGI6nYTz+rT0mV}NJX~PNQYo}B=Wby3fm3j>c z^09i8%s!nA?fF?nJ0vqGsr_@2?bQpB1%fsO)%jq?QApcQsWi4GpO{l@)pcRPt?Nl> zWn*kTJ;nxcU&R_twCyuvY?$;E2)JmBE&my4pH`46;&G%LsRE)A3Kj%3x*DXDX2j^; zid8IhE?I6u?d=OY&NT9~mgTIKLQuxMO0}FsFDt`5Nh=1$Jk=-D9D;c+#U&jk?qh@e zyre8>h*^qWr@Ij+GT9C=&oRDITboogu683sPKF%!C97%YR4pVe}HX7S5k59YCZ+uxo$1b`0sDfkV`?0lQ zOD8YN(;4IB@A@cOid!L@rypIdY`uOy%i-|PV??ZDx zlk>Dpq-Drb?AEDsJV70DIJun7H|t0-P;}PJAL@Rsy6tpXh;;cLE5(t2nkahCy# zO?+@cE^lRy(qR)Mi&+ouu)leHT-8G6vCX-nH0o%-O2BCoo87yDT~G#w4lKoELINCV zV7NY=zc*=m<+npMdZ*XWzL4oj#ozOHU&suAijRRN?6+m`qUcG$H$i z$CBk8HFfOgI7w}lK^SNu;|diA)Hwm>B&6xf_BH3e7%mZi?7nuM4-{9=-xcm_Hp|;w z9XfuO4tVK(UGN?m6sk1L-QLS%prs?lej1|x$}7#W8j+&Taf;g7=Vya_7~1pE4Vb3M zy%^eKTpV`>z8!kv9Xat0%Ay)UfKAZ|@)<|NA~i6R+P1|K3|(tujXj&8AFT7$XslhY z8?~i;uXh8el3qr zcV#P7o4}Qtl#zf=Zrjl{j=ol<;aZDAZLZGdrfhhh=8TZ0)u2+_$gQ5#+|I8)t<~%F^hY3UCigN?@}j6k zD<_LTgJ=iO(HYD*xt+zyGLF4I13gwdYEmbU>HaY8A0L4g2c(X);>Oi-tGNis)jvj- z(EwrT1kW{X@(k-T(oAbGN;bv7_2eq!=rgF|7&gwp(`T@$ZIgd|b`$Gg-sq-g$~R~= zXcmI%vz4PkZyu;bOz};gQ_$PgKVY&RH&i*+;*9!G>dKXdxGYT4RQMazrRJgJ@FLZC zK+F@K(&nh@oTr;G9$(J9NYRk^$UA3Ua`qrxVPR$V z-IVBwWa|ZbgI|vmy<>+7X~TEghIP}MDV?=fMx@-};MXa~r+rCltr~7%{k}ea?R064 znM@USwH~oo16DF!x|C0=)FoF+f?5CtIO{{-VfTTuy`FxY-+rL|KOyX_DvN=*wU>=3 zCIa3}n@i=oCD>~CR_)9855PKpN`M;iFk<|*+9EfuCEW_XT2g+0H`C2{T&U_y3kFF> zsRdXFxIQZG+Z^Cnc(}^Ur3s+Sx68b=%O=P5w{MA7K#JW_D`sSdX-8fo=YCed5cx-@R{WmEfb;@j@cS`70Jwr1()o4! zvnA@~Rt)>`lOE&fo*zf?L7xsl`r1$%M0GN>cCTm*4zd`!ee?vUlS!q&Y5rg|2sg&h zS_0OkE)t1Jz<0NtWjDT+y00rpAEZ14L^_5}XdHbX4FNifYST}-PTAV@MtX4T>eCa( zHL)Ckcyt)s*(h{ncOwoKP8I zSIM2^cL6~}m z4-UD0g@?$)h#iCKzzF}=q?nOx+=RuacF&S7ocy!ve1zn5I(RO=*polNkK?8}TP-%>M1 z{SXjyQ2vP3AGDNbrO^;8Y9;pRmQ-8y%j45nF?8c@&}&{EpPsa9X%$A(c}16F>n7&E#T*~e#56*Y$)qNsD4#=-lA?q1#K|Kl%ze*5qL z@&9@I(@+27ZMlRvbvftJXN80)GR1OsWYS?{>V`+2VU`P;=jC z=(Z^;=%sp;q5^9*eLVp@|M;~6Id>3*SRTKYj?$ZW^JF?WD9yCk!SA#pKCJ1TqBkyP z#$;b{%%n5{$LY~T#uvp3L@SXQ5wijO97gYiHbuo?nwTmBI= zYg$JV=(w+`sf+i1dh?tI*3w>Wh~XPhAfu$C=cSKt-PX#Ey|%8`k=Vi8W`jJq^bSyj zK#l>V9Grp0adSXnFH=>OF!8;9JqEixK6@KoKP0rXCj^6?3<<$v{!}$YF>Pv_6O>y2 zIAtpjXb2{|$vP>d=WIY^EZNaJ>XovlW9fCHXo?(ur}Sf7CB;6I$%ito6SUnr(sN{< z6OZK^VAy@j-av8HO*hu=?Y#fBW0N`NK;9?Dxy^{L_E@_#Z#M{q*C% zy_x>)t$QnavOoOspa0V@{^=Kgh2RJ!fjG=R{=2__|F0(b*zsg}zu~21_hAS|{?q^S=YNm>Q&^^ZeaPSa!{7Zn z{Fm!W{Pl}p9A!#n3`$Xd_|32W;lKXo5C3iFmw&nb)`}Xv|BsMeMsFj(yDo{qj(u>c z`QvZ?`Gej$unt&0{~G?p%U|n-kUf|w@z?(No0or1$QnaHgQGu}_&xC* zSx5B~fA9A%e@~!-F{D`W-+TRgas~%Ky#C_bRr%%n1~HE>=B;`Dz4U7S@;&hwAHV0k zfBpAfEX(`fS(EYi{}GUz=RcVGMK|IXQ-5*0Fz^5Uy3Zc(+9&?v>tA%E{GyY%Ru}kT zFKkO&ikfzyKZOArsSNB?PzX?A)>MUAjEiq7$&X=n7BSIz`U)(e514c1m+=`?saE=4x{*ojD&f;!JTPKV!%^ z8~avD^3Wc>0EUyQ3Q345d7^cR5=w*X67~J~<~ZOiIFxBySYYB3)*5vQxvEP@h+N*p zErO>@$jK%2Zf^2*3CSfSsNxh(I&}$+xP-><>;SulwCqH<~U68WB%MPp&56{ z$X!A!uJ-sB5^s1@^kNiiP_y>P~{d?eo<8~y!P z^#6+fpIm~B68)3WI8lPw#AE*4qkmsnf_Oy#?q<2wn1ld#^>*hy4*(_Iw#IXlmc?oba59KM2Nh=Gyxn7tGLuBfFyErEEwBuL>r5J@$ z%=vMwuZv?N7sn)(7^XP>6=t!j09Y8uMBQfSlhDoU-!t-lT1ghhF&uK6IOM4~HX)8B zc33iw;SYw>SqP;Jm02tF6Uv#O+nTTVWefBnsFQ%!+mRDt$ z;R2_lQ1CNLlh2`2FxB*XvQJN|ZebQjrvQVdF#-FL^s;_%i>pyY3qalG!`=Q96^3s z9v@SiZ9^mLd(q-qdSa7`9e>uz8p33#l~je+ZtGwDbI zml)MMK{u&yn}Axs#|3dv0!bK}!ft4j+_0XGgBXh2PSPjipqVics)KZx-)b94T=ov@ zT!!(&Sv3hTUYJoZL&f8wKxo>giPzV^c^H3x_*bE1-8!s6l2nc!%o#W02gx|-WH!u0 zHPp#o@?-PXp>-?cU92(ynG8U}xGKCLfoZh!wxt3s1(#4pz@z07O3D;1OV7qk?Ycv9 z2qSGiEuMlQdb5!!YF&DI2>nKxul029s2@F+e#t1)ZNz@z<X2M0n#FtNc z<9paBs_8e}*jx7w?c`Gt@jL9DjaY8G=HoD84Aqs+`DzL#XBJ`xAD#Oew=^wC(?=_$ zf;1U5b~v)=DUQEH^_H%+>Qi+V)u2n4Lq1Akg6v*%3dtHeVBu5}1>Rs|x*o#n_mP@W zk)cDj`e38E-YY7Z2j9o^iBy|M{LU2IsasE^8^%#McBWLk5ZRUK^wH%9a?y@$VcL2+ zOrx#{_7u1zlUKY3N3)SpY(A3J?ix0J{PeEys71!;ma!#Aa?P(&nK>CydeLYetlW3K zn57Rk`Se~+aSzo7FX??>BzCYqeQN8FCsJz!=o@$m@7^Z`uAB_Vy17R!@;IWtq$Ewp z6YJTX1Ea?fm?gb(HhmYVucJD{Lsg{&n%fhactjJ=DvAIIm=WYKfLx*rN)a%IF9OCF z0ppXYv0u}9#}Y8UyXm|F0!H1Gu_zt+jesCez%ZQv(V zmVglx!fr;ukQs{)H$h<>lX&Y#E{-Vy!&kO9f#y*qw_dq zL+!&o))Us5NA$ z)uE_Fj+**Ki@-N0E|XNK#jW6bGG&~==NnBe*Uiw4`kICx2unw^#Y!b2n|fw&_6U~C zK=oVUKz8vJBo3Z7V1A`F*MZP0nhA~5MxVD;BbD1a*EgNAi_fyfZbj8IwQMmr$LBV~ z7K7e>|NO?SdiTrXvx4!rqUss8H3b;ojq&2A=|NruVU**cUQ~_EVo!%13m3LNj^Al7 zD(%c`6NOMx&UMzvo3N1{w-u z=t`s>9#ZJ4FX|?Zp^ZU@?dKoD>Vv3!E32{8@5QhYa{mYmK3%wadMB4IkXz^AbfMJ&cBlZ4Y8HNI9QKv#mV=Fpf*ZpZ^RtvAcg1<4T(@e4Z(VHdqM3*z$n#%maKBB z32N`L{QZAy;_f!64FZ>^`8_YA(&{eyTY{G(+|D=;Rsz%m-?rn>z39TtLQ0# zITq+Y=%O{^qn0KwUEWQ7CrJAgLE4LfXK%UTT#N4yz~4$5Yp2HHTuW1uu5L1B@qRj% z%xFCTFfZT|w$0||7N4G$zfq@dS$vjR0uIlpkMem;$mQ{?qe%^&Ehc|9Qz7Y#sEP^+ z)t{rOke=Q=RgFe;TWXXd@{dmko=xYZ*Uyo>iXhi@aF^QJ>Q)!Sg#?dG_Zv1~W0Sh{ z@@z{3K%*U^G@$*?Vq5G@j5ZCM1fwn2F>mgsttKUb zOdJ1Zd3>6YC1r}&(&l6aO9q@|AO89&|NPps-88w{-Jlrt5Ut~N1eWE#XRBhIxfuty z$H7hSd}$)ML78V=)Ca1qEBUgR??<|a}8gjCbBd&hRz z#smYz#!*unYK;y{Y^EI8Luw>~R%HQu2-nDg5IU~!jL zA1VIMsz?|>8TAnm-Ao^H)i647!5nvuad|VYfHvCK+{M= zlyP27_rk5yM@VUFhsJsqD7_OG$oKTtLE5H>kvfK@x6WB2-pk{&Vdqefs*=^ub!ga~ zUnk;iqu-&}KzY8jpL*d-ub+~s2b`cqP7ILO0l>!(#@3c;eT}uzsmbWlpQTgd`Nyvq z0M(~e^C_6gv_Ae7&R_lfyrsicRER()NIs;Kt{RS6y@(K9TG>);QLABjj7L(=VoPNj zSnR{sHL#)y?7&oO^(LV6ktW%SXz1LOHc?VrxUgjrH`2VuzN3(?OWe3l%j&*mU!Ns> zRFkAD)ZGFi<73y0aDOQP=1hE`_ovuolS2@vU=0bkSMG8AtPEGilR^o%NRp#>XR#V+?jy zf8z-_n0VUii%I+?;?m9}mbTLebE&dSU2eL;_}5?j=PTmk42qF4@fQ=Bx<@tQ zvP|L}QrOdi1cyj*5Dwb8tAXpb>!jVNU^l9c#%@`Eds~&##@ZRut_2_?JKb^rwd%cUP6uNIC0=xKbQG7<_`Z^bJpp~3Yjc`Z zhLJ)a0V0}I7L~|pJdz<#vapqDo<|>Z_gUdB5@Q%%n^6EY3i#A1Ea<0Y@4o%Oid8wO zp`oDSMXR!xhnZ9n)@WCThegD>j1$oy3Z@{Uz2fXHjoTQI9sg+g^9d zb3WWmX6GNjLO8?8IYpMB+?A3{E*C%wv-v~HiVT>{RuugcLtrNe zp~|RenJlkq@gsVKV{%g}JA06|aiUV>#`JnZu&+Z5gbu^p%qgblTW%!(wrplP9@jIf zUKlDh5=;6k901cT!B$#Ke}SEW&QobMewYazor&B_MyjVRiIN_A*H5M`CzoZk-AS}` zS^Z1gB)W#ZpUeT4T!cKhUV^^Yi6UV~`YE|}959Z4MuSSIWWH&l^l|C3Y)(H!7pR*x zrtj52AZ|m)%e5N6vdiB@MyL`kEua&QUv6Aqbi#w_gx|XxSE7aY^Q9Ahsv8&Gr8G%8 zVcbA?p@O+%%2X=`0VuyUOL%!G{ zU+j=OvqSPZ2#dy7=qdzl`wcOmQKWCU!qh=aVa=eR^w*qz(}RIv=jcMlZH+6Z;|IDG z0`D>uGLWor=~@_weTNJRN(&_kU@`}JWPr3Aj(qq@=>Z^JWU>$lQ{i_+d^*guRp;N; zayk`?E+Y!Q5q;;T^5L=m?DqF)WFQ~a+AIxZNs{jD6z#cIAZtx?$kQv^r&ou2VRy`F zD=2NHX~077wnb?0vHY}uA>3`is8L`d{rs@+!8oC25|+Ztgv=E?6j~)??Wawv3ku$Sqo`>k}I4f?j% zJP(6-NeQ;l;nTSbulHqJiA>u(xA-3FjY9YyzUN7=?&)>y*(}K+NK1~|dm%q;V!b}S zm(-+({)w0SFod<*wP%MQkDaaeT}wL#NI`79eW-?I_F$<4(?jF;vC92ShPt3Dy6UUOfi2_wTWvTQYQJz$6!*zajL zy91#OGXnU5Z=SYb^UPxh&l*)9*u_`MXfVaW)2Eq^rfb+b`TL4)42E-CnI4%#&4F#%gFl(!T*?>dHF1^NzR>@;C-qg9RQ%#pParSc36_ioGV=o{( zgXN5XHioE}OdRD|mEP_UMOwu(`5P#fpZZj&;0pIny+30jX9-a{A9Uz~560h!-s%aH zE;@u}<7}Kcx`M|q8@9Ax!kf^D|dUWd~oZeD4RgtOzxJ>z3&8G`JPSyt0paxeQyAiR>f61>>Mi? z+o%=7hMi9s^vfQ}n4ZMbqh&q9Z*hm{fSaX$6M zkN)CEAHa_`0(!AQO}uy=2YOZWqx)}%AKlf0Uc)o6SCN8GG}W($a=`9ppr8f``Rhd-kzx?^9AJ_b;CU+7ey`bguGIz2@a;GVqI~nN@&hky?2d#HW zs&eDL-+u0-pPb5_%=x*KHVnDkNfg%ylgwoj1)=0Xb6nW%6@*ft4Y5MbUhd@g{$8SC zD1R@WY2C@4bQabm`gf^@p%#RRTrHV9Aq6rvk;$YALL^DG*^SNn4(`F)p_ zXxuyO(_hbx+L6QUA6@2hO?ur$Uaw90K~Q{dJdW(-Oqw~7j)hIki89`@`{FHs^`(#H zEq|HBe3`^t$XjM>0!@$y#dVEdcgd{utnrYh3D7trdf2ITLCfz zOn-Xb`9+w1{_$BhemA6wvUH()7M*<xJ5pzjV@d^=y^{C=8t!(6N7PtiGA#QJjJAiHz!HAFLCq2+&;R^PJt zwCYCWDvXcAv|I8RssW#oCt6_}$Qf>ZRR`Pdg>NLv5 zGTPMZB_J&r()1)N`TI9@0{XVL94JU@2D4_YX4cFX*qNM0?^x-Ql@*+FGvj(7eIFjL zrpKpJE!0wdd{l7#{^PIHkFVf3nSNZp>{~IpS^=0?iA)yQ_|_rU`m%tT(FM0Bf^>_J zxC~8<&Fv1BL~X5Uu26rsD2Eltf4ojAS5aXoctT+j25K&0giU6pR zr*E?}`@k*mHHDZJHakXD6NQ*O?fg!#NplOt(X7Mqo*$RKs%4AUg_&@*O7}M^mAZ_7*_Z0a(p zVUAUfN{t9PZ`i1NCW)OUN8-qDY z&1f#kSz?TiAL<~GJX?AIFw+SOS6P3Ce@elLt=@5A_(N#PE>##joSnjT?e44&*O; zHIW{xAC)xtlFQktSdBFKp^bGtnCO;dqD$(& zHm2nVuw^QVUHRv7B9gl5Wl#?_08j>H2_7hOTY>SCHB%>0`sH>0J+o$7ON{u}?#7w{ z%+IoBq{Q$E`Oc$D3}0Ddd;)6*@NiG+(M({?3`4fW*tr4vah4lk_0wa5t&^Dm2Zehl z>1>eNs(P;9{q~RVcYv{PmYiO8!s>Ep(K(Sqa8n65xwlmQu~HMMl&vA(!}}KlBtyYd zaj=)Ex|4*^?l(SeHL%wxu-7pC^)n9E$C=mna3_7iUY`wn34v%dDNXRyozzt|5Pt@+ zS3l!UA{&S!{^H8*SF>Cb=u%iH=t-88E{XEdoHPH)8wK3KK;I zvCDMnU^Id`TPLJ5Hx+C;`i*E4T2MW~7lj>kZjwpPf2Y$=@KR|)xl^x14@1K%RKd%b z&yeOrPuhM3T3&*^?!YgS=(^JB&?Hth2G;fo>&(&}&>$I<^cOJu)H-|UE66$rLAEB+ zf9jQJ4k@f$(NTvV_Qs*+1I!8TqeA^LGCO-NW`9L2S#|{J?P^6i$_Qly*fCS$0Q(q>jzOd z`9YAkNM6F|)uLgbp*k(z*H|LACir!c_F$<9G0nGXV_VQN&WljqltZG$l-~l#boc7n(w6$M>Y4+k}>oXdfPRb5@qG9}04bz#HuS zLqRSO160$Tq{!Z$lHQQzt3C|`nd}TqCwcEE!$p}QFf$zr?oRIBY13=Hjg6I-d#NZ* z&-!=`umY=K>L??dC&j=J&v=x;Dk;n9#0yzce?g{k4Pt616i`$0Y-~A6!gA_D?a@tw z?wn5|x=wCDj}PQzG|3MQiVUg6rtf&%*XY}5k1a`2bt2pC(HU{#81CDI0#e_I#TkY4 z;KqnS>ukD_fGkDDDzxTqmj(8tGzm-l2l^sGFhg<|$rnrfKj?&)6^v9v0qxfS*i6H@ z6S`J#LBY53g<>zSKt>UJG1l9+Vi9RX8|de$R)ZV}a{voh(OAQEhgAlp!!n^2R$`sP zCDHv?68)7#r;_M8VFDL}BKJz72Z=djM<&s7GY)Qi{lyRVUL1#SCW-EmMEjJ@!mcnu zK8eo9*-=e#N*P7*eWbGvkVY*UGuv|mkjapdB?k$*=z67<4(0d$9@*6!p#^v3z>1Tp zFwLu+NSV61O(t&~St6J=Ky(O529&mVf5<)hsNo+(rrPIPX5oR2BUkJ=;oUnAsx?N& z`sOvICBtMn`pD1SrqMnX>`u99UKy8TKY4VU`IYE+6X=HjZvCUxa0?SrDK= zn3&~JhIAnV&p?nYRM)-DZ!+~o*#0tBH;8RxJT>`6RM*_E8b);9YB{aLbC3> za7X>9it$p)yJY$ACGPY{=DiS&0%UMlGGC=KrtR)ci~lK^AH)#dDr0gr4DO=AwQmOz?=iSWtBk2j z+q92}ry?@hcJ%^1_Ta{N$*6o<@6ii>86vX5m{b9NxuQ_71_A_yn$42-n^JCMOcYj9 z7|5u;WJn>Kbg*J0Ad#xrh=5T+Klp`Ohop{ycnaa$5)Y&u&a&x|Ta)#QOdvQoEd-dl zeP+-)0d=`ewok) zkXijcDIELrOtDOd6e~pmNNEFDq*w_=_|sCX9lTV=fNU}2eWMK%v%74Y+2N9>u(Di2 zud|Ri)d86Tv%`5aAk&7nE!P|igs9zKGz^o|m(|n^2ptei+oo{8tUf!QG$B7#iF;&x z8L$wdXsU4v0Ja#`-dAB7$R7Jvg((QgH*N{mJ>`S%j#nSFSDa@AmdrhM)TCMcz$aePc}Mv8JRz;TU{O> zWoVm51iSo=FgCnpozPwhhEIAVZ;mdZ^>|fdhvf6X7l!g zq5GM*bUN%Gb&N@WfKBfW8BrSx53f+`IHZ%hgWHXt4pXN}U7d6z9`FimzYQl6&R;r< zKc<6F4|D?113W>;LM$=&0vvBZRV~%}3tYDGkmaOhi*Y5{=a`;zfN-&e0y6XxEBO6a zpxp$+gu0x||9^Ymwrk66B>6sH;Ya&Hjbf2?d$e_F33mG;`E;Y7Hr&vG#=t=8k>vsU z@26_7jO1FZMHYjss#8bWK)Zdgz1LoKA(NSrkr8ftU!qB?4P+R+?hZx`Z{)oqs0Qihsq(0 zZ~y-PUaTEo-qHS;_A!C>f>Q~H$?>ty&^}>XRdC`(uvRfE$H)w)n=X*mQhHAg4KM3O zkcYggn|3;sAP3}1$@y-O0plT14`TGFH?S<77qO&Ddli zla2KXF#SHhuxuV91FgO!5bm^TtCKOd|Eq&pTAdut=GmyhA(VV(37+0yY=Ve7dUehv z3Ri8vgmSxWPVK!RgMmlN5vD=z_10flD>>Ox7OFuDj9^GuowCu&j~^T(#4&VXgtX=w zRD(~PyPA^{ow1VG>I<1Mr%BT^>j zC1~BredZ->eMtS(G~K{Dq#6Lk%EOV8DB+MgLXujw%5nA8Ni5xo0;8c1R!%~tzlyRM zd-z!Tte%;~&&5XV_5;`=B(iW_VCa3QD0`h5?EL&`Gg#0D8F%0s+F%saeqH@+{jc^V zDzJ(vtT_6DmP(5ebQl~>d(ghvEYmaBLJ&B`kUb6rM$V(;yiS=}<^iT|RNCXuCal1+=88dKGAX2(%?}3*+N0 z_$0Fy)}=*GEcgTZ2B1Te$mkLIQRPCSHK!=ySc7AkUxUomuhRM=zlPU8X4(cLtne0H zz2G<2;LlR>??a8SMpa2RMp(P&Z~Q8fe;?BbD_DcBP&a#t$aJR)6rzxJ&?NeV@Ql>- z0_~yN(Ylt#STGr+H1wn8?vj_!_DZxg2j`t-P1;!c6P`7#j~N)+|P$o_mT}&gr&Y#Tn(ORZSOBvD&+%8dlLCs%aDWF#}TW8W-XV8Pftl-hjq3* zeHQD7>GR-%U3gSWH_@nI&mm>i<2icm+k~|wP&Fk|1GdU|_8W|e`6zxdj_dC$-A`v4 zL76bMy$3psBdb!#N#kJjT=$2Lu7AukR)=x=5OrZp!&;7GJQ(5`a0=<{NcGb%)m!k= z!r(K-S96tqOKK~YI;5PYv9_@lJ3CEFN=T|Eg8kKof+WXSRg2+iW^Ha z)3ljcbK-jD=l)_l(nkbL0!&kT`Q?lYO18R27L}HFWgAu6hm=(OJoTwTRvcSR2k=fjSoHPX*<2YToq~p61%^5HBG37_y z?OUKF8qo1yk$2)#VYr1Ac_&9@f@`)+Wz7~bmR|u1Ma`B`nk@sGEut5?Qf7H;wtN&4 z4SnR>F4Am~UVcA>O`)x3i)jA0&GR?Dw?u>cXqqh+5)C%?jge-HgI=g;uofm7WNl|9 zzN&Gd5~OXSz6gbsfQwDFD3LAhSA6)%8oU7-F%cp6fQ)~k#dQ6AS6~k_WgC=OwWrObCvbT>?1U{c?*N`?kwIFhq(zo~_B5Jwc6W6HoXd@y zdT4oGPk`Uo>T={Nd0kPL0}qqtX&`r1-Vs(qB7+%%tP>?j{TnE4uX;)E{35Wo!R6QK z6W|T7u@K!JuMoX0&xheUWs9j;O?4VTvmViJX~R8m&~VpA3BuwCR($5{1mcUZPFPnZ!d9Hp$Bwj~s*(XorUtH5b&R3oBRB5C%%(0)$Fg9#46rR|L9I}$gG6i(89*vJ zQO^K!?aLC>sB~S%?BIhqr|a?qTT!+xSDQpWDhi6)$_UR^ZV zKJMsl3P#|Svy{<{19eZcPyiaW14oX=B4A#ig*-sActmL-Jg0y-$i~(A659+~_tR0= zWc1U=Fkh;E`t0Jl*0`5raX8d}y7s$}uNP;kD~hhumv!-czI?hB=atPKp8khv#W@y( zLtd?ylA*>iq78sxjs``Jr&#(lrCV_r^9!ccQXuYeDy{70Yceo)Vc<+G9=YXl2o_Ie zCOJQSdR7qVw+**$!jSG51a5iyv@0eS!fNX#1eTG$jX~fvRjoux9O!Hk!Z_;WA$W=x zT8zj30lB>L&8rtsF;em2XThdUfSzwSTNy3=2I$MjZaqsO zbNdZc`vmAc#TStSIBmmYtafO;xOfbSs3|JJk!@5qjqqb@XyQqRmTX~!V{5$!RVtr9 zD{ZKc&OOGURQb4Ov!R8w$CwzqguF!csvt=?Y=iQTqYrq>um=}{&V)4a5ADxVV`AV> z^g$SHDnK>d06Xj4=mHQxO@s$*jcP3}h8C^`Mr?w#z$S=lSVZA8Z z#lem9f2d~)euhJFYX$aoIpfjEQmJDZyH^q>nJ@nogs(=_CVly(Mn)H4+bx%0h%sHn zMyjKEMo9NQd^>$U-|4mAce>QGGu(qnI?L0iL7FDqy5W8w~WP;Vyn|}Q`zcT zU`zGfCo+@6*y9RM$rLvK2`Ohe&EA(RI~ucSm=sU`nF<65v5R$*ofMOJHU6 zDORKp28Ed2haWoHiehCAo52@9Cda09)HEf0pYMssmqQRTUvHGwB)7c=PRDeZvmZ(%T4R5%bM2;Ss;t7hK z1r}{B2vW047sPnTf}joGB=Qe@jf^m#7xHg_RBW6wj#j$2{m<0Rtgkb=Zv~MQTEWd7N*(L0TqVbfp! z5ahiko~|7FNv_4nm0L z%P;MxQu9%m+YPYz9TrciA<`8lbmq%vGZPTFuAqQq3#?Pmp0Y?keYVVRF)NBFg3XYy zjJKet;jF-B$U%q_5DObN&o?bkKYO~!Z}^RS9Fu^L`e2q{!XuoI`j_epj5NdoIiFE<@c&2z%o0JQ7knQ^B6N32Zb`OdmE9 z!X8-{8wp{fz9zm3k}DtPAari4Zk?L;rOo98D;f267Y@-A>}2Pv&mp?O3utS_Xgf$k zCm9JRx^$H+fN63Wl)K$y`d;bsy<9%sXaUMboI|<=^c=z#$k~l)Xv?(I4cls6ZqrED zG=$7Uq4+xc_vNXU=2lmrdd-(l6YVZU8JRDit*EcXxu6yLuhe~;FaINUSDTL`HlC|4 zmFK&9ajR%mcM!#QzI+;ryc9=vqO+?DF<$1UPxHgC<0-W~{bGLjS(7QL9teACUCdl{ zD(#zJVXDc}Ha(YODAPx75kvVPB+~M(GTOj73(DIxH5p#AZL<)3s$>eHf#e_m{I3`1 z%*#7keyMwWz3Xb?$&&C|%(owmm>#cQ5~U2Z3&5lL3fMA4tQy)@?*SI8(PgdWcxxW> zc$4G&l?o{=z^fpmo<>L#GeAaUGRhyVnR?gf&xZ646)Bdp17I7kVzC9(YfBcQcWW!& z0;LD4w&@fnO&~MjwA(%$QhfzobjsPztLfoVBOv4|`j+!EDn z55GA8^bQVOH94i;0$Y@XC%sBJK#@~L}B<9zcO zDI_*ySfKAtqFjz<*sfM(z4~m~K;v8x^DMBiL?akNL->qCzLBv$mh|fx-&NADd7-{o zvLm3JAJvR;WB$wD$_Gbd?aPwLQI~`+NgGzvbym3|;xQLbFU#FBm*mYdp-Y1wbU90J zV@p`E?`>@^Llry1%HmO5ZETUTey6s^0Flp~m&emW`sZw1cWe(#U3zcmU1HNA2Z zgJ1mg55HgjUh^+zzS-s1j1dj_xq6WwG-0yz`k~t&@1fzj^Y(LFC;B?cM+S>(4*^)4zZI^?z=B_><*ROTd2phX+-IyOGZ> zk3^E-P9d3JfAjfY-~HMD;m@93VK2o??~P&QWB7`vAM0d^`WFy>+c%#-{W{T58=CNQ z_`1mF1h5^{J!bWNUp@caEhXxw@TutcJ^!4!eP6F$p}`wpKb22j7f5q^W3Fu;KNo+R zpS-3>!|iic8_U7>J$Wpz-?OyCUjJdn=U*86;Ie~mm)u6aas6O!zwhLO;iE}B(c3+C zxX0V2mK~C2oR3H{@Yh+vCL-+b{^zInpMU?i|N1`&u_f_U%0;_!0lAN_lhgy#tJGU8 zzRv&i>v}g0niSJ588uB8))DvdRYKu_insK6#7|`^Q%~ zsfJjv~!(C z3a0kH!y|QChzRYJQ=6igFI@8Zo$ZiQ-w?d{OcOwQx(yr5hd_9uyyevA=G28T|Kd5d zbntpVG?y3iMIopUbK|X;Z)WlzAE_Y-`uS!A-g1?nn9>0RhaUM7Ix2x)N6`mF5fmWCL*vhlA8R%1f z5mI&_y%iz9h$5ut4y5+uC_?0O{S;#f!N}E3PzpvLQZR%PGh|#>e#&1K`mN`O zBtpLwbkjJzrTllHUoL!XOG1A$BIQ32gE}|m7vj{=gjmv6%5TarsGobv-}VUojtl)B zgNl9Q2TJ*wT-LtjvTwQUFDsXoQJSG8b6J5l`&fYa<>s}@R*V6P_13LB$1%o;yVU>Ejva?FYnpbL;C8_s~O*CiPMpExaez20p zcuT$CQtyv1^*)==^7Bi*lg9$>JtdLU&wxW_EMXb|W7a^aKTFOdb}Z;*ogohg5jHT; zhMTK_+YfR^SyP7;ImiT+G*vvqNNuHmtvKd9srbL4wZ=pz|VUofKKI;CB)E#@;HZx9iRzK@P3K{R(jy*|LJyV6%Rb?d_fYfNgE3<@F z@Wm^L)=7dIvPPgy$x0&+`z~sY`4K3&eOW#5)6dYHG={y2^W{~N8-P}UhJ38*uZ~+Q zs5P*~R@G2hQYPc_qe@%z@~7qe<*;%XKTz?$csT*JLbFoZs!bnQp|*X~hkXQa46BfI zx&lsm`4<<}ia|p(E?!hmcyAhl@ZRdAH(gIBK zAbrE~8Z>lHd&K``?a)3n(7#>DUB?e!SRUBW;k8C<$?slLW~mBfORr?w&I)LN%0J`j zZi~nwf4(p?O1sgj`}91WsXox0S{w^X1dB^El5#|A9mmu8!e0 z$N_Sk(2^JeE4PW$q*1v|Z}PK=ApmzkP3!9IM4fvvxh|f&h`FQ}1Fa~`S47%6&_J%z z^L0Ax^J<{&sUV2FO0DBt5g@d>hNG-%>l`5Fr_ZKSCFwY};yN9#%SYzRFFw)p( zW5PF9{q$)=qFqn)oHH~{P#G}9zN|zhplH)%1+ioqRc=kR^vw$?G6|!#WoYpM>21f1 zMaqypx;L`N8`gP}P=s+b8FLiTp#O2M3C(3AZc@Ch2 z<7da#5{`fS?YBSv`G>!M|I_;)Z&dLz4Z$7)Ct=Wh2+6moIY-tu6_U*@a2VJI8guhc zG2VUmNk|?fnH-Qz_JVx&0rh|i$wGT{W2lX>4nXU0ml=}fJIdrcdQ3m{t5ahgl6xt? z`Yy)aei~Z`;rI`Z;)?fTdvm8@niY}<0lkJU%7=R%l0|;L@1x)MG_($4pfGgoET0@5 z9W};nC+Or25qYP`=NbuDUS2;hBwJ9v@7jD>A=!5Lpd~{xG-X^va>X(EU?m|h_mN?n zi*dG7yt%H1#66G+u$?NqbGZaOUkstQsBKOZ_3=s?UW(rCHta_hUA=z=Y;Ml4-U>rrozYd3p~+96V7Fr4gl<+No9UJ65WdiCg Kq!JyL=f;li>> zk7>yl;OQ|Abt337(LG=r*xmq-lqOA9>75aUSe{*+p^*TmLqk2l2bCNv*Rx?r!D(GZ z!zL!9BpHQM6%nh_&Jgs&F7^>*tHsJ~%m*jlf~=$*W1Hl*EM=|vxZY&Pb#L+4<+rVJ z;yT;;hac6bQEuFf>&m(q!j)7e|a%$>KY?jT%9xyoBZ1;G;r2LWr zl_{qjO=z2Qz-)Mc5B7$5W)oRdiBh6mi@XWal(y0jlV-#jfXGNWlpYq}Uk4r9et)qy zp|lP>&J0=yFlPYy=xEw1PtUev0TC=eM22p0=0 zmOQLFJ!gWro9Ou0WIGuZGR+{0y4@zGkT64~)MNQUrQ7X%F>HZvqMVV0+bs&Z6=$Tn zz-JF?t=vqwmJ>ASupX6`Yt|G@a{aTE5g28!(~S7qIVjEW8-TqDeR`U4Vum5XqqmA` z$sYk}syc$Qh(-zPXblGJMOiC8O_^z4j9?6Uno11IndVK=FWCdYN{`f{M`~q9+=&6L zGCd5S_Rx?;>Q^~IIIkUggpj9vwHD{a$|^kL{7MzNtiyH@?>JiMRu2ENq0dmN$1r-b4O)aIt^*zSk`$Z<9zvS7FLu8 z19}X?ps7S{=F4YSv&yHOHAuGwrOsarjqjdAs8;}*AGE8p^;wZM`yytLDvqbfn%VBn zzbvxmN8~hj4q5YTr@5ayS@V3SxueONo3sMUHYg;4^t1v8q%)rJV@e-|rjm(rx`rDu zdBxZ)GhCCpWlOC5wveaS3C?(27RDxK6X$R&Kk$BnPvWw)0T|5xh=+~$VbPbRwOQ41T7Ph z6O6@W#H^T_a4ftUkmwuB#pM4Y1(1Z-gpPrH zMmYzq$q&ifIJRZ)L8n^5F)~(hmS{l@Hiz;}rOVXq!#uETJH(>hUR9+vGWfB_3xAx{TMATz+C&=Bds$jJagQn(Vom60i7 zNdu}*h+?2U4cDetm{GPyZq&a3k|E{*00UGKT+i4#P|4CfJA?;C0#v6Vj%rke#Uv=h z?#8mtKywVHG_T|nO{C}$;S97?R7fHqe(mY}(s5Qsx_HI#VFkwZwv5?Wyj zS`t$b7i^pWj#C$mWi=45rTXTQ99As823L>Hdmpbe58Azc0nzO-h>-*cd#X8UF>S8> zVXxU&8G=e@I4DhlaNnz}(;gzBmTmDFaMuA>$da&$EsS1H18p8BaVdwal-$BBm38!* zaDr~*>f;T{23vS~+`=wrJofeKpW*V$@*$4^E3HMRExrLihzB6yemZT*%6f7+7M(d; zm=O^u?;0!^&;}a$Bnh7Y zOZ^fd>c{_LF$hEI3PI=uP|XN&#?7%nVIPcPdZ=yNKA_cIhgp$~#IEM% z*xFy}%thE)QV*aI@#nTzJZsRqB8?4HEsv;B~egGhLz+j4Ub zjd9ctI4kDi^p8{zgwmS7n+8-Ze&ShWHAq+RB^k z6UP~yY82ExAYhBB8E;z-RuTZUZPYXmifT zjpyhV_#b2;B(_wRPs{qJ4;35`xD*vYh(qLPpsP)*1f#Z&1@O+Y6fm8U#e-A`JmLCX zr-&9?|9%0H_uypO#z9EdiD;i2quH$$9M$0sIH|u~j>}Mr13*WA5Tq3e=n+s8DHS-s zfU-x=YpYv7Gy^P@4mw&$HlsRXsd#XiTx&rmH0f5{e4KiNHkG)YhiLjep1xl~EEPsoZS@`W0pJxc>(rf=QE5p? zRnR9G`e2H14E}W8xcJ2)NH(>X{i$3)H2ey^l@-{S80!G>TG=N<0BV*7P?mqEH7SCR z)}#lq_%}JIj;#etMrO75irZGt#TuXDdGXT-@vb^8wKOWr>MJSxU<~rNgbclNVc_vX zag}?QMzA4;3a$vAWMc11u5(8^hZsp6G(10jHuPLe36zaJW`f2^^O(G))NIkG(vwat z>Z=nUHgDTkow3%Kgl>KZ)B&IsnR4bpI$sA_T10}+IO0AyZuBPccCk$T~Cdx z@w&#LaKn1ky5?Y7AFW`lL!`)`RX9Fg^&=_l!@<)!WyFlTqsMxhV3l>#*2?q}xVjll z{-Kfp)<;@@L>3WD)KywI-m3E{i^2iE(Q)jnVM~ps4<55>bS7fn>X7xOddJ=!ZQo%7 zU|1JkG?!E{c$G`l>gDL5QH;~#=v2mjoGp82cl`Li>)QAkcUMYtSs!N!6a|Xil)6NjAdLcTODRPulsac5GFbZd)K*ckgOA&9=_d#8R5FJ?VamsCL6Zapy?7Iiv*Jx7DK8DJ??~eu^cwV zore%{Nfcg92pB2ns^T2>3z?+NbiT21`vG_~L!|>}E`KMS-ZGPB+h|?Ka~d_vYr;TS z+xMcQnmX6Ga3yb(clTb&mL_c&dRBt&n zN-o}#rF?*Ob^0NWB-&~WDAie9&P^&(S4>3$YA9-hhf$Hz%b!kZkkPLw0g2@wHL9rw zXXu>f^o&0F^yve&gwX&QE9H}5&(wO=(I=_~pYFp4pZW6X=E;T9nR)TBgA^rZb&5$V z#Ex0)%1V&jxK{AoCzW7^-P@`XtYuQsD_iGbtzV4@Z)9j~IZqt0IfxQZXv7_jaj9$xdW`uZDKH<=LJ0@o)6 z9FdHt!2ui}!m{F^o+vbw^*4@`t zLVRHNHN6zB!b4|W;YNPZ>jIh-u2J@yo!5z~RSdiDOEVhd`<(c$I1qk#|s)Z_O zVVnpTE0v%hlvMf+P^#|ZvE6NZ*eWt&f)l|eHJ1{GvMdqKgV{QY{2_;<*qlt;QKxa4 zHmQjH8B|25d7+e4Lj6$7sVUBBt|4v3<_}fy%-+#|e){xy-LBGB)!-OSMa0>A0i1Nc z{L)s<73$e}@zB8&c`zd^2JB;nCR7)L^wupX2G_p4A?2a?@=J|vskB)Zk5`n3K5qp- zVYztr(25g5GsLJ%h@i2H|8Z!h0y|dQdIR~}576XJXho>g4VXPT*H$rLj~n1Ba3iRO zb~x0PqArZ!YS@nHgdU^X)c_EPr;*xaMG1Q+;FXDNL>+~#Gh%dXOs-ldmWIH34r)zS z>T_48l7>f1*B3A}R^|fOq|rxfrSs`}Wk|p=v#P?wEwBmOSmMW z9_qqOW|wHl0(xLCSoM!Wo}19>Mwcn1nhpn(Vre4^q=0aO_sspo3kqeH8iEwNb#!u; z@t#kG$RbrpmN(Y+VqsG$q0e_v)weR-)3wn|1Z|uSb(59eR9B~}pRrhIaJbX8A)z0D zQ#?@|LnF9xml7%C?r5eAN|2R;Y@9(2A0Xu=EBJBr-O<8Ha(71W4upjSKODl-COEcp zhGZ+wyv*}lZw+%UE{p-k8rajy@#wTckW<}25(o*BaSVr8CpIW`lfD^u#~zd_H>!@v zX7eafxly=WGIFCS?40HyYwuBcasb~F_{lNaRvGW6)HGQ0Fcm4?)PgJ%DXqFY8oEQy z(mdW>l4T7unC|g?XR)le`^LjZ%!Btg@li9yS)OtgRd(n0nSTWzH`$sF!&lNXu(?d- z4@Y3xWY`XuAzSlfCs!gE<}#H(96@+{DO`kXJud~Ct{iG+d_VzU%kq@#&J{fs!-i7j zx$<$#rWU97zLZ6l5kFUslKrzR(^v6xN-X4<;$+#rXHvz>co~~y>*4aEuj%zq!!}APM>@Jw0`zf%J;hvpYvXfR~ny)2qg!Y|a6Pj@f0-6~Ximy4&}woCYhpyvhrLR%+-vMe2% z*DT~Q@ z7EX@?UWwB`Up~F|OJ>xuUv}1}o-h9dyJ}BdRpbpFoops+bVhf6j!u}YHrLKmNzUj8 zLWoo#tp!c0c&x&7qP?=ATFlTuZ5USc(N;(w;|5qG9DRIB8ixsUd~JY418Sll?%ji3 z$$DPEEWz;PM zpuCy_D=&iOg7hBh4j|hET@vCv3UeVa$#wuw}zQ@a3Us;qkMHWAIJ_Jy+C1z3mi9I*>ohhv++ ziMDb?T7DBI)DT36a`Tu_ZU$i&Ntr?!6-n;hOQ6-KmnBioXPKA$^|fXS>Uw%FE@n>NhM5(^Df_E1ef z3oqP2tVS5;jG1vwSDS=21sa4fwk)O1t12HJ%JuM4!mF$volnE;ea`Su)&gfSR|~RZ zPh_@!LO-a)E7S}!9(LyD#lyD16p(;pI=M!z>F!apO-(Jg5#2Q5iyys{S5ues8$w?}oNrm4u=V4bl>) z7*q;ye*4mB7weAkBOY0r*H@|hY>^kchS_~t+2a`lSL-g!P6Jo;tP;|)ZY33Y`po4! z*V7RSvOPL5E|f^qCzMc3aLZbIRzXmH1zmn#Y;#U!yvWFs&7Ga{K^?7Q1oaGkgyT#B z=!iIYdXro}SZeY0d>F?rcUtMgO>b^5n3#0jML?IpS`1Jl`b^P?-xVy zz!l*959)3~AC5(gMa=P!L3&jO(<~c+4dsGf8b5KkX*dZXUke5nIs65AZLxC@7wAK#ivw4jl zIap0u#M@B1Tz1y(pO-(IK@2{0e{kGre){zBRWcdd9u|D)&=0oI1y0}j^4aKfrPw(y zo>GBp2IfG6U%TKMJS!%9my0Ji?oMu37y_u?xTU5WO3GTnMe(p%ls0c@SGS~AyC4U1 zSu59~;_cNH2+Kh%NWjd5h~0%dw`v#SVX_K0EqYL@(+)5+z8X*iDnkN|th!SOx4_nc z1qR(-Vc*5#XVopRsf-AfIDHF(TD!PP1_;S+P#!_2cv*2g#0}669gzFS*`hqQ1gQa> zb)CTR{OO8!;E7G_bmp8z1%Qb0t;uLThz&G6ZlHFkY98Q4lrkaR^mwwSv@~(Dssy!8 zsaBeF$ZN=qNnuqE>j>kFM*Ql9&CX@%{IJ0;8v?{}{XCT*j7GmIm{$+X$P=aKvivZ1 z&_YPeWreIA&31P=s93O4iSbIYLz6BJIO#}$3-|NE1ZLLNUb~boOt5TX+sY_*gJp*L zq}~BTmKn|D02CII2@;(u#Lq_4deHL@)=Q_I*EK~5DfFp{lTd)F9&;&8qIv_0obkino4jeLMfjY9k zODe%uH^2*e_1(bb#FJ9^eW}S2bP{s>zOrHxJz)hgx#n@j*o4C;3`SaYIc)XK92=9^ z7JwqkO&DLIG0E3Hfj>{w_x_d|T{21JV6fc$2BsD)ahIc_osa3rrHb|Vljk4Ym+ml86UR~)1|I(rf z3O=LnXb4tkT~a{L7D<{P+IB8<#%L^%+k~J>>%8{dvFnUxja(}hY}{S3>>1*?_Iu(9 z@|`VY1ac|5yLXnI%c*1ZXbjkc(Ub9N=xKQj9jR=WB+`lM86(4^&Y64H%ZgW{{pyLH zZG&_9%4#sdcUZOQ$34!Vd417Z@?gKLhK@cQV`ic!r_R>9O1y$$pwD?kD2=5$C!SCg z4nLz=OeN)n9XdLna+2&>(*YKlg$cC}Kg6I+?zq%0$AjO#``VmM^i2R}G{N?bo3;AS zG-1w?5re+@?29jc`}NaP?yK+r@zY=a`Tn2pUw(Z5-F&LeM_$(5eErQ|fA_1u{OZ@> zEJ=O8Is#nX> z*9Bs3Z_IfS>)YqzPxF)4BvIbx)M3g z=03+2smO%_SVy^GCa<1$?K?Y1-JXk?Xi3~qp+lE)g3N-s7_=EM7gMRw(RQ@Jh|a~V zc+rVM69QT*UUcnT44r6Si5G3zLB~4NrVr%YgP0ziw=O$-uIViO;vk1{XgQjHpd znr>vew^daV^%|;+|MLbYm1MXAHQmxfg_nf6*qbPlcac-WOL-Ea=;;;29>Uj3fauYT z>qwX_(Wg}Ol$dSss#?Jdt2_agwZq1KMd{9xv)L4cnK%i}!vtY0J78Cr3=|KEKdbJR zh0OA^WD7Z+a~bf1xFvxI-#V3sl#ZvX91olqGTmzh_3i>#B%dN_g9R6)oiR#NQzIoLIZyNj3=1vCafok;3 zl~WlaNPD<;*+N>g?RAb-=vjTVv<7j#IlrGC}6m7rzKW~PDrVXHDMC7 zwL96o4CYpzA}8B5;VHi|F+eg@w>v%2FL#R9lNB-dvC35v6EB#f0?|+XJ1WKTZ$HpU{J}@sk&}icu5ci_2drV3+drW@YQkV`V&H95ls}W*; z&QB@}OgB}}o5?Dp4Yh7|SRvF8BjIXk7uLvXz7L$(qBn-6F8OACJ znXS7p8l;jHN>6udjLjJ^pN}=BYNj@U>cKc+C1JVCYbYH@>%z_MqIsK2A{cxIc~FjF zY|S>IJ&6Mq0V^#Ln}ADHhX$XKn{#!_19z*8?K@~Dy;7=83<(881K$4g*on@b4b%FH z0Eepcb$lFBNilF}?V)MASK3XtcKryJyds!OCem)$B&`VCwF842uZX42bQosRSx;oZ z31ueC8m@+JD`chaUJ$D;rRGZTxNhONp5e#566MQ?)HN@tutaIcmE=o2hQst537Z{u zb~(M4v^=X0y)htID3(>VcA+0=fRSO}s+i~%D+<$mJz zlZ=kK28;knQ!3?T-dXnQYk2J{R-|2{oS+lS`M&((oB4lwnG>RyHdnATU`Cl~rL0$% zI?PL%4TD!22Avl(S;%M&qFP{>j}FO5Bd zy4#Ru)3=hDbwaf5+bE>$B+hpjVs&!<`+3B~)iXn^rf7&&MNak@V!f?1V8 zlpdAw;|St&utB{4sS!OSw}fdc)t+Q*0Qrs>h;(W-Pfv;AePuIX-Fo1 z6c%HuC6DWedX&{e^@6>6HzO(GC)%lfGdg0Rp&lh5fFQxQ(l|ZjDg8-mwN1bUrr-e3? z20#E~aXlMZ=nEe!h*Xv1LAi5EtE<-ssaKLPusRNnqDP%8&i!Es&;1NQCt>2lo>z*@ zD4C$sjkuiDGam<^gLq3WZ~bZpc~uY7tk>q4fr^X1cA$XzW*tDio5 z;$BD^MQD(Y9&CaLs>%apjIUr6D@>Pj2wWTED~_$TTF=pbz{PFCMq`2?KiD&n+sT=~ z*%(uBnMvo0I-_F>1a&5^za>**W|&?~ZZ@O6c{$mwx-K~V!46Bbv>t6ykG4KjjJ;uW z2b-0RR#kF>TVT^eNvCY5-WRgqT%x}N?+Yax%c`ArBC4@NkbZte)2wZOYX~L}Au?BY z*x*IbioHMw0>$(c$7l>P98IS>5~G>B*b3Tg(%6My7e@2j z_^G2dXbMYt^Pb`xxO)j?Sh5}X27P!B@q-6(piyf9Y>$Jj1qPa!gK8)cM^C|M03b$P zV$Gg=ouxj5+G)X-M_V+(5J5Gg9_C<( zDHVnrbesxqqw%v0_5e^|@Q?NAbx*spg-m9hIf<6DUcp_7tLXXE*fwC#>42Rfm}7zyAH=XHCd zCkKXR#>Wh@o%S$ubF8mU6OxZ0%#?B%hGY+7YYQ=oom{Bc5qD2@*8wvq#U%V2@U9dw z_v)61t}?cnfk@_utASA`l0@^k?fe$1I>GN=5!+7(CO#@pEEG|R;uBV5IP#Djh^6Kg z(F10oL9|+KCAtQFYW6YS=Md*J(qJ~rg26k6=25rW_#X21-r6mOtKzX!8v{LH=Iub` z1%a;~4-h!Ipmys4@x3xOHY30QysGTz1ZI4hLH%RxLtZ1Io$-ojw?~vz3RbncxVB13 z6ZR}}T*9`e=W=J!qqUZVfh>penrF>+8w6GJsipIyyj!?KtEr{rn*G7SnB66c0k2Tc zcrQYAkg!Ws+3esqM~|Ncu75t|Omyk+Kvdd9BAeSIWnnak+k7%$bX{uM8F1TrPdQlUc4@;l9(9Zv0nd*Z#*t2?!-V=D?XmCxZR--x<>H(v&9(7@0J*g} zYb@;*)!OOi71i3@qd?ahX2~^kv-*S0%VMUn+M;PJ;9e_y{C*m15-QB}N<<2N@t12U zDCy4N<%F1NtcB@xo5l(+@jBC3q@|$b;QbU%acxXvNj=~DWI1mdOTt9&1$pzPu_Rl+ zyT5B%3NAVLZ5pe2{)Mp*b_1?7GH(`}#%f1=u#0?SWE#ui9_0wF$w*i*8FfgfN=svK ztVQ|Fo@E76PPG)9+yu?S2~<1U!LznwH!*>H1o*;2^6yyvRoV|+t94|)V+h?psV;H< z+LrYsk;=?>2ObmpkXN10@2($$N7wR z#13vMcUQ~DO`8SpQ=oEtUDH^iWBpqS$z7?(BR_q-)=((%O4l3!Kl@^;k%y>m) z1aty-IA9J=wC7x$ENcho?V}2kG12+6yHsVgqM;Na1Ntj>@>5aVC*U_|^)v0%dZt+# zIz59H7$&j$xEm5kGjK!lI6<{S>&7~x6+z&hff4_E*)!J;UEz-s?_&2hZ+z&Z9X+~ zAxdkU134apRn#T`vf=T4s&Z~$BM|<2`79Ey-U{JFYMlO~Mop@XtCZ%NitY9C>9&4Y zt3iYyv38UI2uKqe+ck4ZuUF41Vk*I<3D!=WBkNyS7p$Es)#caAr?v6oL>3jaTxhF1Ny4rb^F0>#hPZtHrHC^p?mQXK~)$5gf=FtWh2CiZPH7whO0>8oO=x>ycKG(J;xTw1VBs3E|2;-nlD=voM z$iiV~Ix&f*l79fq6CyqsC-S8dSoIYodKr}+jC-*xq0+(P8oi|U7Nbp${$tK|q=y&_ zwxfD4>6?I(#lh$vEfaY&a9I)y$+yt#>NLC1ziSf(8vBxt`%X(zfa{}t)rk*d7O zDVD=yOGV_3dn47m;hY2(v>qJev9oBj=%2P{A^w9-L1GSS3X)ALPV=@-F*4)s*xm^? zw2qu97b3wQLX!c_E@&L)sFfvKKP_9&l=R&YA=GUceZ(9~&1m0kYU!3OG+iNhem5c*_E* zryt_XQPLG1C2|LDHG5ogl-vNo*OTM+12vNinMH3$$vH=f5J*bL7o+BGzsRG+k1s|d zd+aE2AIz)z_-GoSdzAovZls z`|rQ~`}f}!j#sEis!gU~H(X(^R@-C>*2}L!XKuQc^z-`)AJ1J1Hi*RP0G0f{Mb*<@ zIt3dfBo1SlXL^q^w>drq>$wz6%3NR0k!7yoQZS@aWB9p^6ioW`TWho|ToRq^$I5 zRdQrZ^6=@EIQ3RmN=zHNnwZu~kusq(tW#lT}D{IL%XU8Hi`N{`BgS&<&4g;|r~m}ZNXoQ2`(FZ2YLV|$&v zdbVkx9^DEbVgNDTG;lx|aR3SGykN^NQVY zm%nllQ(5=z2@j(k8{{rEqt462FP=}SdiX>B!%qoAvEr3pPFPiXo6To0$GK|Xs@!Om z71#3Y+1ZAD7k*XwusnQv>4a8ccMYDch2LP|s}xr4Xa?)K>7Txm97AoYC&b86?@%J| zs8KYtB91pJ$L>}Uo2KE0T-C8b_0hBxs%&Jjo;P(%8hydL?rTk1^9=YcvYdDWet+J; zZzZ27?15bs_>DHtHh|y$`5WIG_;tSk;CHMBep%P0^AkJ%u4U0}MPLc&H?a*3PZ)=e&pUJUONj`iQCc75O$4a`Dp#_t^pGTBA z2Q5fGd{+Mb^dvBO^&b`>u8o=O!pCFtG1CNFFcC9N5HoXTSd-8GRD5{l~CI|+tcAtuGUN{ znJG^{Vbg|is4|->&0M|q*-QW&3Fy+QY;+&rx)@(H&}421|EcuTX9K4SWB0Lb_~hwh z!Rcq<*jjh_^V26&0gXIP%}hl*b&M|_6^~Vu+CB)j#h1@!!FZA?wA_bJGJg4^3%73F z+MgFsY23GC7M9LDnI?k8-(!ZM5-3Q_$6R#AhHXpJR!!A=$sI1$L-U z1h7<1$B#iKOcfB?RIwk8(u{kEbsLr!z^2M*IRWm_wGbbQ^8)ffnLBvoRH%LW=g*EM z$~>eWs{qF~E?DZv_!Kpk_|j#}^=*d}(m6?zDg)~jW5meJR(8Bu&)#eG{b;tq>3zQ~ zla^&I(5N3QGBnkIBgr;!;}moC`AXd@IF&tE(*E0yC+u((Ks>Y$tmp#SAwC?1A?ctTo{92Za6*nGMgS@P=Hnd_o){VFZBjCjJPSON&On)nNd)3)&wURHcN=x0!Q zRd%#lGDO(|s!>eXjwiIeML|4~4Qo3+l?YeRnNLEZO>jhRerhC}c z)9b$E+1CsiCz}Ylc*%;*p*@g{4pfKi2e<%A)0{jkefexIjLsv|fkMB$4}0I$EgZI} uj&ft!o{%w literal 0 HcmV?d00001 diff --git a/tests/b15_2ig.tf_nf.stil.gz b/tests/b15_2ig.tf_nf.stil.gz new file mode 100644 index 0000000000000000000000000000000000000000..bc3eced05ceba005ee3b872943d2700a805429bc GIT binary patch literal 81105 zcmZs?WmFwaur?apodk#A?(V^Z6WrY;xXVU@I|O&Pjk`MpcXxMp+3d@EzO~L>SLV+= zT~poDQ{7YjRLwL=B>b2EIiSuv?Gg!FZ%Cf?bBv9@;v{sE;vJ{$^aI402lPgYT9m<7 z7o(^3zP$x4e>sepEMf^qOH_{Az1!l#hoR$sK&UNDC|L2%ZP0v2(tDOS-whqN?|$3x zpajrXeRw`hti`$md;yJEyN?wW0FbH2+v{61N!71xXWbSJE@2f74hypddPf*-zeZZlF}% z6IosFYbV1~_Su5IZEt94XIFmDHyaxSB|-LnC4L`1&F!rS)c{ZM&E7`N7D&u}uL69_ zfA_}8`u_G7s!_ew?e`Q5246gNKi_=p(Ki=>WPKl|s{41q&-$DI(2=|S$jaLu_wyS2 zOBC)$;zBPo@ud$4T-peJ;pFw6d?4BM13$k$yumqxNUm>7rn3JmfnVEGz%R9tq0J=Z z?$>9+`H%dxVLJxG@6Ssb#X$yy7IW!623JP+dj~t$pM5xf;u;~gP`u0*Eb8ts=x7Ci zuXh|DF!j#67rQ?)J>2FWo&X=ukNsQHFppqV9AQ*NpBHD1kA6@;xm;hH!U&e9uP>JV z2hU0D@%2;l=X^@?d;XEV@qVlQPBO7TeW)@vwuFZEIf7TwS)W4zAUt&Z22h2PJo+_C za0=lyupr$1;qi31Fw9-ORl*;Ce*o|V-Rvw3H-oQAny*MMjUxnN6hx^1DOS66d)&ox z$DT3g|G0E|iFALe?v5=d>3nZLfp|Z;*&TrTsi1ckdemP+{^8^E_RO;-_->NE-0n^i z3m_KidU1H#yQdCN?t8cE->SMDg%RxZ@bkIp)(3ciEk(DZuc$mg4o^?bSJi$k;E&Q= zzs=2Vl$=AHSo9NjC&rj80poe^V5dyKkBSb2q<9St;dQ}}N^hSd3>%Wlg7c^@Pj~Pk zpvw#V3WtLF1b7)M;3A22h<_6*k(Qejr=TZHkkQe10CfB(Yq}!`&O81Jd~$ zwC)1N%#O>d8()vYofs*l(A6<6W5G_$ZX#oz)?9L#9WxBszo^3c^J+NOrs64EmIz0{8IGuHN-RK*5SGyafWGC}0%AQ!! z3No6N7Tq`e%}$=3Q})sxRr)_dK}tcQoHphh=nZc~uh*BvkI?H9pFTxzdLs87>#%d{~eWn^d7j`f+b402F%DQ<9jIHY z!S*B9jJL$59%yVGaCnPv85!<8}o9UH>Oe=m@?3*2fQT|9w73Rd_!88B$at z$enNke1D2I45!`re7LZ5ICy0My4;$m`0Q-u!lmQGI{moIrh}LIm6jq^$IUokuM9kd z1&-Fq-y-OqX#@{V0{WNSrH<)+eT%BA?;n!7x)P=}USDMl3{K8Ig_L>K)m7D%)xc`{ zyz*)*mf9}uO&cj$7{4d1XTdInV{T4vKqUAs^i5HCmtah8RyLc->Z9m`@INd z{WaLdfN0@m_QScsS*XiRe}kv`cb10YdrUSTiLkT2pu7GGb8*SJEV#PmW%-x=QE9XD zd`5C_N>{LvklXwk@972MgPXqa(j>G#|0(Y)&nZvh!BkQ~WC5aO{)dNOIKZa6;iJW` z44my}B`9iNf(Gm^5`H^96$X`5l(U@H`z6>S?0nw z8NO<_N%{&Y6NmIz`%fchYA9xDOnsV~pJa{MEyj!gsXl8dHk$qkwQ8Lopm;^3)cOR* z-r{d@y4oHE0o)JwSASh;dx3cVGxI9XD38j)6t-nx%v{Q9`|E6Nkl?%r-B)WL==!B0 z9BNHN1B!KRJjt#d~I7Vx@0y3l)Wi!SE@bGwCcV3 z??GXPTM0q8m7Ww7#^z@yL!gIh!)jidbe0r>kH}PK=Vnx9=B8Cx=BIK7_H$sSCj@Tb zZBUB4~&H=V^)SUlduyG}(rGzU%yD zi>a;s-<9P)&HmY5>7M1@e`CJeP@MuIJ&qq}0)Y10+sV@u2C=~RuUGb9C-6?_6;;eM zPBpQk`~_pTH|PYE(cS5F_c|P^__;Q}&e?Z+KLj7%clx~TTz4{&b1EcK9N0`xl@O5QBEn^|&%+dC<{A+Jv(tAe6UcC}u2 z=GsH~A2{@g3&u=T-*8{zTs%XVrj#DoT_Ezu#8ltVeZg+Kcly~`WA%xCZXXYc1#=%4 z{h^BXF@{i6JvW`z;K$cX&;rh^fY7k}aPt-T^}4x&;N_nFY-6j_-A&~@HbxaB=)biQ8(ye&HYM9-}lDC^KEQo$NORD!T|7canrqQ5dC%n*ryUb#g=HW@JmZpC}irXo53j zE+#bPGhAz7f|C)m!8B)bezQDSY~r4A!ut5<+<30mJNabB?`I5agJIe+H{}BrE6gkV z+oQqz-p+;nCJA`?4^#^YhU|<@ZKQ!M+RMWyuL8V1?#z@+^3=R#l1VZt5$+)a+TK2P z>;ob$sb}BzH-BG6|F6&?>o?Z^Z%Ga?#s8Y^K4R}Bwe=eFQRRLwsFG{w zE3@_52yz7;@=cdi{F(Dcu$2rg>@soO8#q4oX?n# z{sq8(u$U*}i*58vY~z*Ignnf|Wy%`X0uaoDE|d*OTr>Djk=B1bNr7DFa(*?p7IX~| zxdPe;mVOGgEKM#w2YRF%415xZfw}kpAiCmR z5zGDk<1y~Stu-c0xU-F@RMaMjBq1@Bq?ihIEiN&HWV29+;zI`3F1wKLI>+iR&nL;p zt499)@POXy@}~d1V{Cq6Ortl4{;2<7zT4`6ym?2ei>~qYx5d@V;-CKD%^mK*HL@Cskg-W31Mm914Z2bU-+po z_o*QNsqp`Wvc3mXpVjI=75=~Q?o+Dxsqk6t{|#5!15KtWCU|KRsG_%*Iiw>MA_~>W zdCcWkOB2hk^ks$;ndjWHX6~3U{dO6JvWAEr=-WggwuMAW!%EZVGbOwz@$( zAN|7XAlrvtP-ycdKQs6dO|mA%(oB)+=7tHxTALrH&V8crdyWJvGQ#qKV`HTLepviE zE1f?6QUY@<(Kg>(i8$w&+)WJkVEgAKouBjR6LPg!-Jt%pr7iGID(n3o6vD6Tm9gflZegkhHwgTq1$F1yHw?E{=Kc;c|4U7Hufji?3?fn=^5SMQ~_Ft`>S@#QDao^{OD}7i4f4{_30B9wq zZ9CoTF2pM_)f=>ebsAVQpD|U+9q!5PF1}Slu|;VgpYD7|>REjsC40+N)(v+kcI%hr zD{u#jbC-eWc!}%SA$lpg{KsE}zUK3D^E&3;*nK}s8QnHp@HT{~1th*ZQG&hcLw0kB z70#XWv*YD&Uxp&Z{|b~n?!t#M6gf71@^21RFztnAJlKgS76Dwg)-P8j96bgkQ%ja@ zp!=721hkbt1E1IHo1N204S>+cz#LX=PV{FGrFGTi0fSI=Q!p(1(AfO~-t3yeLC^Aa zB|Pj0Wi)RQ)PZnzcEtKy!795BWSh%NQk6h4tnSrF@XgNaqcwo?@vVBj0KWT`gd}^k zqJKf-^?Q$ftVRVEbT_8@;@lpR?VZ7b?-mhrH-?6=Z-2zU?~pZLJIQk*i>S zNTF1J2S4q-11^Nv`+UtCF6Uf$>p32x(oSQkv-Ra47*u0!n12k?I}+~ly`#Z&2wy~oIr{(v+1&5!XBBDh7c31^87*D4MiW;P(mu@ zoc074-=?^Q1aadw>dqHgOa8V}G6O`y9v{Zjls$jQuIis9tUyd=quK2W>^U&yhxfa6 zo<^`*f1bYNoehTig-p#Ti3={s-8Oh=+iwU045vZofUzDsKD3@L@CoFL4l4 ziGpz$HeA}0EY_LR!Nt>7+9avlWn%BAOiiDviHHwo*m&Onxx#jNs$a?+-P~9|E?fX!bi~nZy>k~VqSQT`OOKK zz+LW&O5wxu4#J!JFk6Y#4-J$He;p?3YbE;5fJ zhV2sjWJATQO_b&bBFXF$QG67X1rPE9%+szFPa{Vx(!Wc&FRS4^xHj}P6>{YPRB6e< znr2&-3u8B%CCuAldqtX!fVA!c$ad?Wl{Yi*41MJpT5f9Q;jkA{njdLznM#Z+AuY~b z#+wiRS_Mx_hRQDDO0v?uhMa7cFzjNEwbs?d$0s50~Z>HLj z?CRYCm@pxfOH^-qJ*LJ8TN_^`G>bYL3tGBm%upAW)mWjiy@OlkNJ5i*d)9b0VK!Hx zQTow6T=Ek7;X~vfWj=IEU6GS@#rAV;E7eR@B~3cfG+TyBJ-!b7&`g=@(o!#cmEu*v z1cY5XPtSOxY{t2TtHS{Ur*(}w==ke^;Ti{57Ir`{Hn<8lI*c1Svx=H))2L^2ExdVQ zs5V_c;p>+|;vdBzZ3BOJP2dj`UhAGY@<25=_@6NCbCbWO^}*?SuDC9zBx2m;ZNJqo z52G+}|9}MJc~I!Q*k95`Jw*c{BrL1SN6@z`5aE@Pe7s45lS=hqesx-*+mQ9#i$pQU zXdn;`)jQ~aIXUZo1!J31u8AgAF@m zD_D#@=-m_3zM0b*;=fxN(QqXwihajuAJtJmQ8Q2OoWJr)qcK%1dx%OE>v$vJo zlVp7`d=t9iSD(!Ipl;>}!3kHg*f~ym{R3v4M!(}m8u*`(_WyR}B)<4xs`lir3Sn*n zGDD__=uKXuRLuB80{shIKbPbE z=~aKVOC{;rJF8JnCrd8N4uVI(UlB9XGxNe$EKRJM(FZ!Bn)JP=d3^P{uvQ^45lsa{ zX!)_Mh)Jsg(@Ukif)UOGAa&9TJ*#EFb?d8r^`QImVkc)9>7~ylH3Ufi&R~V>Y zby$fBr%x4OnK7wr#G$9!V@Vt-pae~wYs%|@xbCL)A{S~V1AgpnOy#}hVQty@LCTT5 z(@qiX$fM15J7g}qT%ve9GU`i{#u!?m<55z*GQ1SWBL{9{f7Xa;p>OUuSyOFjyb^6< z->hrD2CqLhw7p$J$5BHZ==w_xQJHJLC62fi&AS#wu?Gqn1P#O*k0D;-wblKGVANi! zi||nSrfJp;iB@E&HlVk(ro7#4y2qpM-LE(Go9r>@%Y-AzMrXI74BYi1>WP06iT#mW z&|7+5&4(bbdd9O?%b_6*P(g44*X(*-L?Y=aBmGt8 z1acW{h1R}nlfrY%s*DLPInwl3NrFiD4A)&D>xd)HTgn@ta|TqDw!sdn7c>9UB77ud=CBq15=!cA zW?;)U{vgg!QzE8K)BjKOjLJ|V>_?5ZC>8$S>79hx<>#{0fTq8&Rr6}Exy3O9C#V+w zNo?iUUz%hEbk^$V$ZDr%lx#+2+NQq%Sy~~hd5Nb;-#93SI z;`iPCh_L#TV>2|}Hu_C^uP5movjy`kNDU*>Hzp)8`F%hRBwk`&JJ+aLy)a`pCIh`rDeU?M*eUCnF{LJUc-u=mqJ$Se|WQo43oXC&J`tSf~`X zB8KCUWnV?ygrq@2HAj*3yDoM|Q|3yN%0Sepr+LGEKoVBgMuu1?-&*08K4(1Bl5*)i z+arI8>4Xc81@T;r?O6j7Qd}j_glw@FeG^JAi>e`Ph?oI2Hz3on);QhcTCSy z=26Z<#CiV7w8Ycdu>k=U1q>sQ>Yr~ldC1KI9B>P$q~61yRK3uy#Gynchw=k;tg z7A`U^0e%fS^)PWvTC6aOM!gVo1Va(+yR!nXNlU#^We*1b6{FM{52ZOxDpD z>d7e~WUZst0)H}4sYo-w`N9kvYR9(K00~l)e`#SX2Ik<3JhP+(sNqEm+L-bfV?fPU zd!+NmO8j{kl)0N#;@CgHw@#W**@0pm#JwvX_! zMc5Y?y5RQ|%va;<@$uX6#Ja9%6@|gLW=e2EpcI*9 z)5=_?$tyi=-WB8PUsI|~gLO&JQ92G8qB>;c+H$*gUo7gy*EQ*-lJC0DWWf=1u-=cT``O4finP67~I&FJ6@hEWXr(RX>?~jZY&f?tJ2-UC46f|c^)}^YFK`ABt42tQ!@Y-r<6tE zsL=KsaOW{MXx^nO^FC(xTxVf+weEBmw#tCjxS1x%SM!#mUgx2h@SFvyzGQUUJD6!E z@D{KCRN!KdH<*KuSmeqMxw}SSrS=jCWk`+*PHlOq0)>9qA~yf&iRy z=62A6eJf?8{{X3?h4lL9X>)u@BXun_|B;(fd1l!}BP}m;#Xtw@DgTbfzskr*`?trK z_n+M`JDbP#lqjhWfks}>E?tuKkscOer|N9c01dllnvT%&|vwM!z7a;Xx9V`==c zzpQ?1J%nkEJoJ{62W3q=(Lm5g>09ybm)cAoW3r|r=Uv0Svw@gHmN{|0ZrcpJawevP zLexQsTFqU#1wOU>`h(#^G}J6Llr1TcgWDR^I#*sKuUxV0m~l{tS9%46H6W5$^l@?(S2iKvwMds%!VD>1MfBlz+=MI(OL zGP)VwAcmdaLYNWN)Tn{vOlZ7*(a$AQfywfh%~U~38@J?TKjW*~bcFBbM4AW;oQJ^| z^eO|#*7&QaO~tCE98nxW8y`t`EgC@!46xp83+5a>ljCi<6X;_=*KI4s}xSN zsEQSa8MOzX_(R$;_(b2hT|VHhk<1n0;|dy62vT{f0axRs)ed#k=Q01LA{7_n=q^zP z;ULZM|30LyHP!aaaw(5n9C>;rG)=D1QQvT?QIV|?c-gdkuTAKU96a542AF)WxCm_dCfUr8I8=z!6oYzO*jK0Am7Vo_^|9g1Sbg46`s}<3s~$gZx|r|9 z!cXvc&%akoYnfjo60d1{tfxGxH^yWq=av>V1`4gGLOs-MUCformpBd%70wml0jsl7 zB{RdZV(^m0i^-eKwyfN6+p3cy=7;a1G~{f;INok=np3VbE>eGr!$U76WVbA z7f%oSx_=8u4xhaAk951r^cUBVY?qH}4|osMWJiCPO9f)$7%wt9JJl_x+bZZCJFOSj zG1R|$3$<#e2D$Iv$&n;~y7C|*%!Aql3{4x`8uc|Ehtahtm0p*2v>}$-CA0i`{+^J+ zJfB{Yh#+6xxU-=XD}sKj8}p>pMlQHC8$C9Go;t(DV6UfNX+K6R)yj4flVR(Xt+fy? z40W1~4jikHYBH8{GZ^s5&z;fOGN7ssJK1XJOlovSjy2bRSA$sUOw=punC5k~TbfhH zT6@fD)`l>7qY>m=uh(q2YrfK|!p4RP0ck*!(r=*YCR2Uv{-dE8RYtqTv) zzrfft9}KYWP9?y_>YPY%#CkvwzPi2kb0&}J{ zcNDewZ5^3OOc}*AqCX$}fX24PFlK0Bp?4glHsbhjnIA*jd$On1$wYU?w)_@>AP8ag zWl+|txh?;zvirJuUEqRH(dPL;&^kLETZRCR`Vi8Xz77WPn^}!wy3-WwBjyB|!wIyA zTm{uvC*~dEZ>O;8#;nLSOHq2M4p)S+F-YzBXCZ}{K#mkq`M7C+wPu2_PGf}cS+}Aj zG@1lJ8Rwd*jGir-(fI7dQiWiG#xu_;lf94B}F%tJCq z%%Yb)+_0uZt$M#c+344U)%qjy%^M2K30tP0o#uRLKYWHd?O`ic1OYdC(BK4_FPFk4v3v8JRw2{CR^8Nb78!(uqd*_ zHB`Ndm>^GQM)laI=7jmOo-|R?nBsh+mb%9yq`HP65~^T0Gtd9Su`wh_t-n-KyTu@DVo+x-K3(Ll&9g=9Goi~LQ}s(!drrx3%Oy_-S>J>a zu^=-k?z{9N+GR00?m=O;?ZIu;7huh}f#R5TG-K~tO!zVaBWs!32Y=(ScS`;1Rk zes4o0gosXPyjlE?YLz*Sap1BG6_0TFDhPMjxV#{I?iu=t_n$oU6XrSrk zU?aG2y9&T78FwA!&drFi5wPK%Nz{!=nU=6Ze(TG0&8({Cs?eGisjYs_) zc2{U)y*Cniy&Jvy?M>rkv5 z1!o~qJyp_`yJH!Enm^3n8Z2}Ft_CDUC_(hn>4i(uG$?D*W^FS30^QC}7w1W5QvM0Q zX^dZFrC*^eOVZ4;Xru`u+UO2CJA`RvMp8sGhi%*w=_$a!r^-eY)XF1I%(CbC;V)P~ z&kR|e5lr6HzTnDGApyNE^MyHaXuzGDS|R1%LiDowVMV0bAXG&XX6G`)dC zST9@tc(Wtm>go_9C$UETU>lrG_rAq|Oz`yB%hJJZkE= z#_Bw~L51mhTAD>s+E8NysYuf=9}o5^$|cV=;3}vi*_Ns0JOA;Yr+XTG&qCl`!O^CK z8cIQiFQXC6OkadTq52_+ZUNi7t&DS`s>!QIEv1yzx{Ur9AsspI82r=ZH8>2P`2FonstG4J%-ag#bt;h;DQAXi0{rp3sOx8!Tx6~5rk;8qXw06*n<`Uu?F)AEAf0&7oWmBBz$A9^hK|?fp6@H(pj2xFr9J!`mr$JrNXr#10!(H zMRt=LCNS{n(d%ciVdrphPI3#x-&cM{#ssx{E{VjFTKD&wyZ05Xb{D^27lZ+5_mk8+pshR2@uLXhJn94IS1Z z>x0koU);0Og3Es{g=?xO!KKmGq-URs(P~b}3}nO7uktB>kMHh1ar>)>K;RKUZ+(2k zr*|B-?8svoBdClj`G;W)&&865kKr5k|DcaL1ukG5cx*~bkOVU_y8a~*Ra7D}mIv(*e=%~nOCr^T2` zeYy8~>-%%m+hsHsJ^++CbJWU)|4p+J|LDYB6V@Bk6cQ}ub?$hdLPI)?IA}e1_^lL% zDMHTShs_*hB2~bBDI!n1v_I}cvZ)ik0Be#*D`5;wT|~sY&j& zolX6e3>;3U=OgfBlb!>*3T{E%Z@z|s!Ex-ovQ``wZF)MSL@i^|cd2SQff$sm6{NKi z>ULVLrZrfcxTxgy{xqyOkGnO6vP@lB`>Uxg&d*Oee9p?v!fsnIyi6sth=NWXa-CVm_tmo)6;T)}XE z83BNhD6NS=;UkO5i_Cr%7VGAkq_C&#x-J>l4#b>Xk;`D9Cu#On9x^0xs7JMhnBu`5 zZzGy!aa@bl<*xB!%hdbW6CK`(F8+Bym^sG*Zyd(D>c#3S6$R`C={*5w=rXyEIQNP@ zQkV8yiG-(}y_!L*FwIEXi71)N=?vMon`|#fN0&u6#=KnfXW~l$ z+)D&~78%rXajIo<_-jz(@4ujve2&K+W*ud@hS;Wr_ec3DJZ1`cNR4^cZ;4@oUoXn^ zYG+@=DG(jx;mu6h{3#vKdi|*9Rb?W-(}&$w)feRsi{8=c?@IofGEKqcHh!2#Qsk#H zre5ln9>|W+Uo!Wtoh2ZI6|jX84r+S&vUvO&_;;vyDA)1!)I||-%B%xBK|?3T=1ht1 z;P5NkR|ewBlp1;mIIY94+A0e6v#A<=J9Sf@`4t#8E4JsjvuSG>F3E_LoID=&MbO>? zMr>6ywU77xk2TD=!H@_$udulxNQJLn0!Vh7WQ=`kqBa<`Ozsh(5aT0cHq9c77f7e! z^-Vks9}!epeOm!1^esX2zByw;md)GNsMMFxA5Wv~EFu;WR4eK_QbN{5i~4d8su}mX44>Cn zrL>*7xE1FkWE6ek*pp=-;rvZ^?;3GIAwJOD+$0A%(nx@(t$&ipPMDUP#VnB(nAEe1 z$6-SjLG%ws@#(zjhzN3=D@-fhXQs*3y3hLvnXyqq^_ThhuVCub-lac#tm?+b*J7lx z*MDN;&Sf;EvM)Y@)g&CM%kJ=mF?qGVV$H~=A0c0ept<5{JjC82e^PM*Z?o399e)@n z1~*=>vAtfl0Le4LI|xPfKw9_nKE7MqCt(HM7bs;1xU3DTBA`%CO1GVA#-ac}f$a&L zI_=)D4(+IZ;x%O1!86uOM9c4N%7P>q=*+DJV<$3%7!7wQoQWYJGM&UZO^ih;y@aXh zfsLF2*KnU;pWfx!kd6)@ORvnOO5>Nk7o21a91CSn{D0%>xlCo1VOtbMaQH@Fsv*M( z-{#H5I=YXkIi=EzE)tO-T<82#W`YW-@>jQe%fshYbwL zBuv|QW|2%m4es0LUX8K%KFZ5DEzNU7{U~u>?3$beEyYjh2~lIrB%*BHd#Z(z(kcG| zBk+f)pNHp)+k!79Ff10r`K*DJ84Nj(Dobb+;({r`m!#wS^H8~)+M>h#1Rhlv&o)4? znUJLv)C%&;kRWq#zpC9$tbW8c<->E3r!y`vDIkP61>5eK^Gid zqNL$RQX@my;oItFaf=2^lp8b7?m(G(I3e!^^^E9BOXi6A3 zULWRb543yUpIpYJto)*Ytj##t9C0oVtU8dZw)(pW;Wk6r!^AUU%!B64sX1X>L(M@h zjQ<@h>gTy8jRsWKP_UpQtxcjB`m@0b7f5tAmQ~9tgKy7T&VbA=s*XP(N4Ub-SLePa zTC6(~%quoyIKnVHYkRKM8|42JNi+w89*NH`&9G>Vx<}^cSW{nL;13ct=DM@!DSJrSsK|mOx0~k#*4`RqmjEdY|Y;3+IX}y zO)QOqAoe}+VP8-6zR_U0!`b;SM%5-n{G(`O@nqUrD%hBgq`p~yO}4%k)@IK}U`No7 zOg3=c)eqvG|Imx5()~wY&ZJaG4c*&M+D`BFW&TIH>IGge#EivH>;zc7DIs&1Q1!yB zhX^72=KBDCNTODs8pe^3v-OrTjf&HCXk*9qu^#JhL7nDVyRZaZ#Zzmw^gdjeyHP#K zqDOKPd|K*(JiWVAEU~PDf(U^pi%6uoO7+6en=O%D%E~&%hkRN5^NUF}RZV{^*%|5I zWTz+XoX1Axtp`2G3gpWfD_^geD)b;kmhisWe|EO|a8;N(V~GD1u*5m4+{cm&8NQca1@r)x>22$yR45;JEMu)OhoIuDvQ=_=&?1QG;kuU*} z|Nf1cB1LaEnAz2-3Y!x+{IK98)n1zTq0K!e!|TgO?T-Cr-a3AeBlNlFUQxh5Y^dk{ z8(~nBZf9v6L#5Ubx>BGGmg~(^ne8G5g?boILSD*gClVR{V8DL<&#j?L90nijtyzSxK6r$V@ zw&Nyz!~B!ZJYp<0YH-u~N9}XjqW>eL*kF1^ zU-JJ%m7kv!=;XDEC6_7uq3!TcShHUQ@UE|;7X7(^kUV;<+nbTt<#A>+L>vMGwvUf- zBA+Q27d!I+&W^&V`o3g0QTU`>J>zC3aszCl>ojI+NOfbon)E@#rNL)dF-ZSs7Y-!Z zHp4$woEBV!y(;Wf@+B2OEAHbxUx#h`TrAHvyrKq#Z&@KP#W>BOF$W4-W6goS!6Oe0 zg$}v>>SRShfw+mTy>kQg)vC$nhQ(eiVXuW(;~<`WAe%iPA&~~Rwt5QtbTGG~w>-O7 zXt^p=tq0~jdK%ELt4_ET6Q9F;>^F~FU`MP&I~Nn9b}}W>``tV^9ivIDm%|j9m2U*v znJxAA_qe{i=>#=Ah3O&!m*Qy#?Fp97_o&c}>F!h{FxSb@nNBt8lMU+;d?(=`tdSE7Fy${I=URI>eegF{Qdf zL+BD=J2!N}@@T>5R*fiC6-2mQ`2j7FPS&vSI(*vpKOF8*-Ym;cXK>2|EX%FbORBPN zyruq4HIa(2Xqb@veAX878|8S;#61U$hCmRT z1%doFcxNa1GT}ipnK2yJj49hdn;vI9kUz=el=l#>55lf9n#Mt8rqhler5{t$+E-RJJ1=}FJ^(WW->%Ht$6KSuEiEef~Io7OMT`1LsJ z?0^=L9b>9I)$t}L5i*RYKHJfkf8W6R#$v2%tkZOnJmQZu;uVz8cK9IeHDv+de3M~* z^bYTUgH?It-o74fNOj=L>Ul`6J3|>AINJsTIh5B@ZPb{=mQfiqQjwNoWWzY zRV-k0iXsFmr1z+x^EY<0TG?8j=+3{iWs#CSPK^<(Q7-5{H6QYH371lD+LWtR3>&F= zfof(hNXAn8iV}o|FaK?jXh&{<=dLpaAvWd1LqyKm3mAO!*gMO?{A)bpat_tkvmV34 zwnS~yCu*SChNsylW7lX$+X&tKz5Y8rHlL9kt!Xf(DQebT>7v*ou<%^1{4tP04?O6p z#q9i3$3}Yij=PdY_S`jD_Fv)JB7-&qjJQ}CkQ%K$vv>gCN6GyIc7(+GPQ+dqUe2f+ z2Fhjzc>elBZ*wZ58COz_V>-iraq2Up>&Uf_>h!f0SJT_`24M|Xvrw^R>x(I4PDYlE zA?KC_{a?1-hi9I^_u zRimb-Og{_vzSf4^?+GyLdY&q2J4zAiPOy!FN1nlB*2->5roUntt#0TRy32kWJ&-+M zCkm(%+r`KUyk?3iES+;agQzMcZ%xdZs$>3yXz~9+y-#yd35^eLY2XuU(B=?%`&B-Jm?i9=igC&Rvp}p7>21v0%Bx%cAdaON=Q z;^?0r9{5U{r3desM=vJ<+tjm1Q_EO?TAi?JPWP7QEG#0_D@-k!AEDLg=sALW4)NmB zg;F!~oKd*>$Dp8#wLJn?(I_}`ifYN%=&9pRr!yrah4|t^&wHIlVqE!Uw+j`G-)0S& zHH}n*GY9vLby#Ptf2qF}(nXG`)&$D;r3Q@_7*@GI>$Tbrw`Eb9{uRU~T*dQr5Y`t@ zwN&%b)mU6n2Ts=Rv*5xN|LBm`RWbJ7VKU*bIZ<4q5v4c6vE_=w3`pemb;~}f+7}S@ z4xX&fKc{7FN~!H-F^WVT#4(iOqJ+}XFwvPwcjO$Ebzdv@2%lVD!}{mhLpdT7DzFpg z?-STSBMwvp2I<<;Q)x5GSmvmzLD*3f{k5M^@=)(?w&2=}+aH9?gP=v`)#DaN;U`tB z(UhyiN7%u~9Hf99TMy*2;q%gJ&Haj1nS4}-7GQrs*3*-ZGLHU}KO+3Xn1(BUHBjK< zPZf2jdTRudh_{x3GMRN>ZW_6~b{alh4B6(`Kh$CbGrOo**OA#t@rqX6$3o}}tngSk zY6FV^I~W?{lAyAYD&k_BVN~Zfrf~H%_|+(mi@2N!rzZRoE5*njHsjSlYV)P~XB&mJ@vapVdD@$DJ>Pkl5(Y3equ^GFsA3rpxv!vOaTvQgSimuw*wpn_({#Q%20kbDHj>kwl za8K(#t4kA|T}7Q%snEvxh(nEC1&^-QGJ%|!DWG0%yQfKn-l;TipovaD+zwC9?`Rm% zhsiUW_uX*M1A*`#cAg>zl{`ICRG;WwrL`qc9kK*Xd!(`8yj@Gzjh-mrsaYQ;=eThg z;bA@?nk$IVuES2yme3?JCY1~Qb5RU#K#o~Ee6bLyg1#HV?K2Cd3bx$DsdS)KB2Me+ zyDQeiuB4bHN0BBLrfB0d$_{hs)RSVe{B$Rutw$qx*`4;g&irswY)JpVn0o7|wwmvI z7WpBZX_4{`cvAx33ex`*Qsbl*e_y1=$)Xg(o0_vF)T zvU{JlhySg{$)aALB!yp-pK4%R+D%!L_hAL^{pmzPF9Hpin%|@CCS=e>hC8@6nYfiZ zClHNBDU{>e1UHp&jTht^Bke`gRKQr`BPhs*^q4;;ed={H^~a zC5ay60sI(%_07TPW!+0+&g{{4qpzJS?_K_F2zgjh7L8dDskFMK_*^|zUvcC^`U>Wd zwT1dBcQ7hrg*}68B=K*(;;jG!truzfTa)Xd5V_jFr-V-PI1MX|@>s0$WU{6n!qGOxeryygKbT0c%ls{ncP*4R8QN@4XjNn9lH)eI?0H z*Cuqehl>1^;{!R7I$ee#RmF+!fx3+I)UNYeWP_?=RW(1{HT~O{G`H%eiuR5ZfVVd- zV=rt9T%ZYwaR3_ZPa5qzF&uPhGyV&(vo>`pi>1 z;*YA1p#VK`VmPRfE>Jpoir}?+mbITRq;|@K?{|HHR^`M+Ed5GRrPA-S18hXpJ^5rS z=@-Na8-z=~8bBh}cpv!tH)@b=P%Iy_CQI!cr2}*T$Q?P@B|Ku5bE9mmw6U`owc02< zOAecNs#d8J;0f|xxdyT*4#vVU#wdxY8E~Tm>(K-V;7)4<0mWH=yjAK4kEo0_Pe%#J zoxj2LVn}QD+iF-wxj5tjj;3;P*cA~ji%@FAvP{x$gTE)+(awUD4abMzM!mL`BCE#% zjAGiHh+@SjbY^YGFHVayq!-3YrEM-;hY}k9KiF52gIb;wHD_>x-L3kf>J4Gb-G+v0 z66BY~5}i4tcu@LKzv5V#_|Xd#{SgdXcIlu=4D}M5T^n;{ZVX;x%_mRwP9LVhx*v!= zJGi*mE{VLKxWLl;cpejaav(~R72!Qr8TphH8xX1auAa%&a+Yd*t-&>w z71b55t6b8))QA|`_iBfqctqqh3A3ZnV5D~G=pz`ip#m)%^T)`%T0i8_?RI0``97{S zVa(j>%vqLm$5W_kjB-=he$`oqNW}D@9WHH%d#Yau$=8R4c`@T_%KOI+pl}OiBBLt1 zAoBcOZ0qOas&syPGO~tbLdIS=|I&^eyK*dU;$ZfQBc5n0*5#(WUQj6JYp__&nZD3_ zhQevGxI7t;`={UD0;35LLPi|i3w%RQKFSaWR<|hc5fZ6&l*W5DSmv)~|F>#q3XV{F z!Qh5r4ngPDh!$*kM8+HeKT5i?ZIv?ucFG4Wa>rI4d__yI%zV8r+*fZPh=es7S>|^y z%co@JHohk@&P2UtMCDUZR2V_}bx><&woB%-UE02Y#WJG=r|5B>2LM(!kiqA+tsZ#E z=th2Dp4cduZ1zK)p}U{mL=jR<(?N|KhJ`jjTP`v2_Csf8rrg_MluF-ir|V6HZO*E5 z`+eZ{!G&;(zX-*Lr!uiPp$Qy*AUi?S-G!>Tac(i+k4#TwQRT_?DA$ooA1!#E5~NYz zCk%Bs(xXk8&fnM}A>Srbp(TQ4lz-&C^?W-ewL1dQ_ChIxJ|=-uQ%Ge2T5fzJ-YBKN zmkCG?J(lRs$VNy zHylz2CD+>S+g!bJ1(QNp$6o{j_<%RKU)d%t%Dw{e<`*TC+OK3l+%$@FljyJt!J?vuN(kd$*E@?=&CnQ3H*B0va0^Q{$O_v!w@X*XIu+Ndc|39L61a zF6Y(R6J&HShI$V!j*Km8a3_{F83WP4g(eFkmBx3fLAgl!fnDj=?f9%?4n)yUiU+&hTOe9oy=kj`yAQ}FN}g6?H#U*0B$cAZPjBJpdAf@cwP7Pm zz@&Ogn-R&By88q^hOVS19)a0QddLOC0h>i?$(`hy`F4>ICGT?Dw%CCAat(JRv~jz- zYcH9Q`Y!YNxL9Dk*G53t(6qa-cp&MmRl8*df$SIccAVFQ_XLtB6se@u0Sd9%#2P1g zKi#pmS90?y|m4Ag8IUlSpIC{=bJU$>%CS&rVlT=rb9 zATK=1T>sgt$>}hGh_f^>M;Lz@ZWlN%n#&Ra`~^=)p{3~fNWh4$F~-n+9m+PG9Lcd$ zzZR4p8d8*lQrqMz-ZPd{ph%AWrNn6B&GQ0iX6()RLT$p7+bFHLNE#vTuL7&kaAS}V zI-<8;j54EMC4Mg|5$(6~j)3W{AoBUIt~sqaGth${RP61@NU9i4#p{ z%k^h`G$gvGcsEsR3suHI=PfHw&>%iJp_ReMF#d3F@4}m8WO>vQ%nz>CwR`K#tDhBM zE(~tb)uD-i;8MQ6rwOY!o!F=|Ol0Z8p#fr6 z9#2?x`Sp!CaZ8QIU2Z8^h-T80B{d9#nV}_1>7y9H#f%15_- z&fZQZY>2()$IM%5LH28kE>{+4sPue}aOQVaRCxwXjnExHSC$eU|g)Dy2&>yUAibgysY;~0^~e?*?>LzI82QP>w%)OeekurpK$VU$OxrFTZe@fE%V zace^wl>uIzFV<0tQlfJmu-aB~fW$YFQGH~XZe-p%-W0`8HXjsVK)Sj^AV)M!ZE`in&>3otyaqAtl$^1u15@H4 z@NbXx6j&z(@mZ2?LahW9OCp3OVh99PsJxk?7vx(@2;kbN5+>NnGan$>l|FPay}}9t zN}i${d>}RGIC_jciW9ennB2DfgkwP&VU_tvRKA~FT{?oD!@kUkVcnNVM5=HQ}J}DS96F zsWtg|NB#_|`8!QKg1^VFUtu* zHEE)P58qhq>~wj5(Qz0u`V&N2ABiRG8mlvKwtoQH9w;$_xF^9cjV5R?Y|0|hIPpWMR02i8(SDL z(c@!;Smyy{J@9&Lj}+- z){Sk+L-#iKT}4yPNhs@a@awY@E9Rz+qY0XhUp+tSq`csP{bJdLetGtIp|QPen3T<* zO1^*n4T>xv^Pg^6E}ojmM;XrLC$hhS_4l)axLIIQa$a)?GI(otO2&ba8DeA+6ecl^ zD18}Cmq^V|7+Pz5Urfv}kP5pO3?FW#cK2Q+$wE!f?`Mf&B01S1IFwW$YDY@$`26qq zvfO!7B{ojlYf8nWUF&e1EAG;q(3)wLd;=cw2Ul1p5w*B*=f&Yv&$3x91`+%e0}i81 z+B^;evKux%!Y6k$_05{7NGy<;R|UkAi)UV~Cj?Z}E#c#@t}r@#$Uj{e@|kD`B&;iO zXB3QD7m#k}DKcnSWl69lZb|*tf8DFW+JIACzPbRp`cV((e=I6D8nUpdeBBpHGpp={ zs$ocFLL6k`4p7B~iA_yw=#~~xk($yIjzEl*Aup$MxUA;m^6t0wNfI_xHDp=omMWO5 z&&MuK^HGNesJ+8^uwX$;`*TiW&YD>Cag*MceG0EQ2_?eSat@?Es!y|`yGkF@dUJkL zLDJdJsIOfO2D8l5fgIf>EyTfiTzObvK2U{ZpxA{p-smcbk40H@w9qB`S3*6prs>e$Q2N>TS*REupe zlwOqNOt6`e&e-1N@gqIs$6|iGmT2;4j45X$OIp2@fSV&OGcVo18#1a`{d(Qzv6rVL zPM^JMi`}$1l6%xsu(|2OISs!f6>1?yQ%!-(h;PfX{2=w*p&SKCa8lR< z;}9v~@v}x0!>W1A{Utsr00az*$c1lS8}Ko)Xhq1HoAloAJ*wUYlH6!6I8oFUg2o~0 zx{S5flhai3TVlpVB+7T$%Pu*NG&zHH-omywCb*?5XlhKr_U8zokEa|$Xqd5StE+yb zBzu$GB})N1Z0Ie?s;GVBx`LA#;2>24g9g5arz>udS(IzkbR#_>n)y@27eEs>hrT z_RAhp?84&LCo=0TyxIG$5+(X*%g(lXGm|SDe;pXgV{2t{p80Vs^Qs|$%9hx%aY?$z zz7HcGr(w-@_)5WN6!)0d?v2HN3P~>1qGqxU_S<|E=T_OZhj!l0gFEL{pJ7lT!T3hw zU}eNP=2kn7(iW(oWwyckD7m1RpaGGFoX}l)w!Bj*T$kYKc4@j=gb{_ofOAso7wCAH z3M*@0h584h3a~&hce5F0xLH2|xdx-rO!h~KX$h_vv-j=H_D>#_JF=?MsQIDuC?_;zKRT1^pB))oSIpNx?yBJ-XyRYxtsFxRBXx0ulALF zs!g*oSZQBk){n>m8wLy**U!R%3~NduPz6<`DY*((kRG+rOh%g;JT2$zu)oLKP}B8> z61fsp3cZ@Yu?dmdRzkelSc9Vpwr`pcXC4>ivEY=6cc~<5XNS}{cT>TKAAN~KjTUD| zOn3Jh4pF%!`f5gXr(adO|5KnHd--OTb%d^C!i&Tb$#4Kpj42{nxomzV0mkHsCmWU`!2UmR9 zzkvzJXwQd2%Vu7oJYMP3m2yziz{JS6jmM7Ic%<`u#73Jb`{*cf%eP<{i+S#>ihf&8 ziDfgAro&*ucu7USd$msLWpb;=1fp*e)%7}-EP=BN_Fr9*KZoq+CxKN82IFX2x(T`* zb9CzbY#d_4wNDDH64z4>%V_!=pS4tLR7z4j<&EcGv;8YrUA&2R=ojAu!$ zW4!B~ob2LylbI{U2Ex)J?Io-&wb$^8O+~3X^iha!raA1P8ZyJBCmS9NIK217Jsj;d zouvga?41Z=s0d0|pZRJ--`WTTh9pWL)BRI0!dHtct|%Q@j{6_g0nivuoV!jfnp zQd>@Pw;IZ4H8{>Xd>n#4GbI*!-w-S5yIp%-L&waEgE*tv(udEwvjyr5)W>`q(%Cbk zcvMXAT4V#@p+ruN6UN181Y;m8(FlvN7m?Lw<&@2MaA%|tVStOp=jN5gS|=|Dowq*y znHN*wDnqbR5$RRtkwIdO*m5x3puw7%=SkBv+ug?;B_cDU5g7%`=c20LCEJe8;mtt% zeqjDgdaFADjC$jrCiaplEsOli*My+~@P}1}0a-SO#uLn-3E>NOGY|KDFHHtcHiFveVcGL&X++m5cG<^rNfS^tibzd#sSs^#!_$kW@PEnedqitq*lfurcw^3ZdyK(^8|nzhoId(z>seBB5s9Y1yV8fc4Il`|n|bGnCB6do5nt!hVC* zLVqWcN1X|r8q5el+)-VK(!b&09>*xm)hsAf-0>KDf4G1H1K5dn3IVQiw)_J@0s%O*I;LiQE821lx*}-}YY2#chFS&OO>(Cw7I($LO z;d_@l5xx7J`rZr2dZrn2Rks%uZz$`%!bng1I)-y_XOD3i7WQ?Dda$>t9n8VhJSd7wR+7>uLwSS=wK)`^k6qimKi~(mat&<9vgyIN~Ap5HXlF`!^1}L zV>Hy~`k|O&)JW(Xk^U1?Cqce~t1U@LMh}jFZLQ{A4hqKDYgP9HALHQBO-KM^!WvFKcKX%^$ znQTxsppn5rJK*tDD4dg_+<^U)-U0$rWgc8;F&V`0V@3;DF8;vi0*NU7IZ*4+?Qz*7 z$f6^=v(-(oGv>5Ht2DL~x?G8dvYO}eN7NM0K@Z#(_0=JwSJl}f7_ZlrtEE>f>0y&t zm)fft5J$;7U#Y=mV5`DqSKZFsbBYA>#!B@jzBd-Z=1ILkI6p+L(v!2#@w$pt+@tox zG~HKNn;byG;94FN_?C>0J1<2)AawFFU;p&~I9#nvIgG69ULx^^<|ECYKcg1V2CiGUKMWJI3=H#Gf3?1TW$R+ET~Y zR)X%mFkftW=bshZJY!};EU3$32W1C@*NkT>Jv}gUA#MKe@7*xXyj`|%^a$SzHhjhu zri?pFGh=7&^Q50zx2H0oh)POiedC};3{o2=4_YJpOUUcw0`O^r-@ih0Q-*YJO|G~% z7?00eLd>9Q<$fy!nAJ~Jq3ybH%T@}qBR|wciJV^8R5E6bL9-a$)~FK4zDEw7W+nP@TG}_X++V)8qUQ!!vGuujZDS2vLhlATPdxV`zWZJBkG#sX>lM`@qYO4QVr~ANZy5N7AI)AVXUiQiBCyr_3{{cE246 z+oUSAzX0Yxwkinu8b-_Nt_NiYR=rjxcl8u?MbP^K3r^8^mx-#z1L!ZO5icBLRtKmx^TvejBf6qR#wxn z<6uP8PEmg5RLY!iQis%)fxXCGU;RHiR&B*_Tis#Q*{bnX0$ZK;DZq; z8cAQ2L5yG{>b_y`l6h?4qaur|Y^iLLRj2#AGb=|4ckSRD_a=b~}_K?0c8kBJmcOA}`XVYd<+t z^^fq~2(L)v5547M6d`y_7$FGhts#NONk|MTbYp>5O4E7uD;8P?SV$a;t1d&8wkCc4 za;1X01eNL#9v(>-&&#OuVEH;!_P@XI;I&HZZ;(v5Ehbc-G%-+9-(^6SQDM`}So9{| zRL-32U&DE_2s{A1&YEahr;aJxLgCyGWE!pjuZkaa=ySLwD-!>#8a=H%sC7uN=olJN zCgWDUZO-0dg&Ggv?MaPMB{y7*ex`nR7mjzE+t!p22WVzhspMC4xVpx5N|G z%mMv4uA)xXG>m`8TjcC3usqkNza60)>{$4IQIpk?qEn%ByNUol@=VR+Ezup5#2gJB z{DF_WPN@0R3e(;{NL;bz$x9MO-D*;J78ElAo$KW-w(;osvVnZGX0>ZKB_?NMDs5*5 zL@soX@n$vKmHyD$q!ti?h*1^ir{X2S^BT}h+h0TtR^Sx#L(8z+&%$)`{}pb9wAqD% zvS@w1c>+{-^1js-u2GDjM%&9D{D^~h=vpbH9Wxn|Vn8TtE7{!2IELwrC=w%pQt)mI zR$j^T_ctO2fw^P*4jWKdAVO$sjPrHUm?&aW5D5dK)BUQp??mxb*Jl=M&){A9LaSjW zF^UMQ3$X)v6aQ05v->`>SHG#I#R2XjE@!*m^N;vmCK_ykIs=re`zb+sAmB0ycJISH z!KI)Y-T9rD!2cMtDYAg$En~*>}!;%o7uQp%*YnlCMF3rW~LRZdX;sEoRn0$l0fADPx zis<;)J=RZ79ty<6paAhZNjSsxwagCjl)m4X4dx5F0=Rt-I2+ZswxI~EnmpJ0w?@u- z&oM&lWQ4-1lUsC9H5n03539cAvK8OkmX0*<-_AnKesc!P==o*R%zK7sBO5bZ8KjDJ zES%yaVgcU)nHf9$s}T1l{Wo3%6)mXp|2dkNc%HULglaZ9{UGZeHkVsl;nP3Kv*J3Q zJLhTY?fFbbjaGh?3tgktaFTcqdPR8Y>}gJ7tWysdN#AQfuUTqpkn;2hSzd1hM*J|p zSGYgW8b+{M1$*11Vv+i*Jz<{c&OdCo?4PxFn?!o-jZ$M|9usHLf1%7f`6%vw#naGJ ztgNvFLCLot9+fxFyDoCu6e{F7#wmx!!hpvpA;|C+uEF&Nt4l0q`;>{=PjYp(I&{*5 z++^g3kS1&;+=?#tbplv<$T-Aj%ZZ#PNa1spGT(GD!NVP6pwk(- z1JwxW#Bc+oy(yb@Osidh#6J~z_G%FT_rmM15XEp-5?l0iemjJbwdP6;S};1put>Q@ z_+WMHi8s@sWF1ZPl?uj`pBRWeD$8o{cGZr)h&5W&Zu-yK1yVedbW9|Pw(wK#92$67 zk^lL=Z)n0KkKT56!Cc747SKCd%CA~cuehXm4o5UEOpP*-!rC(nc0NK+cs`RAQZveR zzr@3AUnX;|6-*4@@32q}}k29*bwPJ2U%8(@U!s@JM6q)68c?K4QG1 z#_rRZZWk~HTareMc{sUp)%ape=;kYY(RU~z{lTQJ(vVFm2k7c{6$Xeu9h8%;Zt4@* z+`sRo7|gN@)xH+fh9yQfDO*6EI-O93JjJSz^R&M5Z~bV2DHf~h^6AFQ?3vpFxA2X~ z>lFax(ydrDzVDAc;h)@1<=aifOd2$TFEkwSzRL&Pqnbql<4HDEEF$~<0B?<*UWJp+ zWUeERINs#l-QW3RZ&zi7%&Xta1Nx-t13oHmRu+Sl4=?ph3&OY%Y0TJ zGS!TAfDCX^Wq{Q3hk2ojAU!$HAFcZBhCC@6zpI(xTiT>utLzTeM$}<`azo|5A zb#x0{Gx}oY>A9G6aNiN~>3Y?2s?Vc*0*IoL<)<+IM7Ip|wVmrU3ZGb{B+xnA7O(>;@;{ZdVxcQERhkGba@FZ<4XZRPyaG%)~yNF#K?pGsqhSNuTnm4QhvkH?lHxT9oO*_7ge%;r<9d{O2D&gQvG{T~)Sxcwh6rPdz)q z3Q4WW8|A&+^Sgn&LbpQS9(O4K+2@~lCzi`IVNU!rn-jqKNjI*Ci5wt>WH}?NET2M<-*j^yhbNl^%J3QTVqN zJQUH*-^^g1(MNTlr>Hz_F_(=W5Fx8&yt4Z+`O~9?nT*Zi80T#p#l6|FngtU^TTqq$ z#(8aWYI=l21hDSyM!b~Vw1m_d-tgqr!fV|01}`Nq1|081A->r32}wxVH@$X*ih#Bu z^_D;-e8c+)J%LG z=LEgJ@`CmJtD~q7Sb49Cma(7wmgthv{50qSX$y?4jmvans&sRh1+eERfaV4KLESl~WTVgTRd7(9HsjFVfA};B@DE0zy)pG-w)~4o&!ETsAvSEDMaCK8`09z)h;eO>x=$dQ7{4WNvpkP0vj;^E^ z5-#T~0hxjIw;z{%efkPuE0^S&egbz-e3(o5<2y?+y+Zcm*`d|sJ~ZkDMkjj(#@m=V{m zaChUvjmCzxYsLT$H^R+sg%-rPw)L}j;$+j2(5|<&;>A>JwLYTC{iUuby}TP}fC)1c z9D47}r;g@=yE8s0x>6M_7L{KbQcfx9bHb}C)!WX}*iI8!oyI!y+H_N)qH z5i_yeeP_gF1T4@=2RpM0T;Xr%wDTTicUe~3>MT$v3{Y$rU6g0@zjNa9)%-pHwW7%? z%9hV9W0z#xg@#mDxD1UGG7r?Igb5rTRImlui(QkXI(65FIO+0t{9l@OJq9<@FhuK@ z#Y%z2t|@W_t<6`;$la8Jj}8xE$TpBe8*KuZU7!w;z74Qw`qZoB`2Pgb-Hmqjl1!T5 zNhtX`@Vm59JNpY`PjYx1*Fkl>K`*;WOS$vDNey8|(o)s?dj7;P-u8Z1R{T8@k2=Al zme=Rw;N%Nzda>VVot<`!Ys1a@F9{$P74Pjbhp~7)S%W4ha6}rN%%Do}b|d39SQt}QoH6vkr4BWpE^o1Xe=}-%3&>Fh#r<5nwp*eI z4EDU>yvWoIZR#>y2Lk03m#+kyU~!!n(d|a6y=yK`V$7G|1{CoPDBSzdtA$yYxVcNlnsF3BrP{z zb}fDSVo~P%)_qH2>n-3!1ugtd{o=tn|1dbJcHcy)Ct|R(ioCU#V&&G3XqrVG5PgLo;rq|?HRA0-st7g zR0a(OEi)He%)|>I5T?k3K|usa6t_8gXd~xJ!CB1Iu8e3D?dBh! z=#ptO7_6f;e`L{TDiJmKg5p?opk8!5x_66kS#a(&zhNrce@!onv6Ne(x#TusqABqe zp1>>-oNKReDny10Lm?hNqwaOGg{iLFuPGrv&pBj$OH=KvHRfOSx~13KtVr|-Zoh5) zmsaPv)}=nBzWyz)$dhZkm%hz2u)gU>J!<85sYW}yAO>h?V67X}TYV~y zV^`e*e)^L&yW9>6pP$7>7iO}+mq3P~Cn7Jsx5vEUb-BWBIpl)isi$~@4?zx=?5M7> zB^kQ0`UX|ro1YCdwOJ;4jQ>FhNnw{9V}=>F{mcd@2q)tyPO0uiQnEMhX9AW8eXCXc zSObaMZ{b@b6!Yq`{0-hr^Y*2$On?G@^-mWa=gKO@ya?oXz6AuZIy?-6b>;2n^!SyC z@y+mxNZM#1tX{Y^q$vbkhvggVncV7ntFV( zDqR20-nRODBPe3B)SBlS2;T477i27-oXiHc4`Mjj3&j26l?O~%eYodcH~%@Gocbaf z(#DW&aZG5>M>z^L&Gm2ixhI4q!1^&e_CdyL`wrof_%eA`ODgG&YVKQ;ZW=q_?HO*3 z(=xT>V z)gvXWrBtM(iRzP@S<7Au038H4q&RMAUBKZkp@__ivDd^KaG1*lzJRM+9DfWKNtKve zqhGP|;>Xg&Cajkq0Xcul{?CD2HnB0pW*wnZZ;!L8gL_!;huJzPn^7I@3oxNuaG{os z{}GLg!fWDnO)85*JU8QpVj}KCO+4_9%y&h1cQDVt0|J->Iz`w%Ds} zN;Cnmws2#dHVaN7%Ad?Qb!^068*rc3Ud~LXrH@lGixPp~WK7A>*;^NG03u>Ea}8$d zN=ako%fjA8U(9=N$E6=yYkslR5W64)7jv->;%VAG`dV2x5Pj4~zUPMOX;YVFZa>HZ zntzE8Iyb+{em)V#XHM451U+}E#m(vpwOfApSovj)Bay7Jh zvzJ?h)}8jxQos%n7DeP%FKr3QtCvmaS?^=QxnfacVHmE&tUfz2TY(u#5FeX~NFDY6 zhZpIx$KQNMJJ9r@Gch%3_cruL{ZyFfw(*m!u6F9(wk8s)K{dR3epC#^c`#8-zBm%%li&)uv+JAf}t4R^Oz@pndf$6jgUIB zQ1pLY_iH^XeJpKN@17H0`aJavAF0(3hap(%&Wn*cHDVsgv64!2-m$+!bDM4=hhLk^ z*+JwhV!k|Ca!QLu5&VC4Q&^XzQbLc>sLUgI*xl_nfWEh9nqzC82nDapR5?eN2%K!F z6thcjIjxztt(!&ZqWToTY6_NsW|lZHyeTgfddc)DoiHFhkDmz3MtkfZ2B_VnG z9(eXn>BZyWmms4=tkIE{h})pNKf=fOv4k`eY*K@WYkVTIh1*xi@c5s84IxOdy_WoP z9k7NPlswtPQQSH-;HRY#GYYv4h0Q$QnpN1JdM%~DLnrkFy^JLuD)2L%!o2-`-FdP- zq+5=#ps||m=*glqMw1xe(JGma?Jp-b6@2_Xp7u<#y0L%wJ${AuyzJt)9N*WKK@Hl* zTr)ctDI!((q45`~u3?-?Li^j~8i7#q#hfEwc$5%-9YvP@7S1UP^-A(z?E#Q+D_>m*N{5i_C`i9jEWp+)oi@Z15nxT8H0h6(oKI!$egotdfeZoK!7*l!=u4nuc~ zcDab3@ac>XrdH3ee-#+~Rz^f*@|zaH2eh#v33d#RZP)KI0gHsNqYND$8Z0V3hWhx6 z?O+I__hRD*b8X?67&urG>i#U7DQ9?Uvk#}i85MiMCa*)>Z~XKRPN*)_sXWAIj+EEbj5asEWpyt zKLvd*h^tk-sgxya?5xF7kZIaRZ!!kXv!|YhUqCiK`v_6D`dJO{8Cxuy!N~6AOGi36 zlOx`7M}oy+UB)$t$w#2knZ|+9-s@;Rc?{T)Y|uC*lVMr^+^kahDZnCDgCH6G&Ql67 z-)#~c3G?QbEHH|HjnyYU9Dd!OcKFBa90a*}NXy9{9`p%VtI5#)Ce?*_ z{6A7r-t&Gm+Wpm?hfD`)C;RN56l}%sGdTlv(U8OK>AMY*CLip!Z!g4Fw#_FMF8i&z z81Hr-GNHb@s?V_lNr#paQmL7Y&i}Rb<_BbshPy?OpN!$&PL6ZPtsXZ?Rzq>Ts%#8h z+3dbFl+jdrxqLyuEIlVg6Yz@`fl&j&@6wVALlSE{gVfgPN`-xGx%!K-rr5MKvS(++1nY!q$2~H#gug+X`ZOKJcb2$VwgPJu+JEGfEaZ3ODDJR zP@g`rk9}tEW$^h^n+zP^?FeG~rr_FLItyYqa0oKu(#b>HRb21oz?8TpM7`6tAbNvN z51;WoCC^6vHVPcWO0+GId@(Hfn+R6{NmH~r!}(phU`A9kS#9+thU%__;0-|+Jxe8_ zK_T`Biyi?x*X#oss|a46u9oI{{v&e^rY^~ElwCkA0nb(EO> z9WJkpUwZe7fXGv~kEsP%)s%XalGRVjrFwCCJbzl=ezRi;UHY~j%KHMAM$3u=yKfpv z#NN&|wv1w(S*TTM=zqqTcLJ{7BggD1D1I^$rB@zbOY6GHkl%S8;d`iZjMpFP219aM zfL9*OZtlf!;hxW4V~$Mh-w2N8)dM@3V7%xR6|PENO~8ryw|!C*7IX}Xy3_^S;^|Ia zCxc$R2Hpxq45A6EZE0R+V)Apdty5U?<#va!+cSP>Fvo>y4o9qd_kF^D$#8Lq9k=3I zCy>_DvoxxTbb)P1;}RG~BrQ2tiaTb1^m_G~NpyF0Qq;N9Pn>#li6VV`tblQEkpe=F zg6f$!`71Vt0GDx%`11=_5?Lg89NFxvdNtI&i!E-x@7jBvg1c!lS5g3jt}J;(Y3{D$ ztjU}t!9Z%!+$1BOo7NV9;j{n!nZM9G9DtgoJbjwS9}LoEs!Xs>03LVpV|`V(S2|H! z;FzQz0muP8*~YZLS^#Pk0B9kGDmg4y4(b$ryRX{%A_*ySlyarQIHiPSP4V=9u7c_@ z1$vEn^Gn(r4U$oOZ<=*KDVIW|x^%Y?GAY=pM?M~bPZEy*_(9u;B0=V^^BRp+pFikK zE!eeusJzQfxf$X2;Ol3FJ`wS_nmLEJd_YGayMOZ6Cnw%+MtJORHq>BH5?gw{zfeQv zOXf}ObzT!qgHIg#W}n6FwiBoY1Z*Tvqk!CBf#3Oi?|6TPrWhKgB^4x1ek&|5CH6~E z1Ym-)6hCX{u4fZBNlpQy8+%JE4M*!YIQaHdx&1he*tWwn9 zNM3Vv%iVS^?q`umK(OgQaSt~eFQNxH^$-lN95e}{9ra)os_mE`&KDpu{buKmDgzBzKW+I$D0@d3&o81 zxV>fZW!k^~oS^zP(verR+|{1BTkf?x>{$ft?px*)fWylFU|MQ?VRy-9jI{n()43YE z4m=NvKqv^}oK8lj^tvd>cZ(03%=xlo{RTsLP*>rTcY8iDz{w2H88(atr<7#Kw)Rhm zk-mr=(&xDEVuJLK+NIJH&oY@P8!=&vV<$yK=@ z=dVhQz**VVMe$vTH-_vc-wvEu=_u?8h#;{vjC5LW#a*rZ#$opoCItA#dW5i0ebm?E z@H5Z{ov?DyxPuYJ=6^ z8X&@RZv}WvK9epAl;>)XqeuDwC<~+c7`0rM`G%4HZzaM~150Vz!8g<54Qnq~E&8hZ zOT~m+^Y3)Yf_b=Djx-YNd;*%8NS2{O34c*KG(q#SFUmT6He8txCJ+?i;A+O9(#Z>g zeZ{8m*a*R!7!Jl>9KT*EEHA`|BDDt6I=zz$p0aragP=kPzR8J$P+5PLH|sm(EmZuG8EO5yO9b-c*_ zLe4nk$0M-3Ay!LabL@g0K~D?jzLIM{o;+>Lh!K4C-XI2eFtdu_JoI9vFY11wW^4ez zXWGARQi8O-%C=BiXV&wbxgVy*V`GdA`L?sxzez)zis*A(|G*%~Ex8HImo3W?Gu09S zi!|E}RpnWHnwV@~xqP&PxHD0(@dTVzWxDJYSk+)z_^1nsOTeUtmLlro*~bya`}Edq z7FUim;uF2S>t@VYi>lvah-c(da@@`b5WWl7+tqfI6RsNBI-cSwNwd<`^mo)P{K3#D3*pojrr*s2wdcI-HfFG*&u8rlmiG*w)BkcXcMiw8BzERI zxYT9|Fo?@w6Def8l|am+xp~yF>3kk%zOZbt`I@F!ILB5Y6PTS-@@iQGiMJEB)CwEc zI1j>^#jYi+eLzEJyI#1h?1&OgiTu)@Fh#$P@7NmA(nc{gxVNQSvBLU3oaN)weL0RQ zYbE1rg#Zg>Hd^+ldxy&Ww-5So`Z#jPlgE`rUBZ$9s_$!(>scG1+Ip(;N@KPpJ`(&vlj7{aW+jis=W z(}#+;XuUSX%oZ~NhINeR6UQ2mwNdM7lua_q?+5bT5_C%39KuaVGEID?0yHDLd+Ldj zSlw7Z+sU;I#i&4QY!=d{8S}f*-bS4C&;b_rht2Q4d@oYCQyR3#2PA%!&2XVkNqpdH zmWQdGNri}v4Wt1b_=bJ)>Xl3{(`)+L9O1|aHSxAj_c2Ir5>0_I#b57;ZCgQ4z= z-yI$QKbpQeu8r>by2ZT|io3hJyA;>pZh=zVy;zZA#odaA;8xrzuEE{CxI^FYe1GrX zJGcCl90LH`<4oXMfPz07XNoUwFO;*_acXD2{M6 z;875MnK2w-?pRd7uLHu9IuYKZ=)qN~si`@O#i z?8W~~V`tLelHQ&3&fL-w@De8=6=bc1ASH;Sb)KX!q&z+^ftgXyNm&@Jv^zD;7mIe@ z_s7SyhEB>Ol~RB(YzTuE6%wQ`a9F(5(Jo#8Bf{3t!+N@AhC=L0c!F@D4Yn=0eyh ztOxL97*%LC#fkTTet}jqQlXz9!vE-(N!+~-XKt|(AG~7xi9AvAlQPBe?hZOO7$840 z&XFnaYoQj+?Gl+8AYxvF_I&wws5*&bcD8bJl1GQjfHxALkfrsWH%a_bfh14?t?-91 zpGw1>FeNgLlg3h1jCNt?n-CBbIdjFE`DG`f&oI@PwvHjp`DVre*9Ted$1>0?D997_#h5d;;Fg!Pw9;jQ~ykWQgGtWX{1bKKUC-a zMgF8M?-K6m3e4%H!~OiQ{C~Y#LsmMz!4)F^;IqpYyd~cKM4)rz+%Eas@awLC-go5; zX$iIFU5LbnDZcJc59-{nu22GTsvG%g%(u!r4SI0lNx{FI4aSm;TxbwdXQL#%J*?vz zRr_JMmGF6h>Jn8pxx?ATRj!1e`zh_opVW0hYXn~NaO{9achSX*{*=a=+^(@^Hn|7Fz1 zw?l(bC|7E#-9g2VF0@aiYC7*5Vtrz{Js}XDHH&;6J`+I>{Mn(loB5{C^~8>KZ!8e! zv&j@R1Y6bHCG}eyXzMJQD={sNe`@pRdNeVFyZ!4Y+=Co)Lm8+;c_4@aA&j-%4#1*A zz6oTEI3(v~6zW?17o|R~pZFWpo-~7ZlceW+wYfIFQ*H;GG4S`i*6punQ%hXDkKf3g z{^)SG=xd|!DJfYWp(`v5-q1ruZ|QfwT7L6UL3*cABJaBy9JblznG|qiMv8uyDJFs< z{%MP%`yvUQFd47|GPr4w@R0Yh^0U>C7B3H+{Tr1MpWj!-`=l)=>xrW!dlfj&DkJ7h zy?QM_8AKEnGR|6|G>sXnyCUP+e>-#sF@jAlar1F}uvG{HJZ5}c{Y@H0%mz&b0$GCO zLXpn+Eswi_Xj6k*Gy^4TNZS9*AXVR3eWO%c)a@Zo`G(s+YJpX1ex@5OQ%AN;f6x=rW_r6{jZ`Xy^xiE$$&dmNKk1t)tF6L;y$y4=I~b z{*oVj+jdbx;N$KC{_4gw&t$m#T)TZD^QHneWyRK#9~{Q!7K|?9J(dr(Yxv7F+-;R^ zal$!2pPG6hoN?(}#^XU-Dve&vMtz%fBx;_FTEr-)fGP46gBS8W(IKG`SKo-XRHz`! z691FGb?F7Ttd{SvR`EVCP4SN=ih#0ghKO&F=utfZvDYL|6h|MT?A+2-`NHF+UFN9Y z7NjOw{*S@f`*#jTjIFT*l$&$L>@2KGPK#{j6c|2$BZ996v*61+%_u;;-}C+O?wR2> zXZ>Sq#0Y6~gz%pZLb}JjgBF}7)?_0&n_yOZ^8yX57pT4u;GIqSPcau{yUI;f{J~Xr zY&h8ZtvT=?_nPcxom;D*$6g;hnDS{3c)j4IY(f|J1$`WML3z^7JNf1B zq2cYv-uft|k4(QvvGNB8zYzNRWepkV@ax$UjbR&FjSSWU(h!;dJ*;>Bd#XaK?;`0z z*uUA<=Z0e*Z~3=hfhvhR4@Y5hKdh-znl7I$OgSF^O__=}Q793dLbnb`UsR(cR2j?9sNYzz&C$3o%pW zsjEM_^D2b9U}TVCF9}<+Y@>6B-;E?xsXYb3uwS@%2w1YH0ol;1PeQ=G)HXWnPm8Oi z=por)_*!ThWaZwS!|VkY$em{>#|rCkKaKY{|GEeLc3i>=DxL!p@$)IO7G!i{Z@nZY zF~egRFAf4FjC83bs-6VjeiN4SAnp9*w?#t|@|{4)!X#8y@)xoyjV?^aiM6GCXOeqW zQ(P{ym;d2crkt06J=#-9p*A*XwfVEYUz?B~yZ7E{Pe%S;0AbntPrdaP_G1lk=%Ed} z%V{`(P(V*FP8KDgANxibcspzsX`6eq#1Y6>vjj4}X;62C`oFQIehUM1lFi^OxogNGAU%56HDZjFwUAYZWb`7> zIJ$H=d_r*e0oc?cy5uiC!)2^%-Cu6OQPEvT0~yzw7eWNXJT!L5oAW1o%6NC2<23{r`B&Hoc&bf<>q`7^F68}=Qa2)d{a8o7OdLpfaNac-l-mz#> z&noW-z$2E{Ue?sfNx{M}XO9Sp2E1&unL?Fit$fl#NIda-ZzMLSbz;pXuVkDsR!HUi zt4CW3A^0Appn=ItBhjkdFrfB;)g8*_k8|n;)tQnoq@bL|&U{SXd*Rt&TI<1g4b-DY zQU0is%;U+cPamrA{mTE83_P>%Ak=90_f&cXCzd}@XlL(nlo4;|Yln(uA6g0cT0q^O zh+Mu%X@;VZDmzly5qF#><7q15z54E*#up`4-)Zxs;z?|)%Ho=6*!bC1zZhG-!#2G* zz*j?$mp{3(&}gc+E@4LbO9U}(;R6tji-1zRw@ zF|!WbpOc;xN_=$^SS(jv8Kw znyTw)zS{Ft@3Z2w{PRUs$tMyo=l#QArZ*Jp-x^)GY)w8!LQFDzw4GEKx=UCUDbWDl ziH3V!ozp!p1jKh@JLalC{zM|CWv}UcE3hupG8pO}->0sUDPhAQ2^Na6Qd9obj3d*!j8>90v8g3b6dDQfS854e>u=63n%2rqZbW;0 z@+gxp5PZsaXJX zN#tME&$$;be6?;6oTF(J6vaqr^xqq6Vgy>RApyDdV*#jci$Y^<3_NB3IzhZg3~>Xo zw>sc5p0kpRpoMZs<1&V+?n9TgYXQZtL-}i0aSaepmmLCch}`#6`ulqeI=-L^<^Dxq z!-K&9R&9aBYm!lnMv<6&KXfx&fUrj}u3smfLwi5ecdV*r8R@soMl$Z*2u`@vX0&C0 zaSW1~Ch}-JdZ*akiRrP>_&U?3NoKnEG8wnGOzNZAr{_sy$zs~T1!fMvc*kZqhSpi?-A4^ubGd524}PvK*Nf4IFOxrX(}*c{K%+XI^?d{;r|AH8{l zTrF%4Y8r~xMsYrl+f=M=L;MwHd(~%5G;P*@77<<_L<}1`y;Gw^FF4+(oOK!)I(`?x zLHzN9T_2VYRw&Wz{a|n-O-vt8?Y5VdrR2+p#6~UHbEFTKga`a*~?r{Gw#NFK5TG-xf_F zVB-UQLocuC=|jpSY5NJ4iyI%Tcb>p8zXfUWt1wriewSTtKQ=^_Jx}4{AO3=JpXp*X zBhKoWI4N+PcLYvxlz?wN6vsNbJ-FmYdph|Ca6sm`9oc|Y?N6=liN_nu7PQX%ud^Jb zAUQa@omq+eT(!wTbr*ja4|etM_AB)`8UJlr_=?+}Scz3k<*Z~)#IOL#&t!5#HxjiG zV-oI-A_7EN>;}orApstUr)g{`V}T+3`Rhdl?TjB=6g zMwgiF13zz{5F4OeEuvwcRtx4_f67E!mF~*Wt0Ah5g|oU+*S+`AJC9;pL~nxrh`1)} z3Ds>{v~UZakj*A2hQYFLtiAoq;625k7Qx_d%(3|Ix7%IFtWiTN_$2g0SD=$nx$crE zKRbT{G(0Twb$RBMkLGJRrfwH#MBIXF>2wK31nbzV?ZY_+D(IrVmiAEjS4WmjcCGv@ z3n7D8^e6&0426j1yRupnCbdiM0p46$U?DGt(RlgB$P~6kmHwWE3D!1+-uw$$vlxp2M_o~-tND~P z)ku5grTpbuPt?};&3jv+oRTnaP|CB^o07RF`nn?t2eHs_xoWVbl>GR83YFyTnB!_` zu~@FI+#>+)Zhvpq5aOGjUPzMPaZAjDb?sg&0Gpz>C2F(|<(OLO)G3H%DHlF^V|DU4 zFV0*bbhBNi$*C`FT@&kM23EHHcW(go!lz3#ZhU%^%uQNdUSGLiU-?ui+kZXIpNwh` zYAiSt{*+9rEvD8MTvtkLufc&XQOHGjK0(FHgWNX;jYEE3tY%NuA9-(x{+EEvPg zJNxsj#&=@gVaer}Sf$md%ATqkytk+hB%gLGyK3uUg=_eQ++jIB zZ`b_(gWW{jS8{!p0)xZoRAOJY8hoo(tKfj;Ye-2wF*t{5oHQf-#3pd>#9Co+SQY{f zO%F&c*7R7n5em+&a0T?(72)JVI9eTOvaIGA2cFlc$8(mMrHmjK(r2R`Pj)uviHUv5 zNS$$wyEBe+LE`9-U!^Qqs#ndK*466m9(d;qAssCzBH-e_zAv3?BMwmj5sTWfKgi7g zeHq2(litbl-{+^54LFL~HicZ>uCW zn0n}x{gQO63pk5 z+WQYuS^iS3A7aeX&<|h;9SkP%4JF<#MYPG{GJ8a+Vscz3xY_b^&21C2~6zK~BKYd)HLRa}4=QYFZGIOB7F+9I5 zm9YXu_Mkl=`xY_EE$93@#nWlRa7aKG+=o zpmkG`Jd6CpOlO*08mzCV=BfA_kSw82&k$z-{#$SvCxahK0t)H$e zGpkw?=Zph9$=ByP#?$o5`%+`n#RN7kYJ%>R)gAN`m5l5Y zxKCS!F99Q>Jy2R01_u}W+PFv3_ZZ3&est~N_Z=)8X0LCl`2fe z!AU~z2$pNu9~>ZNBlAa{A&A9Xxh1;uC212UAvn88kA@#y?yRFo{QL=AC*6?!(I?%a z64(#Ky51j}gMgJ}kExr~+A^x?7A{$!*esN4-NL?;>2@rC{-rx7Pk`DdCDC35&+r!- zwt!>1pM4Qxo-sC6X*sq<5xw`d815w%x9MK}`mYZ*h1%wWXz%MnModldKZSs~@C4o8 zzt2C!1!SeSX%;s{ekjjOwhl(f!MGzaPc|sO5H!M&*d} zm4ZW5{4H(k>+JgSKX6jWIssZuxd%$yn6jrB+gr&3KSSJ@U)b7$SU9BXk94Zfz+>Cc z0Dt{xLe|;i>iKiUD{-PAj(#?wE~kxyH0nYZF$C#mo4U*Ot_6n|BS%w2%sd@`7>-&x zqA?h?LWttnpb4s&2*WB=QjrzS7k{S*x#CKR%vU1R*h{CBONur`Up*xb0ho_NgUcGV z{9&yDDRcFJ(mdYaul?doAG6LhnjcdtdH=Ru=&+D35bKHPCr8$q=zZV$#U7AR5ZC$o zIcJg7K!W6$5ih-L?3?CLey|Efg($Wgs&*RUf9Lk-U)iUwJ*mEn4|%Et*kq1N84o&60k}#zXC-RQ zV_pw1rmY%WBr+sn`-8{+FwT3ZHtqbNSFF|3x1G}vI&${x9Y*V5_;D=UG!5*XP9KHW zS2V}PU^+e@qhOzmhVY_Ioomsbezr)mEmSyD&a~e;38Kw=%JF@7^ z%+m->5>ro*&2Jcr7_9E#IzM!xjhyTO;zyZnrnB63{qTGs^v8!H65H~_^B2JW6>MS} za-THb%{g~nYdZjk4+oc|OcN*jK5lDP`TWe39YTni@~Rzrs!>TuA63bh`7u0B9uR(e z8bSULoYmYsat&mavpZCxn{bleJBuMA6_Yb8`R9agYU`neBkdn4P~iA}EhvqNAlVr| z6%aE~pnl2Eut>r;@0~%C;oLxhUH@)r>{bdyJdD4KpP&;j;08#w;6y@qaMR(6fF!LA ziT`&VL350kL9R7~kd5dz85bid(TV!IY(dZn2Z5>Tl&{e5y_aWW6ykb#34S3+}p#eZRtLRQmT|KN5z z3xS%ye}#fb8cmOnjWYJ`ZmX-R#{003XYV8=b!4 zxFL|i6dpU*d#;-b@|(Vee7cT0f_td$Ots4CLru&Q_G}S(tC^ghsBw4F_T$pnz$*Q5 z#fWhe-NEx9)%G+b-IO3zq>UMJl<9@mfFxDvlN}ZWN?&~Df5|;||D5DVNvm{BE( z(rV`6>(5&VD0z1bejCNn!YY(`2}ce4ozcBk^~|*KpVu6`(858BcF`D`2QL*}wS9C8 zPJ3G2$yN(Ak`Qv7ArqtYYbeN$|4M)SCE*5a@UZc5Zp^~|G|KwX^iarf%(g?m9n5tXjRN}3ggtAwzwD9%@Yr$D&mxN$LJ75qHocQ zkCqzHLaWj}adbn8jxcYCVQ=SIM?9|o-wyVAUO--8n0)a^q#7E76PlBn27z_od zY$D*`*uD1UW9OWu#Lc;{TlxXi3;YJ}3N4seHyZMBXo<@^ihRV$V8!*du4AVeO#1}M zM6PJXXp{M2dRhQ-C>28bkv1v^kD$mA6=CV2F>c5fA1t^WFUUQBI*wR&lOWWcc{Tb3{H9;NhMeDWaT5^>=xx0Kh zP9!mAM`nZYD6MkGSPgsqHCX2bI>+-|v?iIvHyh^^E)%?9@1YE6ya6Ht|F8we;VE4yE_rzc?Nv$y}bR zf;edMDFx~*Ij+UagYZ30S&&^1WIimv{*$n-^z5mgHp4q|?B&K6&F01*Sl)huLu49A z`$+bkqUd*W%`PEYpz3ZdpDlZuGmpnwjq4<)xS3T2mwg+8R57v&_Z>3nE?4RoWqcSZ z(%N|HOAL3WaMmaC4#?vIw2h9m047DG2!+?=C_!V{vVLF_$t`8V(1C+iUb@7+(qJlA zr@Bdywh_x`w70T5d6LFDP2v3~_buQ|^NeBGh#x-UHvX3|pcQ;+=wZdDy+Bn~+*b#n zJ60f63Q)_)Lnt-e)$>J9QDEQnAXRt*lq1tqQ0B*DiyFWJYc0$R7Vw}Jx)!x(Jhfd~ z1z+|zIlLi$Ehlw71=g;AOon=ShwzfWs#)QME3Iu8&=gzQz)6$bbHnex{BeUlt_%tbf7x%l*?t7z+5l){Ctca43E>jninfU?$82HZZb50Md{`5vb;q z?8SU*O1x1?8ajvMjL@4}jHF6pAH#hzYpK=@8_|Ce!3=GQ5$v6A(R7o7 zhvU8&!eM}Y1YLItVaH1^g5zEe`2l2;S2wkNuG#0TM@^4||Ef>wD)rNpUdWMtL(9oW zm`oGW@{!j;4y9C*FT@D-M+GCsUkpV&c{nr9A%g^GI1D2#qcc`wzx_bHBjlH=6qDe~ z3A*$&t(?K?cz4Dhw7@yNDr9~DU=0wX%D#|qF*&TgZ7>CS9)yC`(7OMGt_FVx#YmI6 z@CLO|(vw7z{vW|)vFWnfl1jZtj!!}!{-)m+03`a!8ILB%dOK>^)Rq^~!$|H)n|%Nv zuwKXLOUZcVNjV`{KRD8--fr4TRTdyU*(D9Som3!9vAN}^q)M{Ro&4xRcUA|P@hk0b z>q|Qe;cNM;vAf+599xGJ&DZ!GxetoP(DZXY)imN*vs$5SaCs1F06}S996Ia|{ ziaKLJNWx}y=Ct!S?{BIw$x80wIsUGgHOYLcPHeaTRRWH$C$*u zFpBR!GcX)&M_z2;IU|m}GPH1LfR7yV9@QpGR`^c-!+T;EtchQRu;srEeP~ncv$#L( z+xU~@B8z(03R`V$MHPe2!$aZI3$2n01_|v~l+?HWD+NiXk_7G-e8n}@Ufb!%QP$ZU zv!ZaVAL9^s6Z8HX^ZH{L&bA3d7bJCjsllNTO~G$qqQ-QNN4=D#GVaD zA;(p8B{qVE%1CS~{%wmX;&C=I={8q4&50VvkCE60*Z@uL?8Zf%ESohz$aEY(wSWA> zVvDB59-a{$^R{LOv@jfy4X!jWGLfea$C}I?yqEmIhy7rv?X^2+X2Haxmop5v3eQ{n z2CqP&vbcJ64OR*QlOKQ!J;GAjJ}m^dO2)RumyiVo<&+Y0ruq3-O7t2~MaXTHftep% z(?2$uLrcbCBr3<fXQV72lzikdb3RLFCBVfnBdUK3TVN>uBeK3aO5|Y|b>^ z4{?RFi^ow@%Zl4UI?CflD?1-?5S>lnrgPMCJa z72+irl*P#rFC&2&S@WipBR){O>(WcREUdDRhJCKESTvcuDqy|0tNRZpf(9Voenea7 z(GJs=E)qca!35&lpu!F3#i@m(*;LA8+@rrmv*E|J>s02yzo(}D_oKY5zA_7@!_!0! zAZmNg1#W|n`rTa0G92^Yii5}Q1-UvdH@8MBt#5s-b+wH-WdA8%uKEh}RU-n~lY}R3 zfRJ%3xekZL3U5_**~QN`xqT?xp<$`p+nGaEl|+HkKudxO|87u z=k=Mx@GBX_DC-NjMo21J*8_=iL}VWL8Oi;jQELFVI}waHQRGQmh2GTd;{tXj=IlOa8fDv%Hx?e~dTQ{=i}H{Yc>J-QRz&Ot37h zV-QGp4cvk%nr@c+``THobq^`@U^2X?R4D*wSf)m_E08L89ZA4PQ2R}!Mx_fZbD<_=J44$8DnVv-;*GV|#Mvp- z?DVL7ct%mZglgkVpY4_PVhGEElT@q-lgPf3Fo-^v!K8Yjy@UgG# zm=@qPw8{w=JsyA#u@olY}f;08mY*uRf-nbsiA*afdj=E$%t-`Z3Q$xn$#dmv71M0Ky< zFZ+yOQ>$YSWHakpB$n`|W*T^_-0}r})yVqOF5kH-@ecAvefhL>^)cFh9s*TRu3U{) zBB@A1pu6uAuKYna%p!OA$Bu{xfkgOzC8Br0UXtGqt@39n9r2aOhk@=&x~L92G-#k~ z-e8dk4-TaZp|FMECw1y(jkH=*&3+$1E1!>}cZ8S%BL_Gp3$2Fu48%Qb zKh!|{bx-^8f{(qcmdTd^c{@f|94{xMWK77(6}>35?Itg1N#h8;ha z?P1CM3)T^TQ1DZdi<%NvLD%n>jADgO){9fMk_!VTPDxIt(sB(*{9r z))|70bHXYq+1!^19mY(V$^$@cPvM>T=DQaVDXY_Hdx`{vuR09Xa;?G(Dsz{rs^*2(U8^`X{Le< z&Hg6AU@yfBl(tU)`op4$l9}$6oV;=TnaU=go~Bes=y?Mjur|=t^Rjsk{>kT-?T3(% zA^ZZzl!Lx5m#YdB!s!2Lb}JhT-)rIMn`^@JNBA1;VhPsApHW5r!NtR8fIpgjKE38a zvIIY$9yS*2W`8{FYTvFyb3XMnbzLj57I_V}aV4&cHG>A9s7KLpwo3Cij=xPBi8ef#Z+9J{c zV_iYD)LHMH;MlQKHf3C1!T>DYvZ=+Z*2ixQDNr6qt#hF#optSPB9vfYFl?n$;8pw% zCzMr$HQBlE+0BAQb6?C|s4h|$iX_xiR4XR8oBQ9(nB@s2r?VTd-YpjFvBdz2*8+%< zM9k=VAa9HjJHgbKVX%Ea;-N;F94K1#=4C8rc$T#R5?u+bZ8il;VoC8KQwpf`9S?6VdHrT zZE8SAz=W<3FdApRA{^PWfC9^EKrc6}xF)SZf<>~jczO1iKxh(VkelYwGP||_t3qL$|eVjuOHI*J9}Ji)N~UV8~)zFTESS7ooJb0NsHHKu)nr@%&Qc``RSwqV+p z5NqYo53?>s47*{v0@+eu?|_wJf#tf`f2ke+gZaO)wi-0SJk{Vhkl4BX;2Uj$8rl{L zr(Y!-Cv0TL)*g>N zrA=7P`1D~X9dADJya6WWndz=>pMF5gpe5g16_mqV>V;~um&$;^tBNbUa@AnH;AysS zRef9;S^Y#khQJq=8Or&?v~m1s-j#tM^^nRH8#?M?rd?*_ok_ac#bZtk|MXmO8U?ujsoh6M zuD)5zNmlrKjTB>Ci`@UMM8<`DnhzS2TMYR^>h<4cG3#2ck{XVe+fjKJ*!p1G{YCgM zZSUMcRHEyR3aE)BGI$knVAW>eZ}0a&Dc(x+^hE7^h*Nu$C&)wv$6Q)zs|@-_*#G^5l_jEC8y-9uX+6V%p6` z%%v5t-b{G^V>@Z8^ozj-s#hWPK>}a4!eQ!%bk#Q2#umcUh`X^gje$FXK%_%ynr|X* z;y_5mlMVoh#|rixJ=birjbkseLNy z2bomuX`0fc+Qj~j3JSe_3n13Gu@a{pHF` z@C_!h6LBJ#xzw2oC_L8NjKq!Z@Os9PF>dQUTp+I(bo=~-QG=uN(~)hNq0xn!<;Pm@ zpzJzm>L`w8>jaGfS8tWmkkH`sQ`}=eBg-<1UpA3Zj5pVI2K-;?mX^rE*yO~@(MVax zEy9k|Ab-@u;b}XTNNRFd7G~p@njlC=gd5JRcLAl^$$@M>_Do||h+W5Ft^hp6AB4Z5 z27C++RdS%iiresbnOtwIZTicERM|?m}{b* zCNXrRL)FuYv6tL&vMd=6Eo6&LrcwG!AOuSNufJNnDO_Dj1cIkoy`(r| zr&@pM`FEpp#LULlk2D&9`e|uDp;Fg3Fsb`Gll4W+6WTG};@7hK-QLV&lmrVp{YDb^ zD`wXwC8{gPi7yh|2hC0>>yJlrEESQC%6CS;p6PDPQCCQ#*7@q~m&}d@dz>)Z(jB0s z_@P-17;VV{ck|-Ykz*|2c@#ytKSb)T6;DAqxaN>#DBeD4>?ZXC{(acE;yPEXVROuY zC?aA_nCqh9tCE+*&+37qlCY-uUYI=5=R8xO)w(I^ zGz?H`n++F&3$&*euV9R1j6CGqBEN&n3i$bGaNzKtkF1dt+774Z5=6%ORa9`tR#R>n zk(d@xIBe9+L~75VGn(L4+Hk7~c1uOl&59TiEFjk4LOb%z^|+hy8^J&<*u4<{nu(|3@@~^2ib8<-@1@kl@Sp zq1THlwd~!kf`3&tNij`oZcbC)kQ$WKoNRLMF$o;g3?ZTfOL&^PrP_YICE~(&vlhk$ z>vvp3eGct-&Zcs>!*K45eV3f?m-+GRDC_2$gb>a%lv9_%;t;tUjzg|%p2D%UkZz}< z5;#l3R%d&7BnnQ>L0mtixl;I!18KZSD45S;0m|7r5!RU+#FJ&tbSeU?s!@f?au7B! z-(yy&qs!s%A#|*V4LF-LDBsuoWP?cP znvhcMfbqpX=nbMXEZXj-4a}>N9hmqRXU67KZQb)qliJ^~`zf*pK_LGSgS+$eh{fT* zzzoXI-VLcDzvD_s{ADag@vMJnpN~$+2((1kpHDZ>#F^Ba3kJ|LH}wG+KYP?}A1y?! zt-EwW+d_GgM;sX58<~S18HGpe28uB_hH`Lt?M$zt0Ty5iY~jgugQ=rG<86A0$gCT+ zcak8@ZAfW4|8gE=0wdkDNu;~F>Td`ppE8H=qM1~>&%&b}GbZzN0G=0@1hgG;x7O7m z!k9h+EWA}bf}1>qK{WgeR55t~y1}&aOo7&^(I-*#(r9u9r!aYhBFIFd3Wh*sGWTyj zlE);qeOvvN0gYz6%9&ew41-ctTGYF1g>!Xv>L@~cdb62WUw}i8LLd20KF0I#_J91E zvHe<4;bF0U873|pg5yAF?=E&C$5gp&PQVZJ@>(MJ_Br>AA41xa7o}K;x*{E_4&!}! zL=qK3A!cnIkc<{+GVn5b4bHC|pKdC6vvXZMgt>D+=A7@CKjHF>+*dj%Z~V+#F`e19(McW~wkFWm`2 z2cI>wt=KI6GmZhM6{NMqCmLc>z_PFk)H@8f)#UgF@qB+QOIvvJ2-V@L-%#?m8Pt{+ zTi;YqDyhiTKqi^9ebtmD9=^XT4oYC3GeXw;0&T3LlT6ulK$nCOAW!H!IBPW^vP3pF zQ4+zEJD2wI7-fAH9`R{H7i~Cr)xmDa4vt6!rfSaMsf^+L$?|S>vo9SwNIicSvteJ4 zl^aarD}ze{*;z4|2xG&f;=EGx^-gL!Qy$+QL}g`Y`KK-Y^)I_|^~S<<|Ac6PUnK{@ zZcc@g{g^{D6ZP?vR1?yZwVveNgS+ag+Xo6Nx)pjXW@#oqzN-M7;`b6iBtW|cp@GUp zjk$Kjj7>%NBt$`8S+Jbsz3$aR+kmg+ZcWMoVe<3&(7mM#`-u6(JS+`*nDtOX zI7Y)R>Dw;D5D2Ug7D}Bqw!>nLzj80cS{?xIGKZFx%8~cjwn^X}tn>1(Knd~%5L(XD zNTLF~=}ozF7mj2RZoKI7C4{GW@B$zlAnoexmh~CjB1a_?goQg%_Hn{aYCWWvDi+k7 zo+q#_U?DF*7Y+zG9k%R(@`YypW%P1&Jr2(oYU4DBWIe{qCl+kGb0_(p40Co<7E9>v z7sv`T?Ul-Xl{5H?FNC;%bA@Isb||*m$)RoiD`TR<;82pevw(`(5IM2qqeqFCHQljs z6Cs;l*3-Ca&i7mmXiG{Yq!J{_ujTFJE@J7^!I4zGXwy;5aupf3gf0BogdVQ#Vx}dY zIge9Jd;3yYHY_^PViUYQRlb3&JyCZB%!Qr?(!i3b@U>zotEB`X%RqdyWWyr?gR!8J zV}P1mA*Q4Ursz1;&6Y?vR=o=)xa_ePZz-K1Y~Fz1a?It28J`5G5Cg2Sz9;!s>?oN$ z`Zam~AJTx;smT>zQ;QSJ83|k5efvZA$%GqjafX z|Ff)ilt;>$?&v@Ze_otd>D{+?tVH0ZWc1`F{PsTyx&mAAW{CTzp&<)v099cLW-8cV>)_}$n zM%&g8d9zJ&L6Bb%<6S#P9QEjg#|b2CyLlGd#l`+`1l_x!ie*)47WI!Yr!5b-I8zIi z|GM?j^a4raChf=yXdWd~?qb>%lOS9qSysF8Rw1Mi=Xb|_yTuvw$3bc%#V3O{x%9^q z+a3C+##&)J24lyb@~k$?sCu1h=3YbHN8=&eSBJ$AjA-%StXcJSShZ(`kQ~G7VEXT$ zAh^`+>M0g?-zrR~hHFb0w@w-~sv`N#j($%_XNkqpcHc7U_(}lCEhkA3*6BxTp2X*> zu&o4&J@g@L^IweY^m^1MKaRIBQG9tnEoj`z71bxg~M|b$xO4B&dT@CtVRNdSi*>ciKdP;dv zRJUVV!itOIF)h%YSq?;_bXPz$OyZ6_ex9;N==O0hB};v)g=vQ5)M`!xdDd#9Ndw~H z8LpCfkjrmf@)No-Z)zaQTFX<$*8P^`xUTN8Rta{kLQ*9P4KMm7*bTvst@+wM5-jH~ z@6rkX`fL`YxE6yZyM%4**P+_9Z;lY_CzM&pfvZ(&B@2;W9daVZYsli#tATu=5SWQW z8fYTQ#~F?I^9_~=JS)cADB0%Dma?)Z?2_EH=79~>rJYi!FKfhhanqj-I-|5CeKLS0acP@DN=CbyInB>c1RGo1pc8AXabs0}tF7=5Z$b;E?|}}l zhXr)5z{_XZ7=CkfPyeZ`*t+f&EuNDuvmM`XXtlMM zBMK*vs;_UQ;`}uKvV5<2s$F8x1Q|G2FuiA19 z;)_X?H>8|r!*o>4Blgs?q0YHuz?E;wf&7*Z6k|vcdP;PPam!igW#0JQS45oa;R%X* zQuaWEl2zRRLWuk+^?1jMIVq$l9EJnSl`FG=C-_N}+n0CQhQYxELKih!aL#f0<9z8q zTS&nfx~HEz)a{Dv2mm3YPSs3Je#FeM@^Ef0i^$d|eUOmEOLrLkmuW+UVW+^PLY}p? z?{r6Ad((KLDhSVN(9)jW{w$cb4?yb|%+AnMOwGTrPyOYE`@-rvdNaAw7f3JRUX zD?jM1K^S7OEGjZ!6Ow|-B{zJEe1=b~-0L!KdXV0r%5^Zrr! zmA*4IE4s%_Dkp|h$2nG%y1&K}xHP`FgUbe1Hqhk?fG*c5)ci<&H*4EKu6pFMscD{< zvGMi&oy{;SAdVD5N2)c-!jJtXsao9;!|MG^a;EhPiz1FGVaL5Msz_)_)Xe75;-K2b%iq}J`a?$*2X!N7oAvFsZ$#P% z_u9MNPix~yF}$S)Q@4`~cC`7c<1Q0qj5qn3yemD5L`kp+y-r3Qr^kS!=$5E%(`e2V zRro5hgX)JW53~ll0~vLxcGmx24pYfXCS$#B)~fD^bjxFPZx2v7iBb>>$HzE*@Db|J zzLb#p$^L%K_6M6AUkHN_<&L(M-?w`O{wlP!>Ho*nSI0%wMeQOb4blyQpWUc>WAy79i};EmLOV7&~~3e(*KS$)B8>Gw~s+Nuw$vM_`*B88%;G(L{XceqHuw zX9S=c%`NTxgjT=HO!bLY!E{bTl1Y9bMR{)S+1nqNE-xKaQu-TFGh7ME$6Ju$N==oo z`LF%?xAb~PiOl;ecS8&!)xB~;yUZup0U-WQaI`c2YR?rK!<1!eqmNX9dyXEJo;bQ^ z50@;x-nQh`^nQQ8rvT0Uv(}3hJvE6=PXG@SC-4Rnmka)tgFP`~7W3?8VqrJeIgFeM zNV3DSr*+@$pt+^@^w&^F@>WRaRNns2&Qqj0QaDb&U>YhW5mC8O;jlw@fZa@Fe2a~J z)oef?&R3V6bVAKk1xMj<1(}aA!3volZxEYHv7z=4XJ3OULm;vB(Ft-yyz)+zT4ZBR z5Oof0RD*wf>zPoX!)U62X{6;9eLsXRxFa&sT*O;9be2Kx&@MYnmr-V{wE-%K>Zj=YY=lQsqcvR8Rm-h}pk*5x z9Z=+6#5U{iX?)0JBNsr^T-+p>6`}?^8MsD-zyDZ$(rqEH#t<$6hZ0uT#0s&OA3#wI z!Cd^%*_cxjm=-FJf!}b5yW?Noc(`EGD8L-(GlDH9qwU8vE;UCjD_A6%T?AY$lLUc# zy2-?nS3|0Veqrh6?vGOAl*~s;HbCCWIRC{Cfg@DAatS#`;*x3A`|w`e)KvrXBEa0S zZ@4J)o3uwV=15WS@IgO+rz4nC&4qB}L=i~|^g=2Y9)EE7jK9K>t0=duYY>s-nEEvZ zQq-Z#GAfm}w9%XSnvEp(c5jGa-mc)-Cx0VQyay&;<{X-h!XdOvU3iuAT zy?6vRZ4;NyHs?Ml&vb{nrOhb*BHCPGOk4u)GW(#Yv(*G{P^sQelFNHjZ~_WY+Yw)p zd3%xoW^^W4@c;>$Y) zHOsUG;{xDwflP_o05XQ3y=6c0{QNq6i&9D;l^@sm)|d~NgTw4x&KQWe-)AsR3T2&( zpte05n{>fKMf)9uyGrFvUfM|cXe~K{HZGqiVrRdBrs~tshdSEbvrWi z5N&+yCTQjltI+K++q+^VR7So4P~+d9RMW*T+1`H!48Fq2-7A3tiMBt^o=~EIGty^pifGCoS1?HG`ZE6*QT#k34gZ$8Ba=Bp6@IzwS8TfNXE`C9dXuYpWEj`ur>h zbMHWRnH!*Ytn3wwNbm=dD+|unITY#{DVB)|sdn)nPnR&)2!?$3nJ=ehOdm8W+T3R> zpRlXCF$(6tW$8HvvfWsW0)1p$`D;KS@WHI~0PEOG$2+ufp-#w@+WfV%PvUe@7LzMg z+If^vb51vP2t=-Z26hGcbF09f>6;-lX+FvhD^G>sJ{hzCrMXgiEx_siGmnqFe z;V;97s%KhrN=TH(1~OWUtFOnW{yPk^1MxZ;t49qjlizxwZwL+pknD2&%hpU0k>Cnw zSab7c)2Ptc%D*1oBR8(Ugz2rZIj9D64)@8v0w>XRodM6P;L1SH=RSFSUOiLfMOuY?S_~?O<;>u$SuJ2*AtC`BQVBH}BvKzzO?1t2>^b^Z{Uoj~sI?Sto?u}z7gJV&LghM1T(yHLtD`-(f3vl*v*s5sI&Ty=%`w4|Hm zxc9%i8JR4L#;9ng0m zvZPDr*s450;&b05Y#GC^msUQ?wXs|J2WP*5slZcH=ee+ZgOV&^@Fu#FAfvQ|zy3!i z#j4ugK`EG6yv+=OWU?3J+CPH+bG8-FSVGZ8?n1KHKr0dg>zq$1nppW0&t(cnaS*QNuAjH>? zj7fO}Ri#rpt}LYq%$6Q@==dByVF8-Z@18cgME>_>r6PKL^Ib<^Pc8ZLXG*+*zrB@T zP%{zn`mI`Cc!hDf57K1q$v``#(?6@Y`S>!Pg<*8<4DalQb5Ngtdt^etkN;!c6)@g) zQvU2~64!+Dr-$N{*NQY@XM-^*=`|FY6Lr%&+_W|LQhnMJi5YSi|A-7>-VJ-T09T@+ z3sQ%Gy(+wM!zT&z!MrVqTduO4bkn?%TOFS{ski>IQpFL9HL_KsfMA(l^wCD-j0PVYQ` zovz0Ud#QD=!{MTrJ;>W0Eea%8Rhh9(Q+m%HDcshK1(Zv0XCOT=%-$uwjzE)(9ZJwZ z$d8us6ER&?taxub@D=HT2G>R=_`*|EFMa`hE_c5E@#)nrh<25v!KOn}y4f`L2YW@K`$p7dcblI79u9xM8MeF6 z=e#VUy;;pvLj$;3^xgQb316xJksL6~Q>*XoDU(U!VJ2(bLDRh@w?TjR)q0;gg@mOCZp0~!^EKZ64SoLGf4pH63b7N> z_J7NTq5>{igpS4PO?URMF(#4qJ@6FAnRB!dFpVmd#?+DbE>j8-%g^J8VLNu*se2}m z`fWgdJc9i*kW{`Zw+I16>XQ*!*`hrvT~+|9>=98n7xB;hsobuwWPcIh!jcl2KXqu* zmjmf`J%1I#1p&D|#O*8P6Fk>}v2RMO5S|v&$JEVSvAfEBr)2EmDd%!nl}x*HOzw>IqKjl*`dNukCySWBy(PjA?)`4|a^7}`$3C?@%JpJIU?^1#V3G9V z5=ZvIjFdc20VxAOJu{2zI?xvPdtzml|N~<0=_-=Oj!% z>ojD_?ICGX*=J~m_(HDp4=4(@%DRMz3-!61k4rlyo2+=#T82-)JzCOaF1Y;|s*_+4 zrC!%EFtbv{n*GB(kNXw4%FNs3S_JwJX9W*tM94eGK9vDeJaeCl_I9~j7}?zzIf9SW zu&<&iqppy%e0a=RCjPlkz@xUU1DV-rENnZf0tO^RX1QBB$M=#C(9GCb^&@QhRB3by zC1Xo`@FB^39&jPe@F8S5LO(^`_r2enhFq)2^M(}$*4sv81RPl;OgcKNKI;jbFAyS_|6?C z2W|0R{!S=%!V#qUYkaZ#s=Y+2t;fBmIAi!)?2yrCk9Jq1okVTJF_cNrZ%XL=disfO^JlFeK z3LHo|`BsJSM5ea(sQCD-5q@Yi}q)q zuH3Ta%W5@MCL(;wN!XPP=&_GrX6gh?NfZ}+Z0%?dpEi2yvuP+-D`HoO`0`izCAiK%-zU>)}Z z-|N_?;a<^7s|l50)?(PT22WtkU~*`*!FP{?G<|}2k#n@qRXvBHa(Qn_oVUD;<(&*J za$zGDU`8nU&A=HfmyqE_nRxVM_A=+X+~&C;Um z_x0EmIVMcT*W1M9hg5a42f9@%GonN^@Dj(Ivm6A!qcY*lPABU~^Cu*FSI4#8# zSwy=Og};0Aw2iofZw8ZpZ`#l7i-iY(+Ro_XJQGztcu4Pv!n*!CZ1V$-@jZ<&1=kGn zbhiF!HJsZ>SFx@BXI#zCxk>v6if}u;nr5|Z-o2sLsJ+=N59rgilWOXZTV`Wse)r>u zd#_($#t~-Ib*Sl5^Htj@5NPV-!fz3_o@ZQ3sn%s_E$SPP$n_b{WG(!Q^ z)Xu|K#^+@+y3@kAWfd?}{o{j9zFloGgirOk&%>;r8{*Ea`DwG_v>Tb}OHSg<3Ccou zyWRTcR$q;o4?zp?pV+Y-!S~%Q!elb!|7!?U9O8W98~}vkVu~H_&%CHp6F!{bpZa7| z^U|#a<`CecunbJSt$>2YKejViM|9L4Aq>&VAr?J|U!Tf^RjOhVmU1x@v$lUqr9t9;7Y#NV*r$0^5~~^+#bLQ(rKdCRJZdMC5mO*e)iJ z&G)ro&rhhZ$3tG{;+kPcNuqNenVuj=6cs5!Y%~1kdK@>FrYuMx>EjEP$?p`j< z5y(>3^xB3CpD_9-2Yv|%`w9v4Q+^@h7UdyGX*Y4!UVo(~0vaRC*cgo71phvX3%$V; zP{2arw!{^OL6TG29l3DTA5MRJ{=3v8gL?lX9Pz|A_!o>2T6yqKc~_9*bzm{0EihBi z_`y4(urfqs@&IY%GNvLqE;sLv2!Z3!)cU-{e@;%bz(hq@()M>r1tj=y7{St;|26|* zcSqxaEB1r{wWPPm0q>;_3P#qqpX?!jdJl6a$wxvEa-^!OCyb7_jR9jdo0dY;5@qr9=$h zq>MU|Hi@2$A>d4DBsr$`qLQK$!lt(goGR$5@@w0MUli;}Yq)P%&b~>X;}2oh>LW0u zZ`(@2(HF$~MPm2Yud|H$kw`V8nHJFF(mF&f>puHc!K60R;VyamKZUfbB#Fk$WDYb-+RUrY%VSucG>80w>3tRpd@ zuuynddy|1K)nDqKM6KMOG`+V0w#VJaqAN|b2(%?^T(a}J52yKsEQjr#|7Kn1sc~FgL(U%Bt%bgN-y9CtBz!a5< zwnI|d{VvgzQ`@W=3w6ql?f}7-0*wnRI^27>TM4(d7Ov!b^PAF+&YAwRFQjYs3AF~4 zpO*y&6qn* zGqDX}lL#pKGTLF|bWy(~=|;8k9VRwR)&3)0Ka{^qN&VIrEQJxm8}m#dkul}4R~kJ} zzBP4qSLWj`4is#z7juIctADc5GmTMKJaYVA?x#n=6KE&WK68GMtg>SvUc~W>8Dq!) zbK+|7bcjCZJAk)CM17OU{1vB?>2>e5BS^E$BF-1J6yP$`4M&0 zg?Qb|HvRNm8iqf1WbiOzl!1GHGm`t(lvvcTvqjqPo3N%x)#(Nez{;)uVbghnm$BS- zXB4t z41ftLRkY!8?~w~PHV>-97vnRe;)}g&vn;Fin;YPPM;-9Y3&I@HkcE}Rp-#<2YD#+>VyKM$!AeVV5`@=hkM9~*BSW10| zI;+n?3n9AKCI3GDNyC}GAm6%sbe%~8U>xmF)k)%Zfj?+cj54NX#^Y5dogEP&fG9XC zbk9N7+{42N_M+1yv0=5*Ay#LLd#*$@mgVO0%^RVW&cY$JgwZBDb^?yE002CrS1kwW zdn70ZC|H@%{F1>YAyoKS6d+GSDdrfo`$UBW#B&Z(L?l7OJ*ix1rONX5ZW-pj>{6io zKu+mPO5?_?ScG8yH8#9fi@oV*@|6@}{iiFd@OFNGz7(h9_Dg2K|^CK?>77BuR z`eX#vA0BBXHgQnSt%lLWzL51eNwwM+aP%^l+FS4GV}t609FD(sPUZi>C5$^jwDk2N5oIRe#gBmUk!86ccsrYY!X$BMTDcCvf=hCDk`4 zSYRMA$;|6I*bv@eLOBz3!#w~JI; zK=Y)1Ca_9qp0}dyI%}=C*c=i38%e`4X+Ap8-FN>^iA%)zn~N#CsIfERU|6ZQQy_Rqs0hykV+ zIqovyk=q^PgDJ@wu>jlnllBGdn*HsyFOnZSvx#*7ccZtw6BHwE zkh->_4yMslF(d<<^*fjwl~UZYn@ti!WPh)|8cqn7O zVEc^ECIQl}1a#9o_Qh9$Az1XxZDSz!_O9aMlw}QSm8|ezI*~j}xu#PsjY}?_F7AS0>aL*Dp&0_Z7klq1EMeO}MmqW}M@%?k2_mwV% zFNLvB?BrM5Sgi9XZiRQcBFklX@cCC$x93@%q5ztPH=CHK-{K?qm0OI${j@)Q5{OVr2kwbG`q&qyRF5;GQd@1?U{(qv#6p?@KxLD9vwMH7)1eOH#Nnfj>j<1YR zxu4ZrTjfsuA|}eS&2!P=&JEQ>b9FlbwWQo0*Qo_U2AjQ?gLO-AnW}2tw*diV%5WNB zQOB^u3H@Osh*W|h`O4*ns(X}Yu#6;Qa)1XD@fs8f`Ann01Dc6M;jrJ1PGnc>g7gIP zb=1x}o8E@+tpi$*qTs#=&Z4LV7nWwQ%|>dG^eS6R?a9t<@*cX~Yf7AHt{VL9X$vu9 zkLPcJthwO6v<9EYgk$caw7FKVc5EgR%v{m5Eb!4_3F*};9^8-BNw(Xq61R#(^GxI20Gg}NKQ zk&2u&O`9HMcZX4%7DQszY#W#^Byjs}x8+VQ{8&7K%sknXC^Va!XHcyY5zr;>xb9@~ zoyIFtsP+*0w%o{;sEf8|O`!I47C`d}G@p%8Dxo1Zlqn8M@DvfIPx0Ry?el8Ao6lbx0?j&!K zdmYtAP>J)H=~L}OS=lQJQc!CgY%PjLqWKsjIPjshY%o^yvZ?_W9Z<<&2=ABySl|^R zxv^d1B1xHiW-#Tv`>Sb#K-4Zcp~(}Rk7#=#&&W(6ClYaH_U2S*z+rTJX+yQC`=(*! zVjX+9SGIdyspqaQyzmczI*v2?1efVnHN4bhBQWctC-%Ss)rEIS~|5TfH`~aWkl46k_P-o0GKIU+>t#Y$SdXX)!!fVgl(Pf zOvwJsVl{#^kKgA|lQ5fOj>rb`tMCJj+Vh?bf(EAYqk9Y<(f#~9C0)<8zcHOW?6}iF|3yf19peFHdBSlAu8SB)% zCsb&H{+qYbEX3KY;3-!lkOj~p^XkNV%%Z6Z<@9;rO5`88$7lcf;u#R$)*VU$*JS_T z9q}G~NTC%BxQsnk(3{F9jqIuNuiUNaUd+^nPKd7H^omE~Jsp%c$0`@1Td8vORG;l< zgs3~TSiK?iI}lUA3vC*cpui!c;2nI`)TVlWxp?l=(TSm9Pnu7)jMH0|DMWT$ zkXo(jbNJz1#|Z1o6opI`^^)F96{y0*j!NHywlvw390uUW%~Qyt<*RHF#=&G8&r^!P zpBGtACXW9s60hmjFE~lS8Zei*;?Tdb+Y0*{KC8GY2dgZeH~?a#M@3WJUUbo3HwAeI zyJo&jxzfX6B%Bm{u@&pprsPb+TSK84+YV_N$t{l+DHW{2s6`7UDX4j>#$kPf%`eXm z)8Bm|qSS%;4dZFW>J}yM2SBbQUuf1-bsx>H$=jGOF8#l=#+f9SX1yT#(d@iD9>qjQ zlU?Hi0z3r;+rqp!i;jZbqJHxPytd37<_dP!<9%u}H|zo5bRQC60fxWM5@JD<3xzAkBBZ{wc&TqYc`s(DW6gMG(G7Te{WYqQ>_Pd| zZS-IqDAd1=tp&iu3ygh3Rd(GN1Abr{rJR3N5|huB`d)P&{KEj+Q-D+G1Qj>T5>U#N&)(+?C?u_{Dmvh>+HLH685S(3%lw+) zg5HF0@!#kDMb}doNfQ4yy(*d@>DC>0HLdSgLFSyhkKX8u0!T*ziD~jzN9=_@-%tYU_}>>cd| zVe8s>7hp`YUF`IU`-9kYIKUz?scUDkqaywK6JY9c9(6)vxy8xFD_30?Nc8YZoUpL9 zFs;0+0DohkAO%mPMKIfR+nV-Ai*pLrjMnE#RGzvnq~quLGAEv&t0lg!(Ir26%Un@+TalxySiCK81m-u>CMo^FGW)Z{uy#+fuES)hRf3@2Uxc->A2j8v5i42DeVG zhQqDGAGJ}1(`~7gv$j)WD{8jE%K={guDdr4%E9}cP@=3cUa=MCqQu}2(s)BCjf>*G zO?yfJ&Z-P`#zPn=oprcIbZFRkpCUc)L>p-8K}GE@64v6C+_UJ{t$M~*WG(_@_QMb8 z{qEkaAnp;I>8$c!adpJlkFisQxyBqI@>s4jp*<+6IgGFZRG_kpQo99G_zEU#xgrwV zRR<9r`sr9k{A4ICn#K*Ot-X$DX9!^50%&wJ<5gm-nhP}*$zD%5zy2iuUcc|suIS+5 z)M!NR8QDUz$F(L3-vQC>4E9Lx{$-z6(A;H8P*X&^u|zV_0pWjD%+&wFP;DF9%EAH` zZnyfa$GB>Ln?_JRb!{JIkhTig!WC(AA`qbk@_16}vZa5wM|K27a9D!09)G5tL2akT zrEL}EXCLku(@(bf`X%F6cO3x&VvXrc_^@ngh;Fwu7xy<%=MTIN?Ua@?IKD!L`gs;n z`Hp1OjhnaRZBXGr_wlokMC|6ir8>NhyH-M^FC|__?YEW~hq(aB7;@!LS%u%=(2)=g zICfDCzkh0p@NF-$C@kuJ_(DF%myp_j22_Q@fY0@=G>n}DAdxy9)5&|UB2=gFQF_3N zzbgNEsz*ZhGq(xg04}lCa1nkSr9Ky_v|!db$7fO&Oz_2~wJ&N_y%Lgw^gIRr>Es>i zG|FBOmY>R#h&oT8`oLO+rCRbZD~LQy7tipuujW;ua#(Kk`@iEG=>)qgX;uI&#nm8O z_Nu_esd_dnca`wi|gwrJ!@Gfrp0p0H$)j$>WSGVEc zGM1IE-XctD^y9Ol+t#D20!|I?Ptv)^PI`QiIF¨t|EjgT2LKT);kRXdYBMX9exh z6}h^$HDaeOnwgT8V62li2JS5d9H?zV)Y9pj6&PRoJEVAT8`jKxwhQ@UFFzXqmzl+m zB2Liyf>N!&RuF63dlka^f4}WTd}>|h{BC@+AhmIeZHGH7T7ZX1!@v_!ld1^QRJk&Q z(;nG>gx{2sW_ymd;7no5BKdy|6W{7w#Jp_f6l~StQ5^P@&F9%_<$qubgWITEoWK4} zd5WJseii1#d^-Ijo0>|wQaZt%MlgXl3u98LMcdnh@xNvofR}Dw(lI*R+Yl1(DUGN? zqecEG#F{4_QWf`t)HZAM5tRkeS^#0ddG~s#FzW0;x z2SMPZ$+AB@R)#uA^PN+9)$98DtLEEvc4*J>@L&+>7`JxI)Hx5x@;90$)yFD3L2Dat z7|h$105*h-dpOm`SoYt4SRACv(>vcUUNvfuIJC>8EdP9&6gDJ(eUk+a-TqLp>1wKW zREeF+YMBcq+9-1!8^0&~&rT_}VQ)qy$?-1hTmf&6e*CKcuR$rOMvGfB^GD9BY{-6A ztU@Ly%0(}_PG>|iH|gX6f$>0;{iAH_(fK%>&RC*)$ z3d(>{@KbWvwrEDJ$IHKQ$+Fo|2DX~nu;)nyfCci?FB%I!Jmb9QaoLdBo(8Je? zk($Z;nwWtn+^h;Ct2aXBvaDiq2fYLRR!7OZrGF2Z=>Wu}D-}%67b@f}F0Vs;$qR{! z6s|N*uE!I2?uvyU*SC>jVOh$6dO!R57M(X+zQh!iO7LIO<@_|gV+wTNaYg;#3m#Sz z%O5=|vz6;4h0grav722`wJ(CA9i_b*#E0q)iw+z^U3{j$CBDoX9k9;qpIK(*A|))J zD$$#@YQ@osgp4`PxDmH13pw`LpH+?vCfQ&Rx^mv^D`v9 zURd_WbU|)Lr^!5Q>xDE$Dix17l-o?iEN7B4=Ay(>L*T6BNcRaluTpWZHI;DWI-@Al zm%RGB;#}Qq)lPvVnZ`s}i@a1iC}Q-+OSWuNUe6MZfoU*;n^TcxljGwQak+xPIH`H8h-{6sqv-qIuso-!$5$DcyShM3%byBcf`$l=R(Ezn`mo}X=lo0=mm7k# z@`w|^g{0MHmp| zLHDJDiVKOBqIv_ED(A+raL1N9Ly4sVs2Yg$9k(qYrp}Ty!H|E@$DwwwG%O_7GiBDz zE%x50HwNJ5=lZiW4*ViBdi(57HxVp7gwv5|+Qcov)qHB&Lm2GIvt2QTH9D4(w})+O z`ZtU#*+7;+Z(SjQQ}IX!d{GTum*475m*}n$dKdW7DVp`x&I9HN{BT26$ebE5trfD4|C`DE+gP|B4^TB>r=#edx;kIo2X;q`IaJF%Z_Rtahq&w+*t*_L4m6NJktX9;@z3`LP==gYUUGT+3=^6JNxb=t|Le3;GLY1b9b zo4nvAfvtqWnM0-e74HhIHY`&kgN8Bo@QCrW-1ooog~8*twC;_b#d{nXyYv_bZj#n=CGo0MS2Cu0e z2^9vM0Etjp+v!agc?6{7IorI`z&~ST0~Xpfb6nH#&}t4}2^G==EY#5h(fc#NNe#G4 zR5*|%Mn#H+?^7_3<`N7Vw4wY$BGWH=O6LZ+g_B#GPn2U{E@XuE=wZ|w*r zs&m=O+h9@@yZ;)@4uG{Oe+JI*((w{|$jGuHLLK0#oIxe-M#?u`>LQxCWgq%wD96!p zd?hSRxX7@kKbIt!=VlW*5j0Oc?Hyh7ufI72imWoEIO`6eYxNRwv)OTYJp01NbU&Zb zJII?Ex}3*2S$!(Q@xdRm(+fM*T(tUZqW4s*c$JjGK=vPkeSCO6QaNKrS~7*fhOTcE zelqK^c`-3%25%sRR8Si&AF)Ay`jfz|02?NNprEF44D?{Fn$4BpcxaTeTqw*n!xg9C zpB*6V9x)eH=?h43;S2=$(EP{x2#U+P_x3ZM%c3odowhS9YGhwP?3&kX?u;FMsWH2C zwvk3((SLz2*3>9Fe<~Dtpf6y4$RgseAV}g>ohdCFJF^qj5IU;`pry4_k0jRyH$MiZ z6bK1!LtG;(MIdV0D@NS}WQUmN>oqSmJDm9c8LF*xD8+2I0CK~#B)F~+56?8^H|9rz zKTLc50DYrBrd08YtZ67Lv-=!dpje~^k%UF@Rs&m8x+C@K1t*q#=Vkrh6p`OjdQ~-w zO0*t6<;GY>xqZCFMER>-%F*cLt<73K_MLaaDnMogIeR1bdk}a~U}T?@T?oL`Y%cD` zO?GaP86v?Tv-#RsSHlO^HPZ>It!i1JbfX74v z_z?joX=7G(F4&Al;y-gdR7X&xn=a@4P+T+V`lfiX z<^Dk)rc7^>Nmb#@;s?uK#wAD#pa7SW5MqN_>gmu>SH&_TaiZQ>6BE>62-jgffvzFjc~@>$DZjoe+`3-_%!SpXV90| zJ$vo68D1Bp$!|zSKGd-AvGG6PQ`_kl(~zkY>+tor+3mya5>tB}U|psD=oG4j`r#`; z%_2=k7+b-N+7+lX{Jez9Lb95#rh)tM43y20lvIOLEa=A+SKl#i8q|;x+^Vq#bj222 znWzZ5k{mfm8|m5s%(O(W;$FCh18<|(3pl#eELYs_s32iZ z)ZAEI;&=`#(0sVUv-Z$ioGvmq;J%|JQE3?o>p4khCJ0MT(gQZ`$7F}be<36l2_OB4 zppHq^_FP1i0|~GH5t#TSl!ikm2oeCEm*Cn}+gP5(htj&})ymxjZH`@8)2r?9{B%(# z$tfCNhnZsm*Lx<17x4#~->?deLk;^`G<1F@p3fv6XOx(V!zLY-DpllKx>xNc9Jx`4 z4LF(UyE8wQ6*VUdf+RuZ{!Uxuk3GU%`2Ch+5)bbeNM)*~EWaaFJgh`YniXx7*=~zr zUZHs0o2pma&{y8ZS7X3<^OFr~wF3Fu=hKgh?#iUcbIR(_aH6~HArCBZ@7Hmd_#|e> ztVdFdNq#nVGr3-E_*+-NT8kN(fc;obJKT?wPiW?op!KxQzzl2HsXv<}>;b5J<6gDT zVaF(#KM&X5$moN?Zzoe!mo$vFhjv3A-Uh)f*kWHa1XIMmnDss~Zw3!WWWyQ|hn`%# zfEnnXw}Tc~Nv*yd49|TdNy1zhcC^Cy>Kal~+I=s0y)0q~d#9nfEA1Ep-?Ca4jbr%Y zy?jZJgXwnfkzH&bOJ%d};s|487rMdIQDkA*n#;G6b_QK?g+nHq1!;gpaUu>Gikwa+ z;LB2HnnXk~8M7a`i$nU4cc6SC5sS47;(8Hq1F90azqZH>vrLE%9;-4UT%E+{$=JGmSKhrpZkJbzkjG zWl-OD)OQ6}TxZVLo~^59M{s6L*p9$iRL1@fEm8^4r4a&rZHY4Rir{*@AH*EEKk8Q? z4LfV=nljOwc6ZK97$&-$M?Zd%MtWD{=JVTTVP^=~8?Q#t|6n*f%6Ghh)A^tcVZ zix;6`k&jud3LnqEHt>`!-Fe4+!pquqSxwOn$qtW3J}~|NnArG=W^a$!O4OwOtQ6mR z+LY+tBYb_whpdaE0gy`E#?yonK507ckVTgYVl5?oeKhMBQ`gr(F`n^2P^XU?DfE3^ zhJY2R|DUx-6JCX|c|h>B8k6LWDk$J}OX1Jc2~y(O>d@}uTDM5&6z;*b8s~H_F;nL< zI~)sRfO`d)U3$36?Z|7CUTl zoBbq+8h^QTC-6Blb*p8WvqQ*_cc34nAG(3lzwilngXpwQVwS7RZyLie+e^--?n?nu z!a?xxN33XHG|KG+DrXtc@wBTO8EnCfg;RzH8I|Ie{x;d$fT(O5_&*l2rx)pRy+W*f zj$C}%4RD*V7dNz9i+|aARx;op@ndPI%4% z4dfzv47#&EuL!Dh65r0I(D0=lPNU{JiFc6-Gda%Mn@(yy~(E#KTo zYtdA#OurRzqDwfSd_yUIW`X+{oQB#46k2g;db@tNUTb^+IvI}48KC-wiqcwIl40$H z3fgoE6Y6VIHSY)#V|j{hnYQe&{CNb)0WWzbrxitJrR);&s;ru0$Mw9dJ!AV1m1X4YVT0=aJPDq3uU>G7J)=h z1lH~aa%In?>cFZWL2(47I4n!FC9;%Ou<_{Jf*P3Qf65g3R)nYpbCD;jrGHPpBEd38 z_dx12DZD`#Ab!g4xrW@A8TauV;})5|7(jg zdteD=6Ky8W>!%_ATamQc{P>MTM>D|lalYA9w`cnxH7KDYsOa;%42ut&<&;ZH#>s79 zHL72`8A&F)(>`5&LEV;r4GKM^7iAN zIf`FOGDAD82+8ZJqkJ{M?3*Bd;iKCX^!(n;l<`|VDKVrHKew?rlEJx!pQ&ymxM zyLuMWGioz$QKx9p7QmaP+eA?z;N>-BUvm?^GI2>UN%Y6|FRn8b)aevn8mUCK_ps)2 zFb-PTCAtif-TZsG8RI5AniwK-aBt__BWb@Vu`jK6TS?6=3w*%R2{L2OekP#s>;)2h zO|jzm`U1)zidny>9aGT-o1{=c|CG}LFx}iI{GsR0pm-4tFG(G$1*Q*VB)NOXGGQP~ z0qNkm>o5i^;JQj{FzO8GH)k@yuL~6 z`~NgwvHdLgO<1yuqeuJqe7@-=m^(*)m1alwNN#wVw%EV$jLj@1Wia6yaYl zX#mI@zzbqwB1RyV`*aa(Nl=l%+~+pkDsuCV#0;B+OP*xM{NW-(_U^t#wAi~hB%zm4tKCu|g?`S^nKiaUpFDzrEkLW87=-AswYsZgKp$T(+jaJ$ ztEqmk;TYZE8FqWY4#ITM1klJ|?znX57BlW5}U`7tyX`QYuG z4EHH22O96oiDzSqBu1Y{)&WD;GqD~niS4?hP!}L)B6DK#J)pF2|AFjHu?|ji-6G0Q zHuC*skLPN9Hzn&mC?*OH9Mu^dJb&Fb zCm{A0f4+$D-&53StBKhHUX8O_n$vYxe%*FM-%PzOnvs~Q@2A1%QiLU`a&-m=VGMLcp7mMcpN|ZI&I#{4}l@b4-0hm6}zuNIw_GA|f$6IXIuhL~e>U zKgbsW*7r&UeM52&YTaR4Mv_$+i_^`2Y9i=P2+ck?3yf-lg8@f0Um+=GeFUlswcw_l zcOC#>h!ijNQE6=^ieIzCtQoqV6!v|DID)*vfN|R5kBwKqqW#RG{L#Zc0$0{#KPNslTb!K%81!l~AVC$y7f;ic_5+2f{OLP*C~^LKG)@OBQEr@oq>V zapjTO@)(x@jg6WsHqa6<;Rt`rT6mg~0|j5(cR)Vs(L_SJ`nU7Vg%BO=QQ4CpS~wJx z_mm8rQ7%63&KAN1nu?`iaq3yr@JX8)T~iJeNvPLc`QDS#V$U@Mo1-|=Zqes zXn=WZlxw_Ek>Z1g=Sud)MHmrHI1>i`420~3Ls8sPBGc)PYt?}~-f!8yh)VkS=K^p|qqRor1o@@9(_t`w!=g=YDq1bMLyZ_&nG3`S?fAY~QNy+6YL` z8_PJR07YMz@Q>LnKCQ25B{&iVm}J*0gg=~kGK#D3yo?(+`os!{Vm*JxaI}g+cs5St zq|Yv{W^llcuQX)qoyV5(6CFy{g_9_oz~8-~K6Sp+XM|p9=fvZR_3wNnY{R6{zuSoq z_GfMyxINoGDUBK8R|!PMl6alI>L%~;y#^q5a705E9AVot8cWs5lW#V@R* zxnm~{MxSkR0VYku!hpoqM`P%M_xzCSqBEh5Zx6>bOeC>Mz7HN}6IRNH55@Y^bzUFb z@aNd%W)W#z#|7u^9FamU&}@i4968BuDv^G5Y7YONCEr(n*P~{D%?d71<64$+Fx6NJ zP5s8qS-$gHYanjw3?&A%hc{;gH3Q@|ENi`SIMl1lt%IGJZC1_3ozf%kSCJ9&?z%5+ zT{li>wm}Puoxzn(^SDXJua&L1I^60A&kAXt_x2@#4)LgckC#p;Up z8G8M|;1Rv~WfJq}lbd3mL(u!DtEYBCKWnp|m|c?#kLjx0>=~*itls!zpUD3=b>rfJ z*2`zPQ$blJ`SXr-gtO)I=ZWSFuN*b(8tR`L%&1tn3eCM0vcBfPaX)nHq}A5Uc^|cRGeh3`@fj^@NUSbvZmzkEmD2?7r|?|UM+#iEKJV~& z0O344KPWw(QOUm+VXxDVzBbt-Vnp$cSa4tHu^9TDlpD_=l3rHr6 zJc_BWzBaiF)KE=NCV#!!eCmzsYDTTQ$QleG^xegMsS=4woSV)-ktG62KhI zLmT}#ZhZcUs?lPL$H&fst+4=Ior~si`PX<5&K$F6!)f5fSIcG0Rp?`gokW)K;y;B& zE|3iGoi%$vuoJcD`l_fz;0H2(V2|s@7bj*Dy_8G}Js)Tqhu;__a$sZOwD+I<3v7e- z9gK_Q^|~@GYbnK%NXO%D#Ibvl;|EZQzsF@P)0dq4UbKk0UVgz*fq$|km=D;I*)|y= zIxn18p#PGSswS`YCo4kMSxCN@5T`Xm#-LtLGX^IW?*=V+2|?!Xqv#&jad$G}k*JC? z<~fcEi00p~pMD&H+N5qi!Oi-*zoZx$59m-7dSJMi4U-kD%Q4m^F(u%Rd_1xZ$79*y z@(xVKB_iQ)*u;Nqhsv>uW8!~1O2jqa@0o9a0qn`fYlt=(?D=!ExY~uk3YxfF{s9WTtq&TZ+`{6(zIk} zIq!GSvMCUPYq@79zYc?#`-jYIH){Kf#=XI$?&9XoY_rS%{$O&M_5YSMB!Bx(MG7g^ z#4DOi@GQNccffq9@xFM~wvl!%Tbdr($)5=!>{AjoFJzV1n8YWM&vS+)zO4!N6>=Xi z+*PTc;>FN|;$sMQKISKO>*NS?lSd2%lz_MzNE_lL9#anT9wNAEM^s{KNnBE5kgp=P zwKNrgVfH7iplVaacUp(*;un&dwb!R!yLI)+_u}tCMrSD7R?W0lp3@Z zrxTXBD)TTEzWL!}Dht|US)9l^cHxEYagtTKF&p=sYD@sk_t)fK2gi8$7u5Fd`_vX* z6~5!wZ?<>MmIp&8!m=M7^Pn2erfIHyd6Cz_WDQg&r$`E_Qg^z_2K5B(N!)}NrOfNV zAkx97`QT2{-R8UXuz&nQ+P0YY-&{p$bTUm|mASu4xyp_Nd*h_X8Us-KTY2nH^?rhG zfBi2c!-SskVehV9W!aSc?adVSAo+%T8=@k=s(p(HbKdRVdNv^?8NgsKBy)9gWP}q+ zoisCQm`GzEK!19mV?lU5>wU7I#1!hhRHJdJy;1RSI4*S=kTBR;ixk>At6TyoOb&0 zEABc7s&`C+CYak2zoKd*@2&NJ%)>Z+k#sgi1H&;cvptK@j{QSa8=RFr)Jz~y6r~;B zA-4QFh%9J*>yfLRwLpTslVX79y?3I7K{ZVHMx9r0LXxC2_3Yb;KEwV||L@|o3lZlG z3+zYNAen&lIo~xG@d^){I~7Gw0`5{CsV_lA_F^lb+rbQG2NkisY;`_=0Hmhv(F{+8 zQtnsw4%E>w>XiZ{b<@bTr!7Xae|)Z;+1@sIhIHMtlu-FkJQCL|Yd}HO%crZ8c{b`Z zK8TsByZD)BqLW!#;Xr&1__~G9GfabIG?N@#(3im}i$AWrKmQV$texL}r&Fltb#K7+ z4wvB3qq>Pyxx?CfT}O4X8ZenZR)qSymO_w&vF%X+@$T$cIiIqDxkeN!^$Yz|%qer@ zTUGcB3#y-L|KPV*RGg?3wvHylXlIT-T1~tXWe-3f zBinZfc_K?;7W;D1$+nMtDI>2O8}2%iel^qXfX$~}s+S2bO*TIWys`0CxsIIbWIfyX z5MHv3FE8_l&Lnr~fyCS5FV%jUHs?7rQ;CO{JqTeuM5l`{GMMx!o!ksB0vYn>*;SLz zCZ3zxP+W1o4Iek~xRYh{Qt`8@+R5W9ul`FYLNzCO&Bq}vY(N)SBWNL8uE?hrIR(H~ zCS%&y9iK@7km^IwS@=T@CR_dI|2qC}sN^*s4@6!f`RLsybM{A;Z`a_am~#nLzU`@S zv!_RIgmk)DZ*-^eR^(bKdy(#&L z+wN13>dM*ns3#kQoiwCqC#SrI#?DQV(~rAqI}W59bHiRTuq}E(e^h5hsCcXMn51 z?BBwye+!Ri@{20h>;V5YC!H#-b4Ng8c|6S!NGV4dF|qcWu?<|`?C@$gSyTQtNqX)h zm{f^(K!IFW?v%st=TEB!FG~`l09NowFb=z&&9}l=!9l>d(GayWPOon&a-KbD50VDC z88#-*J7FY{ae`l~M*TJv!>jdHdzo5Q(L;U=_%;&jHU2okg?92(x#rKF%|CRfaB7Dm zP4zE9%gpY#eFT{PV)6jjZoE9fDh`;H+x|)Jaj2XOB5{{lP1eaQ#$4BRCX=QVz15$A zAb66u^{mzyT|^q#Yq;S?3jT&$_XBOqGAnED0Dh&YdEGmKBYQVRq#^d=d44joWWNBI zJzs_Nj1c^NL#GoygyvE*c3ArdA(zh#&J&iYA%D;r^VT1pEzpC#1nU0*fVQUaTy+Lk z-9Au9x)7vE&7bj4ij4ro#;^!vIO~daJ8Tt7PA+x=2(rXK&=C6m$|*TJ;zqUF1T-s! zbsN+O#Yo$-C!KK>B6n`L7cisn3&HepC`+};Od`-6nP^8!rK=bYVC}X!!=@DU(!S;j z&$;LYq4U$(I`MIRf?Vp<&-jvjE((DEjjG`AOkenznlv3m@1~%KM1v&lF|?>g4t@kR zhde`_*H2I~yi04pyFHg5seS_~F}fPL^KIXd%0wx=$TBkz1YNBs#yhFPG0yjWhX0dA zB8S57IMYw+(){~QN|S_nMcF$2G9`%#c5{6S)FzF2BcirJH~!|y;K%F*l-#*QB_lZJ zEB1FXuPTz&B;i9)L9&sQD#uf|%CSu4O&x>=T7{=xH;&ev5RV3hlSo2Qa*#A9?@f6= z9AKl06OJ-<7fKd+0AUH4ynHI_d@C=g=9z$NM~>5@#9ERchKw+lvMStc0Zs^z7suyh za$+Af|5RV!v-%UcsIo~K$+pi$mkApg&=e=CE3P_6I7}nURdzsBnCi!-%oeXVaxA?l zYV1Y%`!kRV5+NYc!3jNWpTj|4&L>u{7oJe&UDiIKNr?$8qoC`5Z0p4$#umtq3DFuH z^uJN;SiOA-diBBVYv2pYX&0qpH@H%;R8jzlfyv7|XZ}$=HI8EtCw#{V5ng9K)5O31 zse($Uli9<=ly#8O6vwsoWi<_WdYIO!m7*K^(A}Bqi}PBBtFTNXiOwzaU!2=x+hfF2 z)BaEHn&X;PwVQX!)sqI#p1!rNDW#T@2fGV#YC&O?xi&7YouQu(iAeZq)lq~l;Rq!#3(CCn$U1NMyKEXk$2YgE0ZXYrCRQ* zrzL9b4ihbW3WdiryV5&y9lT6|fkLS`6SaZD6&ftQJOPfaDy6}b6HKvwwDrvo44X#B z7&PMM(Oqa07uk36_5bgk6f_!CVLPWYxxy?v*ytVd#3*MG>yu{~%Je2o9wfZJ$07Jz zpe3U)ME8%GMLK*l;&r2Pow9|Y+bbqqLrl{Lsn&aoqt`LHLOVsCWG{JtaSS?@u<3#} zKsT)+Lky(;5-zieTg=7{mO3fz>vz`K9{MPpN%;LqHikui6lYc$X+)fGGS|RN%o=!= zkUTOlGf(p#-@B~``D5FzHW_=tXj}&SugPbC<(ZIFGt5LzFhk^h!^_d$fF!NqGH!&^ zTMel>vpu!(z)flg(cM2^3`DMhxr>Gy+`R+O6F2{KfW{+>Z7RjGElRCtiSF(51a=i+>ti8k z-fxcsy?kw^XAlfHmbY#J$$Qw)u^Izy6_(~k=~|pLAHM+Z5t!CyUC~vUPTV&0ah}iO zUp70Fmhk*6A~(&yhxb0O`2`pv8h-BT$Xb!j{TGfsmY6aD{u$rvmjKpzse4+jXgtYP zmfO2O*G8kz-wj*_y;0j^f@b?vT+IAJNN}5h41t7ULfY0owU-hN>}j93B}Nt-vzo!o!3}ai9CvtuTGrX#{b=!jJs2t zH0jQz-y>%N>EIPO`=w_P@?#|pn1iOwAAI_Z)g<02ix{Mu*;ud=S#0YhOpG=f2ar}b zSPsS(KEnW<#R~ayP^ZUQ%hHOJ7P506@Mg80-A}eM75*01;P0L*@qoocJ+4Z=mW42A z3G;P&JY5)Gl@OzwP=TD2Zug;@;+4A!Jk*07d_Hj7ugUC?Qu`!l zBq;QdIRi!B)?pYE>{!p5XSx9ZF#ij0`Q}c|aCih-X;sbS$M>sM7Yv$ZsMdDKMCt^! zdDr<;kkIMnIzs!A#(u47|A%X_=@o>|`dN$I*m#>fLk|;~Sgk^s} zc_sbmO_VILA2MQ*@gS}+G2TM1&>*!Vh28K-5|LJ-!YfC=e5=$0{U@}UZ;sV^j=pgv zd@iE#ot}%OvAn3`kz{jUor-bW(&hd%H8k6!#l%?tV|FCcEy*3*|FBMGXp2Y*Ax*o&5u;4AAsterQ_Q(_=i1z8~dFAqs*6NL-$a9E2 zr*dk~t4e}(C@HlI=4<&J(ggqt-fE=hXNaw)q-3;WI!+UW;Grkx?s>Z(o3y}%&uhpy zyDQ8&ps7@VNVE?1TVWRh6XY-dyf8KJ&n?91wrJ3~BBS6Pc}}u4AQxRvP$T^z-8+NM z-tP=rH3Oc%PLx~|q=+1YNnsOTEV2^dq+{(-b;lLQlk&9U@RlRiBWRRK?k zjDsWwQ(J>dOXTSrG%-j@eOAjWevxt3yD02J_2BJ(%>)|n1$Pr#Q%)h$_P7u53^`)3 z;}MTO=Cr8sKDtxJG|oRa5=mmXOUv23^sp^R_S30r)dW#U&~)0RS7G)mkY(7*FW@%d%Am{q-^0erQZ?{&+1xd2F?{VC z_jAW(%%j%)N|hyt&gs$k7HR>%ot<+V>EXL+vSA;c^>#4jNm>lDYE6W(_QP ziqp4vG2Yk;wmY+>-x8d}CtaF<{OkrE7$F&wC})?L%%YCFDbBs z`&3j^kaun?dvpw9DGRk&*nBubwjggUe7>`}eFyk^z?M+6 z-qFi&YTRUCo$erTWcxG z;&J_^a!~A_NnhYDCs2A?yi8mgxVzo7+1|=)Q*2if)WTpYM*}WJ&dIE!#eZO2p3aCc zZ{oX-_nMNv+X@(Yki6l&bx6K^@+V@WTL0kYxW^!2>U2R+ue@6IwF~))p6|-zc)pk! zR-XnV(!sQH?KZ+>mgqnC`o7hin#F&G$e;@jeTE7{NX`2YSUM4CEsFv$#`Yx zeRbAOEMW?&Bm1-!-u395; zAxDP`Ch3{iQmi=ckYMu=r^L|w6Lebr-ZL@1W2LS1Zz%8^qR0E4EYHaZ1s-N~s0QUH zGlo)yB{Fb1IX3DONlO51H7xkK>r$4kl0}?x{(3QvQ-AG(-e~0$i5nsL!}o?IDjs)? z_kIkl9Y|1v6&|BF-FgM94eU(Ri*Vjz^z$EnPosXWacQya_97bc*PP$W=lTM(ol|HI zp-^bLK3M0@UV653n|Rq=95=F8y|R1=_wfnevQC34C#gyYHq2yC%5M+vH|lLS&(ij7 zI3WYg=0m5UjEcIq=&ne1@85nuUgAJ`em-ZDL5 zxZA?YqWTp#r3tr!a&pzJ5#-JK%t@ay$gZNfap8**j1{!`%^v<_czrTuTXbfEPR#Qe zxn1jTSKJ;#YQo%^<$-jnW)|)8^C zC-Csa(@e*Aaa+{wp;G0bN#B;oK3%1dPQUSNZF(>wV_WoJ(Bn#~kIbUo?UeH?rn`+| zkas#a^q#>q4L|k)Z(6Modn$oVbv#U6fhIcgug@MQ_F^X#npdv$M4fx|F@?l~a=u2t zJ_(h1Aq(TGu74=b4c)Fd{`Q25OQKohNNrbf&4oZy;!t;~IWL1;|+U_N*DEv=d) zOya13Z%0^;0Ia?KWMD~0%7nMESRvuT#QJ%07XP=KL=T8KHCfV_u(Nl@2aETO!VANZ zC`PatMWGMkQ;;$AlbIV-piFjUDihF$H304|A`Ex0VD|uWi|MWr^bBAb-ne*4mbxZ$ zcHbvB$J%fH7r9DhTdtbN;slTjPE3FPwv5L*Z)N)nWEV2l<}SU$-*nICn;+<4RmQEe z=@(@z_dWN^yXbynWh2sF^{lQy@Vs1UrX>0SlWDc#E(7OTEZ}?!a=2gW(*60u_tEfu zB0jKb^Ra?D~;Pi z){T#wsezcij*I1UFD))c-*@3L9TxlsA7&q#GNCnO&P%_xto))yd*R=a4&vj`Q%2rB z!TFJHtU1zfw_(Ec#SX3bfXYKXyuP3*{5~xU9TWjLc;oWRcK|CmkB$@SX++PZ11;Y1 zf@Y&&3cByz$#MqP=8$BFJY6u0E&k^v7 z#8>W8n(lhfSFw2f{ehF_}^@}hBP_o|Dg z9W`ffgN&Hd9oZbj2wZa1zn{XEgML3RhktP}tQUDtXi@FWK~ad?v{PQQtSNtR|#d3p-lUe~R?xX%G4~J>1^4c&C2{o)55p4eX-NX*oP2%5(+> zlZGNmCnW4g$Ie z=V_;T$(IeU7!kYYz8!|t#BXhbk+IcZ8+gXg~=Y?u`4YX+3*L4@G`OSc$P6wjXA0UI-=dN5Qh_#UH3=6(dR zy*l)H6ziN>Nch0dH(DrVo+ zX#;5??(I|0U`+QN>EiQo=mgB^5G(t6mbYz$Wovzi<+6ydI5PvOGi{wG99$zGoefwUQ4>$ z5WGR+idZn**ReNQHfb-M&q2wfxa5MY7leYHdC&?G_svP9-C!#~NswIX>j) zWZdP!L9PWFQEAUpQyna3_k};byR8r-VO06~7oyF(_wi_?h|7EEYvir6*PZu`fuzUqqy1zV-NSg-voLEaQR%J=g~5N+Enj@d*H_oOCqkR@zl&`+&|Fr z@PJK(!xWENH-Ne?sjy^8+ENxDsowpqN03CFxbij5nf+JQ4L9-q!1e8Fceqa_12S6l zM{fOW#eQ2euDI>&o{}*?a=&QDv0$)$XJVz7^QM}+$%S2nJ#d$d(rVN{ns(GYNo|qN zHM3fZ{F-dof6Sm9CZ8AS@%NUlDVZv=WeL{w0F0}VUPL|P<5i#nw+MZx;;Dd!lxI_- z4xE-pqB=f@OPXkGyZc<7ti9#5>_?on=Fa1T@l?RdWplOfgBhr7y``38V%mAMSk}gA z`Z+E$&f+gT`!0_ef|Wgk)xU!*?I_SX$bQO}%|sM7fRLuLf2=g>=+=0$0}B2(L8twb zUXccG*{m_o`?sou-r-el7TfZs7b-}+SiW8n_opol=Z8Vh*62 zP9Sc1F?n&@8Ry?}JR;%h=}BI-gkVQ5;3MPPi9g<4PP5Ir$-kEzNQV{O5#cn6dpNuo zk~y;kn{=v*B;m}Add7<;1Y*Flt2&g&eic1MT5Jw%OByh>HusKXD(LAzj%GuSkzyE@ z1sq7D^$+~RG&GiXR<0D2jU~L5%a7`MDnQ7`ZRxjA&7=y(tgBdE{&rR$yO2ViA4rPZ z6g-7qUo4(RZX%*@MR3we*X4eUgyU!D?$H7^zcYOCqcoexd(tGE_NndQUfm0fRjx@i zN%HFHe=b`eXZINAiR0W- zmXWp|DxCxCmu4L~++@rLFifg5W_Hd?KBb6amlmAaJB^Duq<6{&EqhN42iDPa+#iuF zjfFSpsaQN>UN3FEllER7ZxqAy#;@AOcfQ$;?}nwUgH*Ic0;XD2@Mv|+T6@@^2(O_` zPISA8*#8;yC1m$BX5RD78Tst1pTb1`@(U3nkF#36qq?-XnMbE1_+mqN-g|$o^lVLy zEm&!|>I#ZkJ*$e~<3)#-V}HGOv}4mtdnch>rzDWra_DfXcb=l`*Uc4hXp4>&9_`-6nF7RMN zCMd20V9||RuLW#56=N~IxW^WzqQ~wI<7R$T3W!dPMc*;!Mtd36J)eS{SZ-npe090e zZ{v_BxbI)-i)rM*T`{E6lkyW?Q##6a=0bx>fo~;C+Ep0rMreKG_q)0UB`bhYOgxi2NvS3_1G|P-2C;m;LB>z4 zw#dcRhRgAZ`V(v>K8#HBk!G2QecZOlwmS+J{f8jb&ThrP??K{<0R*QN(bSK2wjK@@ z-8NPDU@P_RwU;hiVf}1OoTSxihjgN^^Er zpYnbmtGE#-pGo#fNzYmVn{$AXY9_wB^3B(Ijg(pNux1*i3jI`-{md!PRvjGIgH=Sp zPXuM#$>R1O2;iC<~-4@kzM>;j+7B3PN%xwOG9Gf5#@mFU6Th8G2Z zwKKiZix90=h8^ijX5pLw`&KFCp1nM z-a?#O_l=(tb#_TGfL~p@k^lUJ{;up~&?e%{iJGP-1=BuiZCVC{B-=_)YxjdmHPc8< zQm6jB>Tz^yU|PrJjm5Z(G@%%#>$2_GsHY&JlrtUtWw_=x`O=&O&em#Yj`Mbdr>vq zZNR=_>Q*a${4;H4)ZVcrwWZHDJF0`R7Fq2a7SB#Y*4rTR($HP35Se*%3S^!VbngaL zb-r7>C;xiRrl8}zUI6xWdX$aE^oJ`rgzZZO-uUAo(5h=;MUM>mZfj%7FZI*c9^!?# zV<(79IdlFB)Sbt|e#zUsW(ij_u4l|;ve}JCH-r_qnE&jc|MJ)tPaVvwj!BAQwThBm z!?(yM8iSbX^i(G+(sSGW!-|Vw?>hmkw>4aocY_OscRN-Jy_B#=ZM-6@F|H zPiedSrL{7sVs%D`5?YSxLQ5Ff|L@jC$~$RcxwWEYebzT?!g$Z6T~vh;FWDWUB0uG3 z+6K&bJjpwRE`5)#x^YhKdZ<%78-PvlOIHQMNjiYHf<&Ad37t=NBSM(g#}4jC?mdil9D@wnH{@A8754_ZEQOtXi z;bl)1*kQc85$7@$u;X+5^jpCKEf}wCPWjuFvSiOozUUJ9x_ceyHC_e(XgvLY8?u=( zY5Q`nRhVoH^!TX3PG6u&J_trhFfH|}?^T$v$bwSyC(#63 zKCKy#vl#d<=Aa4B%n1A?ple*g(>3w8(X~pUg<4u(uYBjbu`DiPN+@_Agt$M6>#6en z5K`xCfJhlBRNleWd^}4I5<*f5e$48da7jASvxK`T4UtXt-eJESw5%lg zrWvCG%kwh#o+WbuzR6J0nXfo&9&y+Hls#^mZb@b(}dJPHxa8ffTELj`xOt5a`l!hK6opD&ZNph4g9_v`y2sRXPdjl&8F3~)hfu< zoC+s)&t}@W(>HxuaQmm7!H}B2e=^Ut_d|YM9S5$J;T<}KYf_6f1=kt z-C_yC)m}JQep}briqK^@Jt<^SU3GY&t%xc#syumi)Z2N^jwH$w;d1n1>Np{Wt7Z=*j`|DGTIX8n7<`up#n3yFi@?Y<&P&bd_DH0@c+3JgevEi_N2IIwP7n??rVL%~DDk0b6a=dfmPzm8bv0)$4RXEkS zx%IrCZUC^;M)1=q?*?=L^m?=b0K%3WK#(PX@5`$q>~#$cypP#{9SyvFEakwSEMVZ6 zN^&r4|E&Rdzjk6l@#6IvM3(Yd1hPMHR|J+hV>1?lEg3+Ma^8|4u;40166euj|GJ3vYuUY~Zrus(}}9JUR2{Q;2g&GVUo?>#IN zBm~`9D0q2X1HC@LAO^5gKnLW$2n6#iyj+6aFA*4I=>s4RIexEajT@kst&qEo*Q?vR zJzj%spvw~sECRiESbyCe*#JXB9DpzTiVHB9Jro9j*g`iPUXLAsH{eP@n=kMwgAGW2 zyl2qydVIqM(0x4vy^b09c|G-AfS+6MV4#=Bo|qzX5qsEuk(ri#?>g{i?THtbGx0i* zquoPTFCt(Kl=kr5iw4fA9ScG22RvMsh>W1|NhRbYHY^qj*9P z#5u()GPd9VhMvDRI`}#O^cOdPE$7|$H+Gy22DV*&a9;fF7?LK+lge(3>Z= zfTz2KjqGtjdzmHJbIisLNH6B_IcEa=y5<4$*1PK}KlOm!8*BrDpA~cb?w-ic!P?^> z*c`#Z#>)~f;N`LilQk&paxUm z&zz5eHu5?Xq&=EE(Md9H(5s0t+=WellZ*Rl9jIJo(^Aq)UYei8WBePd)Ln}JisfqT zoriNX$SdZV4f^<$!`jQb?Dy9bVyaDDs!?MFU(+U~85Ob1y}x1=?U{EU-;aH++5koi zA8fkTy3UfakDy{#5UGT1DWi%s|c`D_HM$C!zyARK9 zGuXiQl=ZLW`3b{O3SgI6jXf#slN?!Wk6<3`Qm2=l-tm@NXFLree&*nexX-*tT@|*^ zPDL(DE4=Lzq_Ry!Cd@NgkxI1!FJd2~HacE<+(=q224pk}bdq(oF zpAGc|W6R!Do!suweA*0qCSsf(&`0?!)pM-!vDpInX*F8LKBc41LuWme)%+9gzJ0ax z>kwylXv-eOI^M&$mK{*`WMkp)F6FMHU{|Y>cX2s{CJUw@-!*2S#Y?Yf)ADR8);69~ z-%_VL`08FSxYArs)Rc;l1tPh{Sp(*7(-A=>5;l|&VbP4>ypOM>k|61Wjkr4x*H_Z5 z*RW}V7l}VPnxk|?6(wuxcTXcJSD0JZaT{ffE^FnE;DTdsJcES?uQuXbK0}mtbI~e$ zc-Zv@=y_}7W5Jv#DpuHP{;op@B9U316C^LH|1QIUOC4r|g(mBh>CeCw5guUZJD5g= z&1dnR4=uVDITOLj+>2!U)IBdvGOTx zBl?wOr9@ppp#;9GNt4HgGx7E9dqEgQkfuR!b>f0%4qhi|T)shqW8-|%h}6Cn&GtcW ztW%fS!^GF152@#~j(5^2`0Rp1{QyTctQKQ$i#f?+o~fiLRumVk|9Z>)u77@~{QA6fS)FT2syexgcijzrgYYyH*{!X40-oDW>5bGfZe=WhEN zKaQ*84SA#H6wMZO4UtqooLDo<-p!Q3b)+Y*4tBnAz zTesg;U#?;itOl36S!CeRYWUEwGZD6c(&77uVa(e!VB{SvOv0G+rtN;JfGf?+|E`~C z0)|426;dRALZ(8&CQ-zgzHrRro^O|92xE}nL*8FVH8L7DhF0w6OH5G4r`rnx3o(2y zite-TTfb05=}fU`sYzVKG6`xNiLpC_na6{fEyYVN#k$`U2j~w{x?Ay^io>-~*f~3tM_F!2| zew6wGB1JLk_%QK27wE4M4$0Z_qlu|3&%f35D15sjl$bc4$M$yWIvIbZ02-79knC1M zN420wexV1KS?ZE?i()FQ%ho>^cOn z@{^cdrxt7mpVqJj`+^?U-$|c@=)E0nWUD^t@*PvT9Jv?d8@pp=&SWRg`7hf$n7#`% z5eRHpTR%M|=H=N-xR@EIA$AO(f4HcKH)pNL_&I#sy*d+kcp#c{(Ej!4^9N%+@s{vX z0NFw%H-pjdr|=-)#+t?`X+x2I za_( zpNm3A`&1SGjz*Lizbz`$w~n?-c9S#|c$=K^nzKczx4xeDt9{s?_6yimtfi!w6yY7= z9F*LqHRUo-x~{Q*Y4_|>XYwQ~utb_c>ca>f-#5Hi+}rc{8+{FKLp9bpAcU6HrSBks{07AM z&A;kj?SQ+S7k?c+Yp>aWiYlvo!5snB4@p#Mzb}EBok+tcbFM8kwD#0QH~vz}67#L# z?DIYG1I*v1pi{@Ku=>B7 zZ$!4`&@132gtF*=vsa1R5hwBlP3PwsxmXgSw*d$$zQ+pcls@3$Om7m!_KOo?SzQV; z-Tj|bKhFO1qpkwZaPb4)+M7Xt{Li4e3M&8eeacMYe-azrP)=&6bfgcA<;CsCCC%yM zP$?@O$dCSo+pfURXu&D}^eUd((RgIzBKWKZ@fCZs+FYTj}zVNpJXc1_Bre_ zff@ut2dLkRbyLZ}(jH(p@Y0fIh!n6NsLI#U6en&HEb5V>*|>?|R1`-xJt#xvLUctD z7088Jghe(TDkFZ1q~9{K1GP+)`iyDS1U<}@0=+q6V3TWEoT!OBHQ24_eM&_s&piYS zu1M)W!*x=o2u8iczPW7EnP^&^$R5y?DQ54(@+Pn&Kl;}HY1MNb8@z(aS~TMMU$Gww zVVGEQ!s6mS_i;fM_PE9((jU+N2;7S;v+AE$Pb}Glki@c(r&rJ3IJf+MAt=@M5vaFP z;sQD8C0sl>PNFS2PYkj4am?GWr)25ndd2prllsE_Q?<&4RV5)|u6`C9FLe2hGqV7= z^AGuU?=xP#79X!c-aml)8R7hJOe>NSJcL1wx1>7{Cd%V<=b>;`}3^iI2V z1KGJo#&EQvv#F)o{Fgn_0TM#(9-gYsJbaD5pQmJ3+x1ujo-(;`D92f%LC6$Jzu?V! z=R>|?6Ut1M_m#wIZ|Ajd?lVPS$HJdV{=v-RyB5c;BG9FoFq)C3He_qUmpnE4+1=Cr zCxBeqQwHuKpr3VHlPtcoeRFzgKpeY?KvzIe?%>*yt;zNNJ{JBQA!S^jJpNbvW|h(D z9tFx`gyk8h$jR`r%cC@BZHSBgc2YN=AWJG1XL1m2&ZKu37Gz>Lv{+|&l0;DUED%bQ#JUc@Xo-jQh%q|Wnw??~?;=9PJ%U{Uw;DDIHF&>EHH zFmx?(+T3kbsCA~D`^d(=fqN=hX}I}G%ZjZ&Kg4E9_etYW)KLd@;iTDZi~>|pWtsv} zuF*nOVzMXShYR{$fvPcme}k>fsJI-7{?X9=3s@~OMG696PCYD+jZffE$37uP380?( z6}Xsk8!Lf;t=q`nOm`sd6ZeQ7(=3dGvWf=mF|nSIc#|gsZ}c=xW>epBB_d3iU-*BB z{{Iji-0vsR4$r0#s**AJdwocqt&6v1jkjf@L(+7;v)-qt z+`xYEC8JUV)9^J*03+|iAkf>%)M(8pWsU5Xt5_{Yv0;% z-`X~@KZzob&Nz`$uIYzk0fhXSY#E=e(S5G z1*N}Fmmcou2vwz39|z&bVLgpBgF54?N#|Ddfa& z>^%PVNVek`J{I|~oz3B6TXqMtRQZhZN6q=RB!BIJ##!X_-QLt#2W_O@$40%aubVx; zrBA*hu<9PU(mN!@o?wn2spj$*Hpj^Nz8K+#Px@>piclg!Uj!Xz-v#jNF`pqnXB_D1f7=j7Sl z+(Yw7CWN(MGdnnhIDV))(1=qNg?DjU+cT+-gJH$zG2tS- zo9cR7EhIodM~aqkw@#k$%}p!ym)mc?w!nZfzM>xmQJOv(-fPr6t@)XMG~DRRge~pP z8KcM3H7rp!G*?!vyZl*lMt2bw92rsm#g-S-(vGV;LrLpO?8-81>f^3VMkw%G#KZ+h zUk8MX%ebxX(8E}3ORHG)%fAI_!X5q&h%j7HLW)Z{4k0|P3_SdM_TuzS!P=t6oRUj} z=qQJ*EDwjYE{iomL?!)4UgxV;rqo_ ztU!%(We#V)GR!MK^zzA~g)XQAN=#Z9?_?pHh%q&I=zgSIC`3^Hz07T&+p}r!b8-Lb z7l#2-hJHO0MUS0J(D0C5P%0R9%?7)^sjQssczpx|pWn6%!H~!2vmAZc-P=YNNEiop zT`N!9KZXQ@IxOUXUmwRB7r-4a_vKyv7vSa9z*q&|i%VY69k>$wnnDhGJji*i9O>Nl z^%(&|Az;Y%eV9o1kpSCv)Z&JIM?dS~nK%gItgqPg!Md~CY-gMa5R{&}&_YDy|P$U7v0}ceW7_ z>lm;mXk6~7)vo&32&dOtn`2BQ;#59Wo+MYQWfM@3z0=CCc~QN*tFE(X?N>=p**;bV zp$X&}3SjBwbDminrV7z%Jl1jB+kAXhk{YhNC``V`SwwDpcV1NEZ!GR0GK?6m$YaZ= zxwT*jR}iMpn1WU4`PWvaWC=>M9xNcDc0BfO?j&k$#3Zgc`ncoNEx4WRMt=j5=XKR4 zsKP6qD0}O^;vskiG96&vrm$ikY}N7md>y^V?qR8WBBhq#Q|t5skNpECPIzObVADv7 znO?iU6@`J{YX~o1ZDED0&GER^4_V!r!t0BF!FuEv52US=n6q(B<*c~1EiKY*F3tJVuJ&B(|5>{i7GFC=2OjB2U}2Y*(O&PWi@A=^IeQPo#m}=Lf#?ec_S@$r0b>$ z?Bi$D!v79VSK}b~_=Ib2tq8>XKHHn)TV~Z~D?J$v-5ru(2ljlYsD& z@(8f%Vefo_w9bOzNq3!FDe3SbR|pEz`hP{$JyS*TJ@b)^ExFQ}>FO>8zeT zJBU<|cZT%G*JlodJLRyV&Ypq^Pws4w^TATM6vBj+mZ1~hb-2o#ggeB5$__)9pP~oWr`0B%7uBM#wT+l`G6p1QEDrF z@QQLiV)+1eNqgD3fv3qmz{qe_*=b7Bx{cP;RShb$ zP56259b#H#*uk-=S6eqKQzUR_SkUHZN1l>LGYd?;Eogv!bwz_ESZ04!YID)nGC&{^ zhz3{FbDjenm=o?G#a_i&+rYTWatP^l2+5(BQhyH2&-b*xaO2PP6C?sd!U7ZvdQ7&T zx9C<{IJJmAL&A&&3R(wc>E4!NWnqY!z+6MwBUb7+3neT_Y-j(**p&UL{OQ3iy#t+e~&@?nK%1b37+&xI#ESW^>(_)t?c1Rb7v1J$23S z<&siGfiqwT&lFTfUu+*(8 zr^AL@E4eSqxVy9os^?!y%ec?V<`@+aZyj^5&uyXvZCI-2S?7sBN%g^5_3D~7I5z0u zVeOQxdLGTv_OcD;P7(~y>4eiLp%}!~lm#O%6(Vn6uxIX4FPA%yQzzq1U6_mRCMo{X zgRStYY;1^7oYzHtLwkL9AN0IHPtfnGRs zpFmMefHS?k&DjEq^TONG)jX>UvY^=~uHL;wU{VCvnO^=cW7=<471&<~iZ;67PS^ha zGNbLZrscS3pKlk$jU9u3H|{2XP<5x`pyY3pj)$SSvg#N_=r~ab&M&q zXJGGWdw08R|xsX*~UTOVyLic1{5_8e&cw0IAIv2FB z{c!H}O&RB%Hcr}u{>i!-W|KAC9~;V>@pIs6>*;&5A9_N0>w|4K+8@B>9nS$tede9h z;HH$m?&DLNXG4O78ndej_#>+=|{)~${ z&2(x<>dB#8R{XRS^_pO|cOqrhQAD$P`Rp+d3wWh44EL>uT$OT!j+$4aTG(s>+dgHf z@`1p9b>_CIK(==>rPo_}eDUnAdwKgxMOgk@0?}XPJRLPqiu~qxEovq|QAS5ObbGCx zwPZYZTfk?SfSzLYt#AC=r)<*~avczS_=xH2#>8vL0KvQ5UbB)C?W{+B(bs0dhey_L z|C+w1bTzwe32q>6UAevc+pAni-8`izJNHe<%0I>d5t%$$xsVfss=XB`)Is~jRdd)? zF*8Kl@0jd7ji9k}-~qlDO+KyWgV+jfF_{5{$FDt9@(n^w6s1DtlvM@MB%ttHnM%yE zK>F8bL9a+9P_ipwnnjR^B%rZDf&)2B;EquJ4^Hx^A5 zJS7B4!jvtPj^*@f?bjTp7f?L^AKM}w%F52jd!{efzWleq;gXcz1og*9=T62@Aw3Ku zt9;Tc6$d0Wlq-bNz(E&y3zVYkVzxd-m-iCfy$=S^dk>dLhB0(xXRiaPo`WPqhw%kR z^6%&owBz2 zn@(|Du3}$b<+9t|wjIJ|K!>__uQv<8wac4B{=pq`p?@Rpgf0dL1Y&GkqL}g>QXTak zR)x|<^_b}J`6BwaV)Jq=ItVACYfQr91^X!%i#k;4S~4_#I(a2LKHEe=Su{l$rDI0e zDjwhB`m(a~bd1Ly{18S2``(S0r|$#Bm#@jruptdOA&oeRB4?-Oo*Iy00>1^C#R-A1 zqgI|L&`f?0!MjuI)r2XJHMNdIJB_U&_6LJ#{#vO{#SQJ(*DpF~&AYV&c-mSrnwAb4 zbHQ>w7usxe`hE>(9Bn!K$=)& zUpnAMazLgU6taLQSwneZfoLxq>l^vLq)PV{1b?+28WT`Z6-)m^bW`p7 z4jcYV7JZE7nV<9)79QP)xno%_C(r$4GN4$OvrGEMPq!feqyx}W;9LK|5=5YqS*D^t zPmo{wvhw$C>28}roD%J}_) zaf$yfO>=lF1n-Rul(+J8gWpxTV?a*--wgE-cDg?{UbN+fkgHWKlRU&4q!-*#yILPu z1zBl-Js-V%8Hae26b5*xt@adK#xEtj4azM@%lw9smFnx14V} z3ZkiJ^sEaqO?%9{yp1U<2<7j8%HJ*@F`{Fu8w3o)N zmy?C({YEllw2wL&_9ie%Or^))w#7{~Fjo7E&p3wi%dS^S-C%5-)uyks-<(!(C-VaF zaB?tse6Dr9mRcy@Dba~5pnx}8Vwkvuu1`$q8Mboj?Q!v1tY1ehN~IiY8rscb9&{Vt zF&wpQ6=)ZwqS8U_(E!;+k)L$3^xw1Z|B+_`()RL{r-0#(yti^oS_#ztV3sL{)`={e zzjo)21P`F$rWv3iiGgDEp!s(D7x*puO?)TkeNPeZQ>9mYUkW8W^Un2?z@Ilf>rQt( zsB)3q9-LqLF1zx~$iYPHdNNeXLVpqJvFi$%J#7E&t5IA%+3~;(_WjcUWeIKQ@V@1`Iyk$#vO)UIV1@y? zOIOq~uMlz=8mJ^G(->|IhFB0Vlj6qg1gSe}1P|HI98N>vD;~L@GA=rxk8dyGFDW2F zwug>cRLP1;r<0A_5rLQ0+A=oz)s9uUVgALN>tq0+{Qe|n!xwTl0K1zRAP2gh&;*U` z;PSt|MwEz-VlSe?-cBPO%~eL>E@ocO#+iUgHa%B_Ry<-K$e|}Ou&cEPSYI@mH|Fcz zQ6tF3+wYa9gGU52NPKbLZcp3C|=uDQOz`-{Ccim|e`Nm)t%5IZxxsLg6ZB>v~St$+1?tjJ%uD zQk;2)D|4t2wZ2XV^%JW2@Rd)A;4H6Ja2Cql?uV7;n;pzBQl0K5?imnQuPO@JTYDBt znroW6ZU2jYCOlyZnb$D#TPj!Fa|Z0h9&xeg8aVF9){&jGFwC*(?KUJMy$5MBrAi%g zdC(=%ZJx_Y17WDp<6mcfJd~Zk4&JDjZsb*Ib7lBfs5fkZvZ)Uj`O5G=(%){h z>D67dekaxRH_JuHI`tLiMN=jlxS{?wpln!eDEoIRAE)p^uRL0+)4Ho-T$of|2tkeZ zL5O{_@(H4yS6l5>aZ2R9J&(hE61o&VK4@;=aQiLqV5sZE3*vl)c`|Xu7nTK_1)pA5 zNefGgLysaFqyZsC64#%^>S)3E%fOZuh@VDj*9T3O#g*nBbK9c)^I$sE?zj;OZ=Y7j zt}M=k9Pm2TgSacchdqN)>d_xh_RG() zouFUZ`M332?L#QV$oSfiRZ=Rw_mxcdKcQ?|)xSy$@qQouSWS;>yYyJxL7Mzh_W9Id z+bM(o1FxdqRh;xscYMCE6HSreoPQqDt68Vaj@!J5#a*5;q$181rWV0CAkA3jmxsw# zDgtTuV7{w}-?w~zm@DqP$MoYw()KIyb#@V&>=!=mwcAt;<$N|I&35wB4SwmWx8pX% z6&udI4R{t&RE>7B%MC>pkD+!sWw)d_E+S z%xdf8)-gxnHV!WSaVhOkyx+pnFNm_&h+ZWm3t-bMZ6AGnT7?X6?&Tt+28>xH znOoXwM(J%9lSNp|Y3Y)#h}w_5?Eax0M|+lrts9+M_kZD&+IMY!w7kxv)icRU4+2GQL)t7pkOgSvCuqKOnQ#mk%(b#3X$lxgYfv ztuZ3Q9y8g>a-@k#gSt#Y#nNoj7i)pn&gSGm}y!puMac-5UVCMlAU)3QzVs^~HA znN}H%lyI5N_^EL@$7UtAWF@%sx{HhL<|Geax%P^T(Q}vaX#ML=Y5s8OZd!0NS+iU) z@92#WS7!L~rp2&}pz#i(_MSjfROxiTuo`vrVw1mmbM#Pu5N&&7QN?{|5V2+4$>v4D zb7@=3aoof7w+vmRhoECRBm>ZVc+8{N2Rmod861IZk-PWMTKMfj1dd6#1$MnJ2RtQ= zuQ#es!q?BAEq|SCR>m+IkWc732_|x%%gtIJ(3T4$HLh@WOMlmPRI{m{;lSQg6LO2d zREuw7Y*-%Z6w{))pWP#4q;Zn8t5-aVZ0}W3QyR6PbU*vaJ+y82)x#&<)hC;=J7kz# zw^`@R1!yC{?ZyU}VYd%K{i3_4bM|sHwL7y@Y^*z#0oAOOD{lZOJwll!Bpeep(`B6R}#%QPy za4l_Wd5g-YK}kZfz+GW%T`#@zmmmRP((SaXe z7%chhQq?ZJCg>U=;gI+=WBS6lxz6Vr(=^?x{Upqh9e93rdi~d@7jIK-3i^fltxJ{E z9_PV+8i!;xmMbJKDq8QIRo*V7+w5z!= zv2;)>x~AiLzpte!u*S2M){TYXrhr_bUKu`*V)Ud|)lo%K<5r|w5Q>v5VSYxGdy>qHr2X+jMxUt#cYJl2L`K7z&6&{2S{6$i~a@W3Vd+ICjMQV{GIrhfUWiS}q zwnhPu&pH2t{BWG}Rdf3^#UhbyU4ZF^g;MdS(%h*&7G?3GX6D8E={kcP{4@aYgY1Cy zRwma7R=*r=)gQ42=5UEr5ODaPb%Z;5Lk4vO&vl9Hy@>lj6*R8h=+g|D=pl%=Mmny zikcfWAmr@f?e_>HfoHgSMFM>hr=+%tr*+1gb4DXno?TS~m>e&q-?{S4xw26eSAOY6 zGUG*!LC5Th#%0DRE6zTb_&D#R=Z2Xxc^4~=IhlAf+@vS@j}|`!u<;p((XX%he?CM# zq)aq1<$O&hkW;spPZH^LNg;AiK~1oyPy*)mBxeB3ns-(`7cfm*&yYdfiv`_vpXl}A zh;nMaGZtZ{43XlteoyU(^P2p&jTege#&bb;S3DXx#?cryD5+9T9YzQnliADCXn8w!_A)ST&j3vZmOwW^DVx30 zgYEaO>s|(4nK-(@rjpI}5Y=@*gQrd^O{P`VSgP}*wu_GNhVCl$rc!~{((Ot|jWC6x zD&@DxPoaHB&rCs~m*!M^t06#}Qt=?`!41~YeH5RyrqLpQi1XH;!4}uh_&L*>-OYb< zsB{cBwRlK6$Ah%-CLQ9UF$FMGS6$j$9oE|&j2A^}`#PRNXA!XH zs~wHXjh7qn#$8aHHtvSp?Ie zO}Fv<=J9^#PF^H+PBDjV<-oynhsEw(s(_f$fs2mPj<)7AW$otjud<#(}NuM!t zP%*Pek)`)38J^^}YNb2zG|Z=SC`3F6F8mhsURAW>>i{XJj91x{5!Q z*CKt;?+$?&TZp*>j^WUnX`VM8|Hh{ylF0hFQgeSr5*(EqM9-fti&beVs-G5ijRFp0*dJy|9AWxk%OsG0r7fYhkqfOoN2=Bt~JK+A9`O z>Csi1idA`Mhn9lDi*sSkUc&dQmq|q48?kupc5>cS@^(D=r$js2ES2pG+77k ztV>H=h=+>4doWq@00b7opMXAcQGFO2+@vIRCy8|-JM$oJ@z9A{q;(WY_B#-ljlUB? z)Q;U^4)xr6B5OD6Zl5`YK_FE7?t@CoM1pV$e;oIqQ<2vViLy4UG&ZOW6-f9cY zrk5SCPuhR9;O&)*ZY4v}>+3p`s_Pg?F1-)sw&%4KqUegBC(l~@gd6Qcj?&i0`y9CW zZr*(@rqL|n)4Z`(#9(?Yv2VhUx1%uifn`oRagt3hj*=vHzD zDx1}Se7Lf+7IKFXTGtY@a!MO?t)1(HH>~)Jjjopp(*G635$LIzkE{1DyKl^i9r|7}2qi2onAuO`@>n%Xof!c~L} zCPS))<1_cGUXOg&ZYfZqvFtA)%6Oz>Ii0BZ(DJu=zOI^gHm%WvD>W!thFapB*qMY| z%i0+Hl$#-KO0@adU+Ygo+E`L2t5e**eZbCqzr}PkATH?Db+e6_|9k186)1zc`s-t< z85X*XE26|tz6vu!-7?(f_k@4U{5CMd{(mgc;rc&NuWZn$8lkT20zwhDV-zu06N*Tk z`+jSln>FW4?4hxw^|x)fmiY&0tPHvg*Hzk`!{jdst}ice;Ui8tfV>Die$S>~6B}>% zAVqIqdR(YEbuQr+fwXQkJqKUdr zcUc2-+YcN7zdNXpYr{ZjgJmC~DU&2NO}>JbhmhWAT$a&NDA2)Pi~*@RGElzbH~;&T z1U3$*Ax=Hxh7K7~7v;%JvLJsXIgi8qNCR5(3Nh0C5R)Urg&Pj#h zPBU|$e8nMNTV~b8o~pfb$(=J}dS*DSv{1=OMaoX|=o<=$N0ME2aj9zmwZzTy4Gn%n zgHI}YT<-RgeM@*&lRaq<_`Ck=e^0eSZp@n>{p`EAAk{X4-NH!oi$FQZQw%ec&Lvw# zlEns6fj*HYe4t7+KRM1-#~HQ~&~UQcmNvP#Q_NOHE&Az&(ESyUN=C@;J~PWoQ+#JS z(`hSw#T}ZqQj89=&P{)xnaaQCW*)^>rbAcwSJG-c_cH0$gd%yihoNgEi_T5zcU>m` ziifU5pG;%4yg1Wz79JL^J86ntsc5HUy(s)3-M8hFCyPW&dqB&biokNr`Dw`Fx(QHD zd)|UIT`GHuQ1Fq#W%`6VOvr;LJ^ylHb_(cf0eIYxRJ>CO&FFR!%#%e2aPl`Za3-wA zr+&P8ONe)^qo&m4bze(tG)S3?|C2N1BDnKz10GwNws@DY4(sb+v{Zf`7M4-NRk1zF zm7HgQ1w0qWD1Vg^%K2dv_dBkdJYhmhMTzgzf8sD>Dw6t0Nfj@@|B?#kM{+lh(r|uH z#6MZ`v5rwFKlLNglP06X7}OzhDogH{WHA2+cjHKlY1&Roq~%0^o}dBUnd{;$d~CZd zh8&ciLtp87$G?ZSH?9wT%izfTu~iT?QY57#Qx#H6eyz*U%KxR75g4nOtN-?G}ItG4jjAybOsNUs9I8#zwQVmeHvXb8y|QV2cA0d{wy zTLTBD$*L}4;#5{Axe1`tESn9J$l13g%8;-F0|4^+VmNC^{RazMk?J|vvjAXD}D)FaUDkuhYVo+K3^C!H4JKnxuM3BcCWS^F(VP}KDF6+!w`)B1u1Sx_=uLLZc!^-YyVJXSIkBv`OQN z+p@(ojDR#Q;q#l>{z|QWZ@mA6z0^t0hR2H1mHef4hI>v?rAZ0L$Ij3A6qH&GGul6* zUi!wyhDZ4tz+%rOgG6Y?^=Dhz^V<@>;C~e|IEj2E5#haygS-@@+20aipMm;V6A{Gd zIv0s=bo+5jWYnRWK6~Q6@ITPckGrsTUgCn+PpUT*_2f7 zXCO|`aP&EEymZIQsrEfG8IQ>5;^WsFiNehCN~L>0bc%_5gO32VTkgQ=5BDKIFgbaI zM}?sD7AH1|Z(LG{r~%cVN($Exog%iLsnuIX`%79&zx>#^FCaw59x^$f$MK(u!~);Z z_L_$fW{{d^OD9F#abI^-{v9N_<%WAEvaT$z^k2i^bQc^I8e=9nvDx)A_)TZHSF>aD zs1(C%ZLBmu)9D`K#h1{vpi*8G_aKa(4NCd}oib^doY`EmC;TFD>X914Nf;1t$7RV8 zZ4!|Y={-4vd}wuP+i1%!ev0^{jc>ux%cG-6b>U4ERFu2dvSamVRm*F=YO2}!i8#Ik zKP9G+r~jGIYhi+32#ck0M=9#vSOKb{%m_Yp?|M^ZUp+rAoawjjq*^_Vyvy7ARd-!< zUXLfKNMdxfPsTa>3jw4Ub78zE7Q1?Yyvxz%Rg7ms^yY0MhxekVgs<9F&3~uE&DSE^ zPRwz$J5$h~8<~RM7sT3xNWQQ3f!cJf2g^mHqdqFnR1_(Bav0H$tx#J`B9>k5>nw?Z z_@uY$4Q<2=uHAbjezcBDZ8b+Wle_YCF3`8}t2JmEboCAfgvH5;pZy9;clV3x=A?81 z9NJLt%9UAZR!myMTW~)*pL)JwdQsgj^tGbbWZ>60CVOt?bd9P!Bb0G#WYqZ?nbvHn zt)mRDQ%@rGM(kt!Mk4${rc_Mr+*%^zyz<((`z-WqNZPf)zkddZb{xkXZK82@Bhgna7W1riWQ6}~4FDIe_iL`YvP0|@A*%l%BV4tWlxL*(OY z_@_zm>wH^Gh+qJJ?#Xzo6|Ovap8W5+#41)_r$;6C$A(Y4A!J_ujDMS|>_mb86mWH@ zRsZxY*fj6`Kc>Dis;%ewJ6LdccXxtIi@QT{x8M-m+T!j`ks<|(yGw&pTnYqtm*NF# zPx|}*Up(jJ#XXtN*v{O|+?$=W zbgD!~l5i}=DCvQ@k=lB|FLG~-hvcj#jovqz)*Tm-2_1VcL-bvz6kovhi-;p`dJAfS z?EM43y%>s@m{@)1n?_Gd94?I!$Vgpy*w5uJGBv4%Z+6xx&BeTU4qG{cB!!yg#}O%p znIY%ulyZQb=vd>Ryue6$5i;TyiE?jH@7IT3L}Y?h-0=r{ zE%wY6d3$TGH_kw-hb6p{D;se}e%qHNfW@(?%o9@>$hDM**2L+5^Oo>cb`abMmeNqx zd4DPNB3zUB2c|}PtEw4!w7?td*rlu_b<$MM`T}CJxc+n1>!~@L&|dfhq^drc`ALWJ z`l?#@VVIA4j5y~2<3AN9j#bNf(CGIllz*yz`1#Jr(>xIQN%<628gYmczFo=N5i1$N z3E_e5G+0HvelV-=xBYrHafLxQtII_)Y?`+Qw^Gs32`*y!9g6ACD(!HYOP*|-?VKI- z_>pjbu~u`-aMp-yhqve#C-6J*V?3hZvD!rsfh4F&(35$so^#+%TCJrXS@@O{Hm((= z^ue3?5$;!}5k&xj+e*d`d+|u9Ujxd|A6Z9O$dz-2tU51eDi;)JMHxn1Rvlh#=PK8~ zW^DjHE8%@VRsDhC9t|=lJja=SvvI79B)KTGYw%F&y-p}Zv227`p3aEJNwn4XkH`Sk%b7BjWTd{ z+3O79@r^dg{sIm{%7gd>ev;8PbCGax2nqBX954WU%ONa6Iu>Tq|EYaP_9+c_#rt!l z+wDTsOdG4wur*iIaWDzs8(&tF6-QZo^=jNDC+3ueF<;xY{%CgqrRu8lDe_x13@!Uw zK$SIz?SQ@_zIUyk$|Wn%Y*z0UWj{%Gi43m=yx?p4L(Eo$Y*+ zQLZ{}pVg$iOw=S~+{|{X5{XlV)a|))C)mQPmNcC#S8XaVS4-Elb0Cp*TUvNLJd*fL zh|uV&L=-)81-jNX^h-|DDL;DCZ$$>%9VsnYd+1hEgP_OikyVZg0RuzWp&suS z7~Xn4j`!O6H7N0Xp^I7_$=QsMYtDe*yQzCh0p7mkM+P65U}Snz8-Ksv_&d5*fKMb0 zMue(vRLf(X7PDPLJA$IM70gg^ntK@PSVq=bZ!2eQ!Th( z{_qriDFti4UmlwkI?kcB^_j%3Cb!ziyqZCYxSG1Bzq##LO+Wd}a2q4NQ2!)AcSG4I zvJU9skjgAmf}|GwPX6%3|6y@35@f1HUIk&a=5LRWi(g{q@M`?DrgP3|`VXNDdDtIN zoOUIEzscZtXXlzS;8$ttW>QFIdLv=~_RtxgiJzSx_Mymz)}2*yS+=uF;cmp2RzfE);IfK^-i6CL|?zdU{txJgR#1dx9Cl@HMZxDGAgYGC1gFBz%W*MFd1?Fh})_fdQ zNWoBT;Y^`6Oz5`e-gTLtk@akH+R@XFB{AM}KCSe;DXYFgCO>$CY|MQhEmYSaBmo+j z?T*lrftc7OU^ah*Drj-+szA6qIw?usd|AG@KwgQ)xk82y5%as9clRXr>D$ZqTX7Y2G%?jx8*Q;Y4cdlU=wZ9Q@<+(9wQZ(E7xwPW}9`$?6 zgnxH!+aYSpK1LX;P0UYkx*DyaX~FqeMRbbmOOxhXBn3w6`vknKtd7OUVvRcHB3uZR z_(3SZl5Nk7e@9j`X?t*rxkS=l$CVl<f_e>svk0B(I^>azg2+8(hbekalb*D8F4?m~R#L+U7%@y{R#S zMrEaKX?vyd33l*vpFz&Z_~IK1S&!6~@_3WUIR)da zfKzPGl5q>T=rfjx>0yosuoqz;M)iBC=Lu#q_dqZZT&ty^ZacrT8&v(Cjdkb(LW zYf+~#U@w|IajBf|b|Wu3*0iv0X(|I&fGC+3Jx{1*<^}K>LLNOMAPY3nk(*1x!pjfX z+fr$HaI5-Z%nvRa<}vjrxg)Kav^*e}!Pf3j1V&9uLMW|k)(whq%(^y)oB+MnKwDq( zL`mangzM$;#Kt^UcSctT+yioN{+nSpSAtQ4f##xASXm?u>Gzl+97Fnc}){}8K z2^~u5!2dt@zfb@?>S#O}BM~%q6z~73?o0w4V0**EV0~b0ik;eFfcu0$2xEd54hXMh zjch_U`4XDCxfF}$mH~b)hoQ9eM4&L*`F|j1gsbSl#HB<2Tfx@s3P0xSE2%Ga0$h;^ zjbod#6Sg>06#H2(Rpm$SRq8({r+oeepu z&GpEBcD1myrfY+EY#osgT5*!-0`E9#k53ax_wy2@+LMtT_zZFe#xqbT2i=kseugp@ z4ZtKf6vYsIbtmz)^YM1Q$4ENX=TtcE^~oTZa2J<96WHcQib4cA4W!aC;@7{zu{!Q8@t zRX&%d?plR55FSwt7h7})1antLc))O+^%{jk%hZ*`ZiuLFV$6Gz?f+9b}3-Z z=8lds3yOjUk+7B#R>yhk#^a$=AQbK@I6@<~7k(il?9NKJyb-Wx)51qt!TLowr<3g) zf_3{usN8`H)g!$jLwjTwH0hXEIHAot{g;dPbAs$Ud>7lh*Ho4v!II0P&lqLNgiIYm z@Il~$E%QY*bzv!%9HX^2J<}%h%Q(!Ih+@*WlY2lg_gLh81zxua|ZUn%$8Tu);5;a{e7pi>%A29YEk z^9y5k2u;BOV{FY(c-&miV)hgAF>pIHLi!>Rc+B?25~s7fg{G`s=gBd6gn`u(-mT|) z*HojzyS|BxqKrkou;>SNzQ860Fyj+D?ln8?6Ydy3>2A}pUV#`#<#x!kFTmOCYm6`F z|1d0_JXoHb6ZNJ88Kn6huT@qjK&JY%FE)J`Q|7Mo#uyv}o6^4EcE3K1q8?Z!Ff*}E zuth=PGw*UGbYq01u%wt`ixjS-0Cx=jVgRmUH6CPY(@kmge+jcpdt{0~B9`pwPVy8r;g9GjxkBw_xANX5FG!z?WP>%S8r)-Jr*`z|f2P#5D+dIFajTI!c zcw>J5Iod)V+_;6}01}>ZAUb5+g!;p0-agEtX;>vs)IzMSAla*7g8d+mz*5Xyj;i;rz}q^}wu^_bVz>vkz~}RC%Ji3ZZxI zk|j+_=U^+@|4C?z-2u-Xsf=ff-plPKXe&w(+a;bWHWvXagxW8rfTVUvM}^ikzgy!+ z2`PI9?%gF27wz>>sp@WOM~iAR!iW+E~CBM z73xf8Q?+#-2{|Zcr7pTITabY%$NrD5o9G2~o1X3MY+UESQHhq;k6QBqXVyQG;3izR zADltYLAYiXSn>W2POl3~Z>EN>di2-yBa$i+BRk)+EvG=6*6PH6LUFsL z#TB-+Djg;78gshes{@Fy?`JG(al??>Ono22&ZWa@)zdwsT9{_mgYhqNQ}I@!oHtPm z$~UV)cxD0EA^u0Fp+BL7xIN!>R00uE!z=wCovG2NK5bUF;F&dXisC(oA`Sc_Yk!r; zr9vy(T&?k?^NjTyqF*b`Y%=tuyG1|^Kc@EEE=q4g#zEG)x&V#^kA(a+thE|1g|&$M z2dJd>i`Ze$mUgF@y}SSp2D^z$_UvA}>J+U~{->b@r)S_Qk{9RsYZBP+(cw30!G}#x z=l0KLm)O5h1GM|?3Jx~{+O0)$3-?f{P>beQ5$uO_RHkDTh0j*?4_NW#l;`J$T1I3k#S+NML9 zBhl;$r9tifu_Lnkotbq11p4hw(4sCvjey^|yjW$g9>c3OYSP1fI75H|sOob4~s(AndjU zSQY){`D#7o{qxP(`)3iOF{_Z3pCt;)sg9D=jq9o{Kb7hW$}*<(n0mPRU9@9v*A(Sv zy14lpmzI#D_D-O_1Kv;3GWo&+@P6f~E)U6h2-hRWaO+^SQ&eel5MVjdDWr&%!(b@e zw{8pxfBpe{{S-L30kA?d3FvE|PfJ*T^=oRyb5CT*@0)xNc&G7y?Z|g+_*PTO z`*Di4d0tHq^u&@()m=ych7+bAYdI*Z`(CyHhMAq;C$BcXiZ4+bhQ!ifwcQ}GWGFZy zif0sm0J&Zo#2Cahyp`G9$3Meop~bWc-R}Q$y}$kE{-!eJJy!1Kx4^;4&>OqJ`uytS z0^#Bz-&q4sU-hN)gheTyAMN3s$6W%B7U+fpd@8jYCCL&@%R*dWVlg@Y%$V(%y8Vg3 z`tm%n{c1wi2zD`-adJ{e)9EFC(+1o2B1a&ftB~Q0_rupI@|A=DvuaMS(Yoxq)3e-` z74sRIG2-aDQxma#1}s7_)iezxNJ)97_=nPQF?@3mbLz#%ZngFOPAL4E6a156Hf`Hl zx>#yPz-yE{t?v6-ZtsfuEsc_9blrCovGoo*Dn@D`*4jQ7J$NG=#?g?>9(FxYa57s6ipbeiDR3bw#c$r zyl^w|Np31AgxCAY70T8ksRRVibUGgz7o&hNyXB|?DS&)Im!faG_U{iT<{J-z+9bn4PqZm?rkdEuXrZ(5fL3Y#B#9i0Rmv-L9wG1M zmrQ(xm3_Ykk@VJ@3HM|V=Ld^jn&Qz|QUg{0ap&5Vd0wg3R&w6c8`yq{4+}wahDL_dfkVUM)o!;iX1H|BG z#5=qc6r2Q3->J!S9^Mq&ow6{|2;#EDo8I1e2PcEX5?-cWPy&zd)YLf-C@+?Da>tR{z}%(#}I2LQ<2;ixOsR4iF_{@Kp)-!CEpk4N74vy3d2c^EfqZ1v~{-d zuL`6pqy;vM-?i18?;&g+AD8T4Zj$0V@jWfMj3M`35!?s74DyXwgZuq7Vgl?QS93^0 zO70je_BXp1a?4lDvuS1}$*5gHlnQYnafbRIrJM#xyLm={hMPh#&81S^W&5UW84}xa zGQ!{8YWMqb)I&ruoBh=gzd1|%@5H&$*+U0PBLws7c)a2XxxN?1uqJTHNtX~X7Lxm` zD3ICAb(Cw&CSvXqKP3XeD{&Un)r{@MJ}JygQmVjVbQm}t&P67-q7*RKZd7agb1?Z5 zQ$eYTe@B6=!hdl%_xh~^OoKoA73L58mEeVbw5B-gF_!33YM3fwQRL*k=Lh35=O%&= z+mYcSKBk=_Z3`_tg2JE+*p&9Yx~U4Z%yZQ+@ZHDy$Rop&9c(GmEC1U2TGAv9Yzbi@ zBJNoOf*nN(HcmN2<>QqZRollBF;i-4(sxn~_qDi5Z?XA3*b=fmYt%3ef>uT7H%99t z9Yjp7qi5hvYZ^Jb%-a=ixs0aCzggN@@SFtYr^y+bMvx_`3b^mhJFQJm!@fF{tpjYY zHf|eyYUNv4bb0WmGU^P(4QdG~^`g&p&SdE1o3u_a+4T_d7%1^5H=oh*0d)LLHx|jS z=~0oDiGG!Mf4avBm0zA)&-!v#(43`-_-8z0;->QPw5i~Wf*r}mLwzJhqx^E{=E!Xz z;+AS9qW6LMVXmIf5o(#J{i6iTS-O-T7+y!A&@z@aeBd%$e9rcO%uH6u z${CG7-2 zE6{gKG7gJ`kVmTK8#3HOP#Lp`16ivRgTaqyZk}wQf{_D`6M{`r~;d5B7!m#`beA@tV zJK>ngb$x$|og^ns#-f1zxO~o%v1Ydbq+^Hc;pr@kre1{u>}~op48(E%>9}E#hbo{# zglT?V4?$N}i)%-e&&|8DRl`>gX)pJPYcG$x={4v`d5ykx5wSIr$`}fZQ7cSwNbU8KQ31(Lnsi001k1M3R9VsX-x}8kE7)8t zN2{!ll1!6+Gqf{tPQ!*Eopjl^Vh#sQo^)-pAr9AOlZ}A;-0^{91kJ6TO)~>ogHnDM z?_ye}AoT_&?NV@zvq{2SJ^5Sxd?HB3aF_8D#A@pZs#NAU+?Nh$DnbH*JY+{40J=9M zsUp@(T!>)OZmehk!E&G?ECa+#WGLf4x>pOBHA^ zM3WglUSHwk^*kU7CSGn%;`-%jDOl#v=Q6bkN3wTEHZbj!i&hfM7ToOPf)Lp?>xwyt zGDP56)i-)EomRlSD@zRsQ}-6QnaW@LwAxpsP;6`YIPeo#J6!9Ll$XOO1{!R84FKjH zneFBAD>y#Zv5uOUXabf}xbM9BDNQBJrMR0&ehRtD(9Ju>q_@b)Zh`^Lo@Q-CMDp&* zh=5clO^@WN?r8(e7J?-70wh9Fh5V;1WaayURLQ!iN>BJ46-SaE>5i|pYj$c}GDNg2>=}fsP|N7 zUsiK-#rXL3ra(q2q0|2Wy-R~w;xk$oMEHlarWQv9B`sX%l;S%maV|}kLnL-l-NpPI zGi2zLvYtu{5i?PI-=dNlZ40>8S_9#mUFSRRwa(_Mjb&mmHbt zl#Z0Z$su7@?<&a>o6-SsMHvySTLIz6s*c$wVq_$mJFeJiTjX3YQNBKJtDs6UN61wa zYT|T5NgTg*4f-4#4z@YPKfv<;0dimP5eE~!yWzps#t8>uY|WM9pB4`6B_b_KpjP<`gh6 zpgP;Vn)^|V@3r0(GdhCxsMuk^o(7WxFdQ@!{fS8aaV)z?>|i*%pXrKa^6A~74*MI4>&aH+$_WIqzyQE3r+^b&kJ&* z|MW|={l83r)1xhtl+UmL!ZyL9VVC41cptOmQMMdx4+05SGpvD>#2#e#_~?ZQcuMLg zRJn8P4&Q5;fYcIPMQWlV9o*OCp(dYhoLllQk%i~_2tKAX9q4WALSSAOB27YJW@S=? z*_k$(i>fxaEu2dFgfD^&Rh)oFGUHLFkxP;n;2h&)0Ts+!7t6{-CDf=z-`*^K{2U#0 z`?(#hOo{=818^&P%`R}wUaE~MO~a^$>sJfEZNiiWOyqG@KB1!kXi)p*f&KDC;z&?i zyS&k4A;|npXPFK5Z;CV(LInR|mVBgq*LWE-&Nx7AT7mQ!#Y&RsP;$JGkBj`W3i1xV zw-~R`M=0g?723G19r`GAJ&T7?P>PP&`(!2dAl@-;OzoN7>sUQ*jsB!$QXo;ve459M_5{u3qFc z9x}lS_JqgCndqfKh{j?>G#UFUpE0CUn>4PLUuK4#tXIlGx@;Sp{INWt(m!pacZ*P` zQy)I}eHaPWe2h;$_ca%01ePXs73PGST4|o3?xaFA@mQwN9l2(d52nn_H0c?Hn$AgL z%>Kq_Hg*@_A~-5aGwv7oO^Fh{2<)e+ej_FF4eM0ERJMqZ1GC&b!^MI2Ptj^-*Zv*U0j-zh#rS1#TkKh`nw zcr4*#tog$U*GJ~D239IP3TgaNjzKA`f_`+7?(HZhdaQ&TzzEHPjCv(Z7IfDa=pf>! z%;vLY$u>a7c6 zK81L3dZSdKmoyh2mVXNAx0ypHiNnAzo>W#HX8|fqvCqKD)9+3W%r5#+czF*`K`CUX zgkP!g>86xbzk+F^2B*j>_W0>i81RTOpU%EY+5IA~elx)7Gh@Q?EAD5&C_nN08DawZ zd?V-^t(n12eVsHY0m4M0_mRM^mQgPGB+)N1R^<_4uI#QqSuOlLt9o`x^3tIFf_`-v zp|C?V2qkz1WepKw(`Bp>eJb>Bs=cD9P@=)m;+ zjqz!k09Wc(UllwG!kfjnPO^`Lj6tdX0E5uSuzmeY>_Ex-dv z!vW$&d4#&sbknQxO0MsH%!SKs8zxELB$((_m!GreU}HD-$L zf->bC(x%nCfp)ml@uA}kHu+2+10A$-i4omrPTpSr$*VNW!qE(b_>C~XkLT)&Jz@Fk zSkwitaH09Xt_ylv%nz9)+8-97M06cAKQ&x6*tTcHcdb16--yX0dG-(E(49VYHgvPdI}hBSiA{bzTzloT*Q}&qW7pd0aDmnQ5Kxb(R1vc&-cf%hf5aTk2RgkeX$S+zh zxSxo=ao$^1a97Pu=xKFn6+4HYBhk58+hO?1uYfm={{u;E&eZ8#BySs&XH$L)B|Is( z$Eal+|!|dFYOtTlE8&qSd<>Si{dm+$Y%BplT(|I4GkCX_y67Igg{{=rK<0hq} zbBeOWgaSyY@D7Z4F96+mxtk@9Lz|4~wD%V2Q6nTi?fklvZJ53HT1=KqmdL4;-N(vF z(m^GcSDrIJ*roGAyNwrE*W28BQIM|99`UBg*fGVJARCi@;%bYOhh6`x8uDqOlKV%@ z3bW6KpQ0+zez&b7s@socj-zOxsrXfDY&vChTH9o)yS;tBM&Z$=(u?e0(|lwp%7lfM zZEPweXLOBhJg4rZO7@xET3R!QD~G>Z)4XEw8@^)Lf^GiZy!m6GT3Bia4xak8|D$sD z%kRgZ`Ru=1W|#lECA4tcUg^6<=*%WJ>Y)!W8&Ac>+MtdsZ~jL5{;qruXcE%Hs3y+r z5u!snCfhNe@H{&+qk zhOJK9Mc|MLosb>D-c^CMV;T5$Qoh^4Zb+1&2|gx5J>rfX9@U3_lf?}g%xFX#`LNcw zLrhE3ySMZJvJEqmw<7y(g{EatZnS?!uDzYK@PX!17Ei3QiU<~U;)lSPbjE@j+OS*O ztp=tsinAF*bB?mQP>XVJTCo=(pw4A?r#`{@%n5@ATE4s67y0{C>GA$ohn}d^k;;jN zIStSFDxv~1%98VS9eiF|?k6a+s~y!Ct-vNmb(!mVP~RH@;jbHmYPTk%LDYk>Z&G!~ zV}8Lmj}m?R_vRu0?^6KA??^QYAIj=g;5Uu5##}CGLwa>lkBCNLVtLTcB{kyKR;d6J zx%Aboq8IL}+6w)S(n;LN0Y~J_3aw72yrQEsT_$YecEK6#3Ez*3uaj>~XIZ4Z=O|p+ zO`RmJrsp zS9X0kqbwga56=V|&|nAF6mSi&r`&QV*|f4?0#ay;GYV(U3HPlRWBStDxoF$bqK$mQ z3>&YP7_PP#2{yN?ZWQ%0d9%xG=xk@kDw)X>N8A9Q&lq9u=#*CpTxHGtRpErB%ix(u zo}*Ccwq&#vsh0yN%wn#>yJ4SOtvOo9Ak@3^xyzFOUr7MKl&n)Ucs~7sK-OO*C z7mnX_W0pCWb6Tjx(z_>9#6C>sZ8)IR$PAo8J2H~cdvmXT)nwe{`14)4(aB3~a@fth zr^>JE>n@cy^|_U{1kzba zgj>*KO&~V={_sK(wTE7Sw`Y2n%e$I!Uk#RI%^ajoF#SZ}H^k&s^mpQa670VFrQq1$9L?pUpqvYf6s;Jk>4 zNM3v}RYKMYIRkS@T=6AeT*2`dFF&M0-?VS9{vq`A$Sp%+Ms1jbsBT1%6~|uZ+Qo?nHt(f@3p;NDMIPiiuXqfz>WjnI+; z_!AwmItl2Z=WtVOTo(H$a^jUM1^DzIxeZr&zZS>20TYI;a{~%*oAKtjnKC4P8xgIs zFTcuMs9biG)NLhOA0wWP*rn(lX2F%4wA9DQ;{i1`C{EDumg=h5!#ZQi? z7<>I~#nc=P+<)x+xj4=61Ng=GS*_@q*KhKR=+ClL?Z@iqsK1gpj(V{27mZ&K-j~G- zMj*r$E?Hmr%Jh^xj+z{cf%Dm3jMG%Y^5$rd131QAXzYp+tzlL!XEix_6kdGBn2eYHAvB+D6hoG2LCjjTHm6w*+gE*?TD(6#GXeijRsP>BLC9KFAzL8M3%*8 z=fR^njg-O=qKLEfhWr^zw5X(1V#k6F!fNWqz-cXe7X+12mF$wW_UM%m)f5bDW%9Z? z@7?~-xY1kc2)zjht?XgKaf)xs!VkJ-43+X!T@=itar3M4oa<|!OelJEAgz5l&*Z23;K?^P#u8kgN_v9!#W?6VKd`dpSE ze%v-toAHA|ap#O12@gk&U#Lx7dv;m=l2_DoQ`p{!17h7Yt;LU#vK zHCNuE9X~bS^4X!Ihd!Aha2OaYv1I%NwmMcNsl3h5{UW)8H`jmGOzTY9qBT$RRb0^H zw1}d}nllA0EI`ELY-7tq*D0ef+HF%8I%)jgha|@`3Tb%lkRqUF^K&0!G47GTcHMVW zxsR74=ASFg-o9%|C`h&Te3ja9YH_b(N#{ej+bMmXjR7fC@n6?A;N}6myvgCx2B|X4 zn#U$6{H_4lE4_D@`!S78nsaFJ-0_@AJ9WItK87OQcZNcnf$)UH!-E+>juX%@v*DAj zO`U_%hm|P|o)8(P78A+(HY4d)Tqq&H*M(!)K&|l_^>`nV+mK_&H{+LUs(3w;XE(fk z`ds>rt^EO{YtYB@ZwTKBwpoXQxCj4iNI9o4NTP=D#`=2@Xi@|mg}sH z=p2E>{g_~T-{&bP!ZZJ&r@a^^kwkmJO-mX&yS8U;Z4_Yo88+5~O!6akhdX^aCA4o+ z^IdWIlCREPNA}MSgI2+;@8A1g-0wzS<)Sp&hkG_DE|v6Tlpay@H5~CBM&}BoSdF5* z5qH&y44~l^Ai-TrOolKvR6@C

eVS%?i!`)EhU3@hM(QDvdMcSD3+VG7s@l7X3ZJ#;@Ll(!TbgauqA)@u4>sv$+7 zZX`6Kz+c6UOY!j07mM-rA>>++5Uju>VssnO82|9aJ=&dTNrnESJEQu)LS25yV$kD8L^4*W%xO3$o&b^b$fbW@h)oO3o!%a zIu4i%Lk6N6cVx_pvEL@lJdVJRRFYT-RrZpHVkA^uKo9~-yPO23H8aG#%i8TE&Co3> z(}K_3oWD|kV2wm2I-N#tQ|8_!{4(H{tH7aZ1}M+=a4(UJDvabNP34*4b|2F$8;O#}~9 zVN7(4TE?^jCuE~d zb!~CyeT=YhH=}{6sTK3qsJLH1Z({_sJK7&57&Tt(2GZ0i$HRlXTV7NtGE&|{=Y}>J zCtjn?o~Q7^-VEy=iZTmd#Ke9kh2=TIhm~yV^9luG(B8{tTNFvH|j7O^kEM~OeAja0&Lbqi6d zX2Q|>Qg$M^P($#xDD29SU!hg8F&3a>hR4ePCm@#TS|%Im7252kF?$%Utn&mKST?nI zHK=ALTpuhGiN*XMS}2H~XHW-MFE1X3+e~#WgN#E)@I?2iKGBnf z3yqFy`eE8>PJ|VV@EK?t?XBX!dYE|ZHj+AkN}pv90{42)sA7cRt%z0b2J_u{ZDygZ zoiP>-ElZ$oO(9sN9wGg@nx5)8s+GTD_$@44*1ou*TpsxjgG_lp$-^MrKBidwwKZZf zS7jG+^Rl>mxAsQj-rM0SCqWKs0o4x79-pxcljH>SOrH<=La9=u4KLc+WB1>(F%Kh- zF6(+i{Zl#*2cg87cZ^%h*+WsLI8whqn4}c1N~DrC-kjytC1|$*L=)V;OTBN1r6~n!cs9_j2;C z`DcAZtJWZOMq`%Uw7-2AG5;w0hC=l@tWweP=>Z+h%rf0nQ<1`1Omo7vzS5WgR)M*A zdea$4w|!qva|D?56~X$%dxb%qKBu#Q+Z+Be*r4r*2s2MN9b@XKN0i@Oze~f9PtWJM zn!CE}V*M;^{@F%J#s7usn&3@`fs)GWYw7ba%TjH@Z<}PPS3i`w$JBxvDpl~ti#T1u z0W$vg!`YnDC>XIpo@-Rs7;pN&NsGO{2403)mLMHSind`AJE3h-Tg)8 zxg~b;Hnm{Qvpxa8I<|YV_YB`dS0|l-l;{x&cb?`{gTnfcw||}gEV}Z5V=DWh0W#mHTmF{DZ*Gkn~Ug+Mt9fdW*K21h_Y2Tb* zEBwz5|8ws6=tJeL@($#C6m`-hjd9x#S?-d(Dpt-PyzER$ky~N-XE{L*ITGr>`_*Ol zY7kV$hZPcX7uFQ~bXv!^%Im&e;lv%3I`Vf_Eg6jM>YLm3$YYKv7{0p95AaDdA}DdJ z>={nKibE^2;%|tT3Z0sGMfTw?Y$&7_Cym{>3|RT$JG}V)@1F*(Q$b!1{Y;897kum~<{#*9%s#abC(3S62fMe7%&$j#6N73N z(XI}hqP&rnYkH^>t~%f+l7+RlyCtKx8{3)4Be{Nc8~v%oWFqe(s`wrS0pY6*^#17PnhrSq@P@cty{+;MQMz0wCum!xEg67ZZ) zeUDG{)dG1t6LNkRb;&sucE`5>ucq|ThS#BWd`9iWz9n?=cF~TFoZWKyHQ|)~r<`7B z9>5%ft^6L*Z}HN_)C=29-JLa@SgcxM2ohQWauj>xZk*kM(k&Ki;WmtPf6yK*HV@H# zrU*~YID`O8YTg-k-4ft5O1w+7YCQ3TQ7e1-?FGc0*b;hkf3}eCPrAC!=mGlv(*FL@ zT2j8;G`>YvsD=@h^uxp1!R295(tl##WFk%Ubw_-a7(6iVqrMzw|EMWI&0jMX`@?#) zp~N;no2Qw+R3S(91Z%-pmVPh~f1X*S?GvtG%EaheiLTR5+{+@_2A`};_fqF@pP*5;A1_^OQ|w)Bmr>9t@wL6&;EPk&Ee?1Uk=QnuBe{zz zrjHEY@ivnAA4+_8mFtGI)UEIto!R&-@a`%k<5jWl9N9A($iar>s>VlC~g;)*yN!9|Xu2MwnMPVHcsAIqqGCFUcrXit(CeUD1DEj7^2OmjEOUV)Ug^`!2lEMDn>z zR63IyDo6!oBA6`uF5vG@x-*M4P(traUxpfE!08j70b+d~&@NJ8cH|N%wZwJK1=K9; zaoq>n^+rhl&Ou|lh`0=Q&| zT7I2v*CrlTdQvW~pLzB?F3%^9uq9}Q7D&`FyLT10rI@oPcE`%1uH$3Vx0M>K+CGwT zGYnPl$-VUCH~pFeTHNoY(8Bm(EXvO=UNr;euE9-Fe`;h8JJu-_8X}32iwx7djefbP zdnKH>*OZ^0b6)T$nQ|$G3eJY!IbOYU$DdWtJw0e~0`b#6`QbMmWcDhV`^~C{a-nPY z?*?gOhlJtkJZI_Nfely>J;Ch?cUSn?oK|GEVw=vw$0=JhcYDS;>7>U6KW2Id)P%O! zj2jykxehNZD7=ryIMsv--Ptqlb^$_zIzay+ArK`sc62D8u3xm+0iXG#(Kbk)iW{L_ z#LNz2rSBO#Zgbn_QUj24#7kaH%`h$4f3eUseZ9J(HaTtV>?k*2m#|FH>hKnVJv2&E z8ri5AZqv5Qkcq^Y88JI%5eI9GK6jAf+Y)t@>#}R=4c)(A{#a%j-FbGg^Epz4H)aRu z2F$f`{pN`<1kU>w(+R4Qet{@@D^umg?U--sTRfq+GkI$&iC+6IF`yotv22bqz z>tLWiMChC!l8zfKz=)zbvXMACs`4sVVHOPZx|lGE=Csw>YUfq9h2UeE6 zl-S#j-Tj{clp-Y`6B26t5_`s1uLS-LA>4$uyMVqaIb;U?FTmW>lY36_-vqB>P=Uv> z-pvcX33|zup0rZ8K~8d#s0zX@_7Mz$ zP3(|4<;QY`4#W?=>7>^@#TN$;0-Q*Wp8I)jU^P;rF09E$LAKf~?0bKlcq?PYI7d*_ z&+L*jmpPk)_yiTK#;*v-A`&h+n?hsXD=zTAh_N-N54vbdGB>+{$s3n=apTR{LApEo zcK*}$O7gkYfMtL86k!yF`b={6#(}wzY*dB%grZrj15pVvp4=ZyXWO^P&l)mmSwyO- z(zq>d;IA`W!xy}y2&xBY7791#GD4*M-BgSFAVwK{1lPk{+K=Su@vaK5Jst3|ydb2= zk3rLe#N#ygj529^B#c)vFdAAo0L@BJ3GaNb{zJH762RG;NPy&xB~@AQLVjE;05~S%GwQ&0_PJunC$iN3fEx zf+F%!zTVpq2POgCs%+-Qxa@cC4<8PFf~7bDG!u75oTG>%|&d9;=G{#fIb;=J1fNCs#%S z^3%^&r5x-z)Uy%tit^lQJaqG$4P#YNHg02gbZKe9EQs=o&N&5rKK?rlxb%!{%IX zpWpAlySsbObI-YFcjvtBy{|_iX29gj3vr53gQA=}`htkgyr;d=ztuh{KlV!DqICM_ z*eR(rpH6#4{LOm zk}>=m!T&CZG?@$Lr>A(6`oDTC(iO6mUi@E^4qIWo@ANIU=MpfY zIlc2V&Gy;}t*CcJ7Q-pVALZK?iY*B;r!y3jr0u0Sg|raIr2qlaM5Chk`%H_!>rxYrg;TvRTMiDwv-a1=7AJ@bQkC|}gBf$jwThkd$ zBe0rQdvlrknVB4?tkYR+>>K%dLM61;bSvI7bK__=Cf9*4qc< zDPZVJeiFjqARRiRVkDqKNbzEvhJgt7!Q%PV-QeM%7DjJe2VlZllGYQ03TUQtV9)|_ zabmL)cLG}(IA0~3IKnXz@gOlLjKL(8K*7W}KBw_t`FLEadZoguPuOu^BN`llFFTf- z53Y4FZOugR=*%eo64m|y-Sq$^oP5g1KENokZXa|8>N&oOZyDL4EKR3mp;cUjy8q z-_{<|&Gxg-oi6E|fh-;P3_^4}k8%*HIz!g?zu3^* zCJcVpHTa62JLf_jBZk!`cNA%OL+}S6nX^WZ!h&S-G0X_{Xi&?CAQ=PZt|}rWX0cae z1y6l63lS%VLsV~$F{U}@z`Z;rwvyCupAl|q{2VV=w^?W(l4o`q={ydSnM;g{HGNPtPOSQFpJ8Z z@5jZ(MI4)%v?>>u#io{(#`>UZ5z}U{K{i--p*rwRaP}S#80A3vNKu|+2~q8?EZpp*Z}EeOfVs9 zgQ7Ah(GKGh3tD|r5oQ&D$QitM4J|&Qi`3A4a?B;?&}4LuL(htqOXD}WrrMK%=PrX- z#pg8q=lpe1aaxjmQ6HSjrq>vHvmGk5^?#8FIC6TO;Cf2SF)!CEOLz1el(kTJQ(cBe zHnUmF1~Ni`&TX^*d{@EY9!j)hHt3H_~)vvK^NnriB$r_)GH7+DUwR$!Ng7+@AW+1 zBO$TqFnm=zAS#hQo;SvzXnJd6wHEQOAe zU$=rge{6R%(Ab8SkcE~IwM!N6WGx_Ux1foieH;;?X;uamiFGTJ91olGPCUBVYPGhs z%xpqJ=`Gj_F|g_i&=#FI#ZUsz-mpj;v7=3pFU{6y7F_7r*hP_u6f`gX^UhFVuS=Mk zi3f~0<)zw7JKJ8HNfnswS%Ytnd>NU5G0mM1zN4=~(eYe-@L4_J1#(S_Gd{|FL^x#3 z?LQGv%~TMw*$L;!47dkMmqRkleLNo*m_ZQTUsoyFOUUARupYiBplZ<^Pfu>kf;awe zq23EZ@$wIZ?0r8~z2H*N#oAO*L|w%cHC06--&deO&c@gdHzZgKu4~HIQZ&b}*lO}i z@<2Xu0YPe-4Y;4&B(9cHr@fbH(OOnRqL0wKNC62nLln6R(YXGX|A>*n;tKkYAUX#c zrhK(U288O=MjfJctrOIRYSiM!`bmzyb_8gK5+0&}k-35~YE@RM{Ku(^%EK&$7|=yC zN}YaSb?hy^5nO%cdO>q=0YMX-MC;#vf^JZBYq&?`)ATub8D(#BPXqCUj)Gzj{FNc$AOAXUE7 zX0)F??o+g9=_GrYFbOJ>l70M@Tbl z>W)-Y%*s34Gyz9>1XMi46_1vFFvEz=$j~xlJkBAX%Pj~w-0{KxSc+7-?5?TmAd-sX zJXG>@p=G{_#N7;(TYDGnF}DSS`Cb7r)6Ud$|DdUK4Zt~bTJ%;BZ4uvIl|VPC$T9~V z?*IP^RdFdiOv7uWPlbG~iccx5)(}<4lNk5G3s8kz+u+!ogB(dxhiV6-#Oiqx@yD!G z4qiPUcbBoqMnwOzsEbKnO{~oJ$RskVVC9i-??N7N0S|3op9qB5TMVY*eLTdK^DVKE0NSSWGGh zb!c3BH*qEAj(H0XdN1BcVOCG_Hr*Wx_4XKPZ*&1iv~K(2MRMbkIo0ud-Rs3qokU~m z^aygs7P-C!!;OBtiwwgBs11vC&w)F1b|$gw#n0q+F~oc<@~!nLaG3@d)|3u!PfBKif?ko{+x%LI ztaa2lxXS*#PAS9@{-FX^fMTTYTNd}xq%?~nOWK=A)OUp&;ST^aAGiewa3z*BtOIn< zH<=JDEg37@N)fs;R6mdF9uRvnD*6!+Mb_ofa|E?2a(_<4pxRpZLoyZ;_^g`uE8g#_ zv6r0E!veQYEJA;dZKrk0b;agnj;0awNI(nEG1`XSIN`ANT26x_D&U?9J~a`q@5Ytl zp+weWLvYJbM*Jr|IX$eR4c`)g$vOCdt$0dn4^pbGRkab`&9>f0`B}s{bN)t`agsT3 z;yrGq4FJQ=Hfaz(CK>3XWl~38F4%}~GNmhDOmb6~%IF7>krbcDYeEAJ1dIH3SVx-f z@H8D%TV}95GnQ{FQu^(r$Aa!nHGUUpGpvL2Q3AEID78d1M7DIc^X)Y>6Z{R<1Rr?m z1z67=W4n}xQDuVP92G{N&OtM^(#8hZyM7berqFI3yhT)v*#-Xg^Lh$lOT@r^hO-d- z4#|}A5C#VXA}gPIQOGNlaVGI|00Lbflhl>t&haP-1J68M0QBjiU-84Tjyo`rJG)1$ zWLabfrSp1(3sT^RX&89%Tn1R;qcbjV2}HmXgJL0{9(r0E4sSzcd9H`y?lPK)tYgFi zV0J{Z2nO@ieHOJ05)$okgYfUHa)8Qb=id}@!6p;aqK<5m6ceP8h^z@E4{?V~s1QkGD@ez{7t!UPF7IXzF^{YRs`xz11xI~+go}braH_1JA*+x0!->?msVAAp8a}Nj>f;25Q%Vi~#W^B0lcqjP zm22i8oU!U4M=voQ=f4=O;YCtyjvp`2?pXgb20RWT9mFrss_PesPE#dgm2btF5y2c| z9uyphzJtk2(M`IzMUpEa8@z#Si_(%y^X>^5QH5kx*_~(sSeDokM?HPpIU482Nf<3g z5JJrL4O=Z;(ks?C57ICUf?z1LF>hF#YTL>zGhzWi^K_Mq|*gVCz?i2BYw@eBop zDsW?QL8tAPSeGa4#q)Z;9c7-{u;N$b(qY#VH7yuEuj8m6Mk8`1Ru8P-0dIAijMTj9T=#@G z{~(m8=!OtpW?IX6U+*RkTQC!j$Efi~(4e}N2fQ)~NJMSy>+A9VN=zng zfYHM8(aw1#-I=r>!+hW%un{; z^Z7nK_`AnK2hII@&+Fp@a$Ii*LgHRV5>L55R9GJsRYtlYBNFW@wd?Nv(2N$0NBIhr zE=Zoxvs@@yhT`7E9V=Yu(Y$JlQ)b#LYdH<9qKlCWM-Uos@}67*Qf7;77`APGhl>~z zM5Zi06%90&I)0o|AbrTLBs~npMmH&{J=%sMy4#*eWuTD~HIj87Vps)!w|RSJv{pxZ zNiIdkl@TX9w*TpOx_}F{=|sw~Y6kLD)B+fFZdVz2D^+Q?bk&`DLf@iWvs1s~84{j8X!2Be~W}Rz4zHI2tcres5F)%G51W|d@|M=;a zG;gDBNQ~|yz6o`}FzU!4Pf+Ya%et z?*o);aCL)b4;5dJv(QZ@HZXK-&NMwye>+4hklil(5#mRl?4ox$4@$rA9ly=Tsaqux zq(azUw3yMpOj_X*`Tr_uPD1M-qmsB0%bWZ;^{6T_cUckrlofgulF_2|)`-OFK>hml ze&`I&j9EVw9Ah`QVFzcOmVA~Uap6LX)@xpvisk5P0MP5>wvBofXV4&cMN!JMOSmw2#w6*omaiI?&qn6r1fB7mfGNIKzi|*L zB@FL}J*ob6dLQ?@xxIz-&)-P?IP)#?s~y9E29Mr9;t+E7AIyVnJQ1Buym;;$?F0n? z+Pz=pikEOE(@}4C?iUZkDa8w0<%V3Hpguoyk*SxKVx>OwT5-rxl|*3Wmp0voiB*0oSu;GHKg0zL z(zr#!cnmNhx+eeNA$jt`E)|~Rn==J@m-myq5pKUV7?SbYtSrF^@-ERg>8?u3l2mk1 zPg1!X7V^ThXDovR&B!VIy z`VEH+2V`ozB3*cMRw#eKb~&?hai`QPFA|f@-lpu>?6^?{IWc&S|KJG7G%zFm8R51z$4OD^Jp4oJH9l)%@{VnS5a6HF zm8uurQ8#W^fPoO&3xzUjB3v@}#miwC^8e|Tb4pQ1gmd40SnMgiTCCroI-9|pQ9Add zke2QVe<32=t9zdkBqsAortXwaBPQ@@^$ODZaVv56#Gi()fXv;79zf?8OG6_B+pr2M zV=Fe$) zp{jVS{XOoH@FXtdOpJ9po`H)k945cfTRqzT?192dI_ATT2~}KL@n4u5CDh-s6A~9< z%^C%GUfrXAqNR?D4~SRBkx6;a!rK9tc@+bb@*;cBIWOcDRYb`MgT8iaZD5CR$(gO} z5OxPlvij4*l(!o$VqBa-c;;?O%J*$gkH$fK)_D*yu-7J#M9ui0b#|IFHj+(jt1yj8 zz}QvTV!;BaP-D6yj!$iQB@u!5}eS@e}-R=G>^-U9gM%)4{>d6QiH*Uw$U7Wh(G zff>=CtYyp~hHUO$q`)r{qRAW)TS$8poR_(97QpihqY$Ci!6B998%o zCJhqWaIl%W`Y)1YL_Um(H>KiUoF`0g()3BWg?qpNJ#pGo)VY0v>ZR!&cIP-@IQmD2 z(54CHZt`#-xw$dN8^0vPWE$aWRW9Z`J>m{~+50nhMZLMAhl}@T4qen`QW4OoZJGB} zy2>?RUYhDPpBypvax@MnBlJHRir8v=mHs^mNRp&gKR?2n?pzgfiR^JF&A&ui+pf|M ze%`(Z4129&4d{Phu|@Nmk9o>(gx<5&^+}{tx}08+pz7WSnQH0eP2=>$159;}5{tpEBN;ieWPBqp06 z>hFTs_4us=S5kg?13hl0?P0s*-Kt86DWM{sAGS=a8n2b+4Wi**22AgI&Q_!de*(@C zsmibUR7myHz;#zkvngD6$}w1_qLl;r*$UE9ixw*2kKjsHVi^rSrO(dX5(h-M>JQYM z!clMZ-S^q5Q_;Q_R6BTmoy66Z{(}kK4Z}$U} zj@4i5u8!RJ#%CI;G%WZ=j|IkbT*hNLoXG6y z-()SZjoyUGwrjj14v>?bs}QL(Q*sFB-XqAH9ZF3yVHKEHw$%1~h@-(2Ff_H(4qo?r z!?q$^s@Oxds2oS=x1xAPF+^seh|dr<9#)qd`&Tga@^1ZTM`OGE5vkw$Uf=5$-qOI} zhKR6)cCHVd%GB{a6s0T=_(vcXp*jmxs-%K8iQUIciGHRj>}K}QUS&13u+>sp+L(q( zqH{5VJ=u$7>-)XCTQ0}bKTm~g#ynpQ6{fOCW%e|`y`v?YvT|VhNgX`xF?bA#3Zzwd zQ~WGM3QhLvo?K*5C6)xWL4(PS89R`$+zkxE!!NU7X-1^T7B86XFQ)cZtM53g_-|_^ zC2{eOZY}0wz8u`slx;ZD-3nnH9(L*^GYP8vT0kSn@6WgOqSBd4?-L`fAXMy)i}EVX zcAZkT6F0tw+#Jy(T(h z>o$PWF8Cgm*Q3JGF=3(C@50goOy{ZAs#=-}(tKH#9<(xg%@06X*yW)MQDtBk2A_ws{7Xiy|7<>~L=ZcLMOX(`ReoiOr753l z>V=Zg{$esM4bB9auD321CK(HS@y<}wN;mXQ3td4-lZJbnuW?kkt%ya>#sen3L_Qb= zOsUiQM-VEOjtI$JBxSm0x3Sb927) zAo?ra8qA>QZOaXN9XeV8LGy}qUj_@v%&G*@b@xbUzfhJM0FIk_m7=XtT`Rf89nUKE zSn=&k0xG58?xK+aLPSKMoiw}1z2sL$92NSEzT(ua!X=I8WXx zsn!PoyI(Y|sgBtb7+oZjbhkcEXpe=3(3j^l^+NDznQ2TveB!`&(Ba)@<&$R?Q@#~B zKk|xP?(1l6mA}1xks1od+Z~Y7>WcJS=c)2D@=$`STzcIoCX-8i+02OXZIT~TYcVKKHbiB|7ql&=l? zX6Es!FEL~&`-;5y0)?-}t`m+!P(N@kk^2oTFnb%Yw3evSCNcmwJ#n$`6?th|5r`uU z=gK^+!MgE-l6bLaKVd8J^yqL$+K-m5UQ0#+{pz%ik$ex1CYCOpg}+jQ^p;=v38{~T zK+F!@!5+06zfJGc3-6SWn!~QHoM!*Xd%3IbL}l3D+MLnoVIJL7&%#NUw!P3Xt6%Rr zv=|UBTwuPYq6^V8R}}KOMpTy%+YOUij~jiw@`)-gu>zUjk@ zd82?1b=u=dv3xd*7I``t6*XvO!%Q}>!dWy{fJz4Up_QxX0cU^SkdDB8WeF-0M$_5y z3OClLl_iBlA1hTmi_+tEJQ4)NelLXdlT(pEPl$j5UrzmrWmurx35xdPE5(&x%_02P zthEpP=8OndMUShj>5 zLQ9Vw25A7_yhVn}h5ahy3RSJx?n$!KdESEYnCTKNz438J6dG&&U;`W+?=+i+aUEn( zY$LEvwPrlF-ZAk@>30X&e&ZV~Atb1vnsh-r?RdXw_J-Ge0MzN7bXeHqsJ!b?BmDw^!Dk4P50I^c6A~_eHB{!B z?GiG!fYymHo1Sdu_LJ=Wr{8MtcRq6_8Rnw)q!*Vv!mj@EeY8s0KV77ikBRy&E!%}f z@+K$AUJ7hRxS1T(jm>oRDs5Iz@_m>~bqI$RdUeV*dlRd_nh;J9K0706kG9XLYXe@c z*`{L-!x0!n0YkAF&u(MeVqAmzF(onE_@n7bXd`xp1KS*MBT zEGBzNQd%4qulPwfsDi^Py_+XDZ~Abg5WHZw_ct3J8AO5lN)IB!lI_y!3Sn+k(o0da zv(`K`;LE|oubxbY%Tk#utQEx+uY8D?I)yqzl{Oix9boqKk_wz~_GwKD8>S#T2y?{A zrLp@&xI%dc!eVgUWu?SzvH`Eow z%{{gikRCNBzJR$8I!AavxgP~%hlrZXQt;W04JHwJIT*`|X%;UzgK8onX^_rDQyYKh zht3&J8c#gxEdB6$GT#C!PQIJUzk5{=+`S= zJLENgG9Ri-XDTqZDhl?D)Mz~u{H^W!-j^KH((uQL)!IVAvDQw;RPauU+S9)Ev3d!4 zUH(KuQs+N6rjv@0M3x#1rPEbHoP7*N3mHXN5TY+O!Tjk*OT%r=a%Qrg1c8J;%NUV~ zXAqTs5}n|gW+}`2g6J%u!8%Lhl25^s)g;>WWk&UVsHz}O8`gO{F>+>IZ-3hs8%^i{ zSz)Yyxf@T*b0M8~wwhGQ`MoCWGfVn$UW;nyg=lKZq>=p_v=@h(zj+$`Ke;aHse zs&k6HZ&q>sYIlIUZO!?8V&Ke##fvR>U&t_=XU$WhfGIx$Em6i?wI%j zAAQr=@z>Nx9E8@NI&mc9`4+(roRqyLxAeM&vOT=6+VfD(h)V z5}me+xNQ^yyF-V-^E9v}O{6Nv$h{Jp#F;n8#Gs?tqJVk2;~~bBOV<=U&Nbo1xkXjQ z^Nry^`mm6I$La$t3sTxMpEBNco*`(E8;{ZErL)pTUb6)eoXhIt3N%uUotuhF(@?uU z-YKhMdCrK~U(2ld@Lmb-I%*e+UPgDnl?z@x)~3_&ouQ=@Tt*tc&ei9*y&v|XvWknl z$sBxpj068eAdMZmOFkmnCZiF{ZDnI0dlmUb&_rI~BHfoh`PLhVr*2=D@8I^SHW7wx zw)d~|o=tS=KYhYo;^$d*G|)YxQz9cD1%5c)ii~0SYemZfEHvXJWw*bY)&h56=f!t(kM@`Nq#nZ$S&+4A`;t!P zOQ*L5ZhU!30&m*IK|$^3gym6|&H=yRGzR#dJy1wcLAkG-8%<{4)(6CJ>ZaFvMMEND z;XqvFp_P3TMBPXlAP;1>q zCjm~taT`z3bfK+Bl409|L)!ZNo{&&em*4t2Q9pM{zW0xHeA>{kF6kBzUf$mRr zvz@A+h+AWB+!36L=_sDqAFSIf@r=9@RVnsZ!k5( zzixl=ztN7YxRo;f6TJ4RjsSgtsPO>VHuud8rBMdc7sO7gIEada?*7tEYm>MIhelJ@ zoCU)29ygGphDyim4g{*(?zohZ^oStzsIsc3b?At9cn|`eDTZ0r#SmVauF>@-KNlcM zTEc!SQ4GPHNLj&v3oCZsd8Nf2N$LsC9T(OO*Wl0)dTohGN4+AafT`HaCx$`|Wj?gl z!j^8Yo6L$>!a`uG!cjF@E-D(Z8~3SO8{4PpB|_Qu*LBdwYl(lckZVY<WmB`ynYYK|pkkU$yM8uV9c)oI2_H zqs98CDz#vmf-|Z1?#njtmOBv0{a&&){$kkuVkPbh6jaqG!?3+Aj1|_GIG(U7Q1Rk% zr9#<(Y~j6+Us&*LzJaMOnucaLHDCIXV4sVT(F`17x`PQnI%SNPnbaf|qD~&LCe?%o zhDUkm&|e}!q*aO$wfjCPA`l<=dvD{;28Wc;CmaUS~Lpe42Zj z!-saoxrvIDVXBLPvTz8vk8u9Tb#C%{3jIJL++EARGm>oWO+a|mP`k+62QGZUr`yeV z0|twdobP)cKx6II3czj|WI+K&Jl1b_bF_n=PtZNX=+ z`cH&O%LPw`&vh8{tTvlNVvr*8F;yJ__LXWp8+!Xj^O(W>3wBa1&D@8p;~(u5T$W+% zxpFA#F|zJH^XN{aj?lNI`mDBcI;lD!pi(;*Oqo^T>ljMS0r_ruj7`)wkWm-f`~306 z5~XM^DfJud8_p5|GP<~l1u_3_2-$;ZJ_PCrFZ*@8cf1(gc#6L=sUC-^r=uuZHFk;d zCqDVwYe&alF`PT`J_M0tyVx+ip=(YaE*HgPL5;fC2Mtnm+``I>j>St)^{iJR?(e^d ziWIoUl`E4O6_=8MF6p+L_=`DUXRBU>EF5^`Vl4S$Vu2Bu$CmMsC}=I)lhB8RVw~!( zhS!7S4Xfqt5XIu|tn^ddBF5rj;1Fw;>-ZDGBzzeb*vs}@R&zMQ;Y8@pJZ#jpTghLSTkMxY~s?2Asw9X z-&wUtQPE+XKj_|dK||CNW|=cbZJHyn7m z$_{@Zj-I2Y3S}6rgP?YgCEw^=%?w)PMDAS$*vx#`%uae_G3c zG@A=Xv;1xfozLdnqCV)^6aDYF5@qn5noL_NN2Qj0G7dhPDVw8mM9Kx1MKFf=nKVsS znK07AmNURP6Demaz|Y|cgAx)QbIcpfcy=ha|TD+#@xM*ug_y2@p^f* zk6G-2j)bLjXv7YcZ*VNmK|c_cTclbfbF<3-&d`>}@R{x;zPH&5L>?mQ(|zk~HBu>h zu~e*a^PXw}szgpV<@v61QhppvvS5Y$Uw%3xgKoe2QR3?9eMU+|E8u81mpVtrv3ui` zcqX)w8+6U1gs)Rjyui3myNE8KS}kcHx1}k{jih}PpGpR8kjONoWa}P-8m_;WguBJI zMw&6rXF%DC@+aICU+b0bOXY5)YgMjl(@3!ldK>Sf`q~ZH=sZY*c2}hUZqYJ~(qzvE`6Ix(P9Hyd zriq4pqB)M0VPQL<8ci6C)rk@ucl}1zh-So6)qt-VnG_B}Tp)avF` z=+0^WsN-D%1kJQux&ON>K9nN#<0x&syf&9~r0At}jKQ$+qV1 ztEcLq!d-twbD#Nz|J`WX*U5%%#Oki4yH$-2M~^w{0eoyd2dK78V=!26!SV2 zs6%T3>1X7>)|FWlwm;pR`1ez@!-8i4uSos; z|7MsZMjdetVvWD!7G5d-H_%e~hL-#bEmC-eoY!-$pkXQ_OR%LWF?@4&ALRar#?eUp zc*u+_zOM!yPdrn{0N!b==(8ddK)O3O6~+>N@{3g(t5Q{Fj~+{@-3iieX_v{K zUT5FBiqvTB|JLkaCTP+K5*4wmk4CCp42Ar`Y8MI;I|uiHg4a~x0kkBnjqHyEphn!_ zzo-yF=_SyE)@C$s8k6l|H@|1}RJ|awEgvEyCGVu&-3~hBx2zYRf0=({GN?3W<^|sD zTB@%Bv=N(oRc(V4J21|TdxFx|R&23`78{OLDx}%eBL{kMl*XLrzUk|BgXtZXb~TqoZ#IypdHT|l#u7=Uv z3hS|dw!0yg12=Q5q#hx|Spn|&-%S+|!H0)B?T?aT8lB70$&Z%O@(kqhf0_bFEiF^~ zEDWN5(ojIqy)J9+LxQy`WYYlg83R%hCfDc$TA|I$Ph1A_VYSN=JH(+!}r}Hg^MvQs47RWKy4k>i)0d#5}SaF zt>QRcl${Fc3u<-I$t{z5lK40_S6f?8gDETe?qNX^4aHA1t6sE5na5lcI1_Q0(`O8y z+NU(V)&-Aw0}R^~BJ2Dtx|K~$1}k#fv9Wre`@EvO`+MjgjL=sOu6P0PHj7)NXy|~{ zt5HrB+rQHVoirxn;&krO^2i$8M^{)-r*1`4wgQ8#30ilma=`yS}E9tEdi=PP^?B0SMA(~ckf_7U-Q_fqdhi1F{H=Z)9)lL3o3$D|cI?fl2G3rt> ziNs9cETi`MEF#|fgG0jFazq=%&VvF?H|`y?pvERf+o`X7i-$Ua=7xaBAolOsnHiR3 zSS6D_!LA`v?nb~@a4p3UU3ST;NpWV$V%yU?1(+YJ8rPJc2cMANvwB?shoR-=E`uZA zNiNJLMY@7h&M8{$g`mC$SY5r3=>C7}k>MTxk5SzvfhCie*xib4bXjiJf`G~CRo}B; z&HtmB{1|y#yT*S1=|9b5%e_}pgpJ+i8RI)(fVI8DSS}Pez9fqdux9c&Md9c3f)AbL zglVIkkc|W~Pu>|P(Y{OCTiT733P;o~@EfCZBPPKm@7 zsOsoIevPz3p4XpoM%%IQW#HP>XYIwYNM5u(@g9i84k2r+u{@13Db(jR6fbko=F;rE z*2a%nM#(@zO=Jjh1*7X;Zk9aAQ*I4HUfkRD*i2DT;UKaAMSNO}6SAN8XGYt5X9%5n z)DuvQ)aUtp<*JBh?+d*DWGpA5)%XNf8-~JjVqj+sRKcWx3!?ndzP~BMu*F>57# zE13|ZLcY1@^j6MbOB88GiLX3MSJC(^m*t7U&u%Xn8}y#@r>;+TseAD)@)Pd&)At{2BA<_)};{HMQx zgQL=oqtctz{bQmp0q|KD?ru&~alA#E%09CmEOG2UI-rtSf7=7<(sN$x2aa~?#59eK z^fxTjIRpJ^SV)Z&6rkPi^Ao&!!)g9H}D!( z-syx-Xit3QY&{bbD1@%3T%2_CiWOnqq{t_Rs(FSGY7cAR9hDgk#fff^9$Y_4_{UyA z4E!eDC`v44pZ-V)@ci&|viX%|NI!9On5;@!44xPsC|_0Ok}SIRQmk2r8~F-+FF2ys z+x?-!6D27?X$+omEWYtPQ`0*j8!}X9bz1>#{Ar+6fuCdaakDWf4e z#WzS1aG2E1^ zFc0P?&b-SR2^UV%{t7RjUu`@kz2=urxD8-^vCGT~IKybJ+n9;^d>Vi?jRkm2>*ox0UWA#KXGkU9F;;Y+_+eZd2D3zx}ZAyon^D3)m2ERr6HsIG2ZuDhgvl-8H5PEf`h!{%6gBCRLa@%G;8` zEneosaF?2DuV#GU?ze*N?Ge?Dcgb64-CdmM74nNIh?-3CH@6D4Y8kBSUWy?-w zOM!v1JzAR`4bMt`_=iDF7f4vr*vmNQzI)0vlxH0q2%jpO#+u%YVv8M}=t^?SRKZ9c z=s-2!;H_UvVcv?BFE%~Z$G^d57QM#OyKoU)?oJl2c=?86yXKor@xlj=xV}VyF2TfG z3$w0^oOt5jv@M)%0o-EzuYEV;c~)oNy?ZTEa&OveLnMip?z87$d`oXjk%Jp0<(lhGcJC*` zu|C4|z_SI;%6u?y<66PE`eEa!wchj(2y<}PnK-H>P+oj z6wu}P(~>x~IoJ2iaw^fhAL=g2EDmbBBz*mX;1s-<@y3!*mWeV$V&v}dZHJ;mXyc%Q z#FZ*yiZn0uE+M%4!n47AmYz)+oX(p_8a|JNldFw4-q5^c*u^{FZskse0q;bP(OkUphb61J&??4XN84F$Citn&fb9y9%rGBmm8-Jw$-f*PhNy9;TFx8=x^H< z*&(vO{T)~KFm(@{zT(j(#IIJtgHsOb4`tVBsj=J-8rEr&62kA2-5WgHdUWJRm`E=5 z_x+Q&)p9Z&%LmWF#VhA}sZteM2rT~3W#3Ek(i>CcuMCo-LQP zcHNOsF8dJa! zur_6%&EKx?hMOo8*T1wZi;3WQg~n+)LSpq_hm6M?eMfK|;AMR<2_R11{*#5VBlpP7 zNeYw^&o4qm&bB-dGj>sFrFUcNVa~WIwawKRNQ=){hAFB=C$s zO0wf0-cf}z6rs;eNxu1KVX{)eX~}}V+6+(wPz{UB>J~mW&i?Y}bD+~ATK)UGGOi{K zOuN3^{PO4IM9m=_xAa!*Qh5QPbp1PJMD5>6gcP9CTlO9*_- zRd1n5E16-hr6f%xb~O}fJxZwwQ4M+}JNcnbR35Vt8JpVn-R9sq7lLW2Kbr)>LyF)r zvXJm8>>9~M+xtXoDFq*!Q=Ff; zypkBBNYugP{C1LjDVp6LGG;aUFTMo76~~5mrkU2!0*tEi<#FRxHXOMLc-bDl0d8 zmm#ahYAo$qEbN`ta{_7C^_!Vl3+rxn=`*|@J<>#+&fl_)vLuv`+X%bKwYFw;LlIk# zM*U<}R!)_%&$|fulepj)Zn7eL``zV2#FX(TQQrz{v&+WBlQ}-O>~1cA`p6P0;Vu&{ zTcu-H0PJ(5%u!2hvM3jE=$MhqbUL{Q13SZ~ccaF)n&ax~Ws(o_rINv4f!Ym>Pgoo8 z$NJ9Vc=UX-yoR4vs*!WyuRx^ACPhl_?OPTLEo~%}P-aj7ysSnw3E)GWgH7VR2{2^^ zM{||5ITuIra60h=ae$d10qPaYk8`|Kk2c+wx%}-SWM!K;pkROAPE&hg2jG%6@BS!) zC{_+tSfXRu@$>9Y!$_}gyTn%p#GH~(5&bcH?9+wM+p}+=71NU>*Xcuk6>2@5l>bN7 zRfWaTG+o@?77eyIEbi`$CAhmofZ*=#ZoyrH1qtr%PH+kC?(*|~cmG{Y^;XrXo@eHn z-mX4XE_m0@NEU4OOJT5%>nBa@y$0pYv^n7HGzfh1T&v*kD{M*8K2yjNTJ^{a<|qs18(K8X@xvMQDtD(m zSgXVk%M7MJ+7av^)p0}*6BG63%A;Fae$p5p4460XLl5ikv#zwmh}<9Ah*@lUL~}7u zYY4XqX%fgeu*I1iP(eu{WEKXu)PCn+p4Jm?>r`vm;vCI1*c;$l?2?b#P(%0>9 z#j2Z?sfohTFg3AEY*U)-QO3he4S62|V0K?9x>|1+IsR_vt8sb0`zik=yJ8%W;Pi0k zW+pJ4yu{ca7xFCB0jIgTzrT)8^bHGsOjWPt4UKzXpVBn_=)zZDpNj|DsneOo_z&zdG zIdKkD3h(KF1j9{MXDhkJ0>3FtMvW_VEi(6`~b6zsnQle;q)wu{U~MZ4IP zGnO3*^k)HvI|rJ4bTh_!KR+%+rXF^v?Qv}El04`%^=+1-o)&oIoVN4#+Bv$p^zfIo z?t2SIJpyLhZGF|I*fiZWd6`kkMnuHd?Ucl}i9`|wa0jbo@YyWC>qF5GHVrahe!-qZ z25uM=aght_kOB7KGgxrAi3$v-l6%`~b_eb`bD%E>IZVqi=tN%7D?aBD@N0KRY_2-K z!k(C%8G37hz?Aa&=OZVQ)yM#93G)ad=~6{4gapw&`ATnngj21@2-+j&^N6&@E`%+k ztRuZqse0C3(%3iuP)sV`law{t#|Zdg%2=DwC=J9FMN0TX8-3jl+Yv}Bdl@t?fXCq8 z=X?6nwKm{-8t)iCZ+lx$&aqZzqHcl!MIysP$`K86dbu`k63^up@>LEn%7j14M8Sql z_5$Gl9$t8mum-_Y9~HHW7rl#zIfBYXtcwOwAPM6LJ+5urxtVq2Dv81^;m>-2LhpDi z;KCh-fC2QAYn4Pi3x^QBHpTu!oqvb9H?;f|iBW`Qfvr^%vSlG#cAp{&hGcV#ic)Ml zR|=VepMrd*!~tM~@UcM@d?aL6+`r4?^(o!KJl+2D%5T+qxeQ{xJ%cF+9Lfcl6cof* zdFh?sD&`O{QPAQAbah#pd0Ma&Ug9B;vawwwwAFmqMegk#`a0yC9BFVyUDY(zoJhYi zQJ502b(afV!98J-A4BL>Mx8Vm=$5`Q-Y-j}rdS)-w%Kf(DJl$$fIwn;EMj`@pAEv< zc*a1~x>=7AhtB@HCa1Afs!3tN(7Rs%04xwb7Kj2!Y@_P|(k82*qv(Y!`PF1by3W*& zDTWr`udCbl9*Fu9g|Hb0b$G68DRx`$lne1YJ?i9My_VZ|n|Fa1=X)G7xdSM26^r(nOBKQ@>JaJi-z-f9@$ z8XoT9Z*x4%r|$K(i+K!zTKPGhfKn6+F%p|}uj)q1XF90)p3qito%FH2G@v|Nkt<+n zx$^UL9L037B4k5OC1Hdw+IhZ+ots0|8FJG!t-##tZ0ykSfsm!1yR0kPv?%3GKlLXn zT6)=f6~v`|eZgnVf7WXSk?~^7fsc8))6K1X#Ca5GiXO2~#f7O_2Gh8wm zOMl%d$;=^S-=|shW|k2$+)->h%C62 z0zBdaVtiemBjOd-MX;v7;lF8GVKRD5q%cBpXMqeA5Ui`byi>?|!TIW8#Dl`=jzL~O8A;7{*=tZlB!Z}mzQb=Aj< zqFjG^3q_vU8UW18RfJaj#8tRTL_R)UQ_QDWNKvK)JBUU0CcOVP??1{K1F`(EU_^+^ z8dJf7iX1(zP#W%w1g6xs2hR7rf=Y9tX2TVw6iJGd95CE)A5|L6VCp6WJHvgck4q%V zD9h~1+y*9@)l@k@_w{hQZ?K-}tRW~kd`ASr#;*pLBb)s>+GH>n&Bb*W&QFrvah~UWRD_QLt;^H;e_VwcMhd9cg?_ZSK!b@ z=F6_7*Y!A)O~mK4fwb+JRRK~C)3Ughy1{`7$J5Q)GoqN`X3_C3tlY@d6*4bF2MD;7 z3wLpDbFLL-1`&wAL6%$N~tDkK#ci>?u6YjF^Dn?ja5bh`zj2v-UTj*I@c$T7y z?ECw0hjoJ|k6cbI0#yUXC27Bue*hx(!1T#{a;%x44K3UwU&YzgyvYLWJ1C|4T+WEkxP!%_q0Q^Uf z(P~-SnYlrF_^Jgf56EvV=1Z@3b=HO{AsoXQ?B=A4Ia_lHAWSenfo|xkz|D zT52)Q2{4z3^=0q<{mpRrH!kkb7Wj$d={sG{rEEk>E~RRx>Joc}@l+Xoe7hxsfmqQ6 zsRao39naROSOlAM?eym;zx3YU5@|($K=jWkhr)h01nq5Z?(}k|*wD+w;UaZ+-uB1W zLu!!%o(Bsg+^10 zM!{5T{2Q-D{Pa(TzF%|@zd7K|ID>y@`2Q}*gc}tQb-QmD^o?yI_sPpk7xsd*9AN!k zBm8pArSk~G-oTnG=s2V!Qk7f+%v(kyb4yr(?B@2q_%P2eOOu-g8OTFZRG*lNcmWm5 zEbC%2!03-M7%E#r^s2rb8s~Eu{MH3)G?2}h<#IbPN+MuHzFC#qA>;zuXPLhVLQj2S z1UUG58DVLYv#0p~7CD(D;5s-87o)h8t$u#dP2!*#B9Z$kqbNN~EVRfdbniG~au5Z{ zzu#SkgX~!3x*TYeP?Rt++n&t_b_ygYnP~iLJ;D^mpn*gd)Zp1KQ;%Ro74$W$_^19P z?Zi$>cHWDM(|W76V`AT#AyU0$cG0p@0dp7*(yx-Xg}mE{X)h#+*bb?@C(LGbq&evC ziwJIpVp)(XmT2U1vEd@aiB+@ZRzFRw>v?F7ztM=`)^=aKIt}h2oCUIFgU5`sc#usQ zv@FRLEqkKs%PeIannII+JIlzX^pcME`jLufusO*)IOy5M2#u8WBI=Q};`qbXO_j@I z#pV$pI9ZpBN`5(5ePxCDY$}}!m4AJI1{E-l!24Nbd7EpR2cgh38MFd&i2Y&ckkX@@DzzO&yf4WI3ln1CIl>*QlilG94W3~SbCa^KgPWXlkuA;URJ*CPrc=CKCb zj}SPSW5pzG+Dec41OI$3A<_%@h#F-|l(3#I7!S|P2wPS!dE%|` zKURndmvm>cB0UY;S9>fx98NIW)`%Z}zwbMX6y2zg1X8S%-R~n^65?%fD*2}oB2Xwm zKTt5iVD_Cm=VfABDOsiFk*YgM2H5gkVN%y!Q1bjG&$}wJGRz2D zp0p0gq^y9#j{5Lw6j58RfD&cOBT;wa447Di>C`1Oy&UjYbD^^&usGogXe>TA%ySPk z_m*XVf^Ee{@v*eCm+$LgRe*>@IGr0Yq*m`08eY#d}V+^NY6ViYyk!Nd~&QP zk<@$SG(ge1Y?!f#e*1M^f&8)qR7{Vqi!(FQmH|+OMvznLGe9XD%Tyvp3bj_couVz_ z6mueqB%Jf3Ne?CPmA4TaaO5$b%-Pw=ahymNU1|re#GTJ#@O>4%C{ScYjW^G@jrN>@ zCCk7h&4*skz?P`4B#0DlJz-e}{8?SA*f(pM(r1n=l=#CdekSdf9qnb$HXI|RfA1hf z%)23}F+9$KR|9CCAUe0XqY&Sdc4MM%t8(*h;c(lDvJ&ZUL>p`m0?~h|575}iDsz>E z-x=|Uf^EAYtS#BWuWY8SuH^M+nF}^4#e-s)L}-}qvH!{hgOy_x>)_UR(+VK9Q+N6c z|MD5W@1B5Oq?Kr3zR*PGZ*(m>zHx>K0FRG7Z=gJceJ%hpl-FOPZCi0&Xu|*$GxuoR z&Gz#1E57~NPCz26{LPz2*V{U&_)96YY)?=%K}LlO{P4q}IuM65kaq4?zZ3`R&m_Xq z^p*2hIvDy~BR;3NbFApE?!gK%FX=`O$(G@aea`z|)+hF06uT*S&rL!nBO^GX$L%R= zaL&6mjr2p|eh9WOOt%_Y6l1ia#VfhBAQ7;mw2HXZ2Sjfq7kp7d$~j5TAJcJb_8q#^ z1~mIN>1~MR5j1>;d(Fn=D-gfVQbw>B7Uu-J?NyL$M}w4E99P1aqY5Q9e-LmKT(98W!L9!DRiPcrmcs} z`3z006!|rXk^o7xbqwt=y>ngCRir6|jC@+m{xfNbDFne8QID^55|JXIV)5s)4qmRk zl#Iu^2y6_n%A>Dhp|bJkNS6F~)2<1X@i#(9gwv4`kS0Hk4E5<0m;dO(Dn%ZvJpZydHmIR2UcZyH~@9!%#MC_u2On3xZs~dagJY3x^>6TBR?W82m^pk; zKnM9IJe^TlVKzivAuRW-+n_`!wq6hC)0{b^gzNbHD;+o6U@wqVhAb<5nlL+BHvX4yIXPCy=oUXVDR)|p))A`CIv%Hg-6(f(v4+XqWPoW=kL zSCt!2r(Q~V>&%#&A{=n}l?dsr?}^>3{!nbco@s)q@*q1MtTwBXWflS1VG2~re>#Zk z)*lX8#F%vx>!Nd&h{B?hC0NJ(3K;WvE@{xSM4?;~Xy8ydJI$-IVC`^<9S`TnY;5ZU zsKkRFj(ULtnD8`g`I4h`J%aQ0js8 z-Mcq1)z!;j3+(L#410Pe^?(q&+lG7X^K$|iq2bQ^0f=SF#~|1u6Id4j9oh0^DWZ;7 zy)*?=B9KkY!Pz?-+bRJ{8Lu{aT~DMBbNl`mW4&3{Efn3AL>1IOt7rQ^gCaW2j!H;$ z%GaLT{-QH{EiAN?t5)+5J^p)8&`!_xOH(3-TJyem65F~hE)EdIU`X01=u{0!UP!XS z+XBV@dXWwlH(oN5HeR;`Xk|llwA2Ko4h$(U_+|+9WYAt}=m{{&r~NM&gP+R^iBt(u zj@ZeE@8|8o6=HHt+Zk%;%tF1t27L^9`DJlZ=%y2GoAnncc~XNX$Qm`0wEanlM#b1U zCd*;XIbuRSW3T*1T`Ko%{<=UBQv`v*4Yk1wE6s`i85{+}&Ew=tPl{Zr(J2&_ZXcC@ z^cEiQcK+TJ*=SmmIx;q#7y$8)BD?&+S*(8rX{HS&XfkYq{)G#a;VUtr)-zPKqw z@~QnEWv$~jsxi`h0BYJBD+>#k#J%*0dZPGdSW4J%JgxtLVkkF0}2iA5*mjj*y5>af(a#dZ;^o5o3h37@}g$iVQnW z4+zHT-ljN1`OLb=59hF#OP4(~A?p;uOZ#5QtiM!xXNeWXfUr8Kw|n%Q{8|x-E-cd- zDkj|$MIRsSzy-=hkX5xs701HujY=XVB@G#4?Q(q}TMO^ej}>E02hEMS)O)L3k1~WG zS#=RL?Sqviz%~3eP8m||{H5#~gBJ@nz8MUU&ewrKKnD9fjJmX7Wcp{dw`9>rby;cD zIJ0MF_Vp|BxNQyhXjrd@s0OEOoCx%0V#w$lej7Inp>qC`evR>j3G3es4tp~?yTMo{g)L!0 zT{1Mrq2cc>Y4VXLNNN~NrP^*HAdcCw1C1LKQlJ*cXSB=EOovBMABR9X=+h`JA@?OC zqZkoZcl6VS(^U>*e#)@SS&>E;x5$8G`nVfWtquE_b$G56dxo1bfrqaGu%seE z-6Z(R6lP(I>us8{K5rzpXXA7_(x{_OV&P+!l3Ql z9@no1Mzpq!)>PZ(e=jb*VnIi{yUGV1w^Or;5V{)MNWp=R0|UC=9zGuT9yUK7SA{>0 zPQD4hK4zahsb7LOI~xeBu*;2Jlq+%(wOM%Z2?@An0t;oxbZ7UE1h!)8UA6P2^g{VM zsI~ucpK`B7f3h7N-{KVJxwFqwvms;3N{K?&@;`e?jbKkVTZU{R!L`R&%7z{qs@NVV z{o}~+-<4^a+v~_S@r)qH3~7~TgSpQDGg^tA5=Bt8AfF;i{j6pi&569qWd3+Sa({n% zC9Qc}3C$b-xH<8AeRDH{ehxz`Nf1bAd)ye}LuQV5GN_g7s;BteJ0hHgbPrsPj!u(5 zK4XD8r@wWvR~O-QOXxHqJy|!RPZ!T`d;c6kdfT zsBrjX=2s7>z82JInbp_fUpFA`ncg5pWLfS6A!zI(7(3nO~sAZJ+w-zRNBn1_a+kEm$LUVk{9%DKEWFLD@ zqYQityPKMKNqmXVKp4Wphr7Eiwxw|X>VNBuQWNy>-gx)tX&|+{ax=^jMvX0WMxs~a z1iP~{-Qi&^WXAXAj#)U{DsuG%65a5ORK#$BZSI4s(r}=!9MzMuKdsGw1(3jXHB(*+ zxR3oVg`_oDmT~xZ?m1S_VBFQRC91;b3j1XBBE)axuqlbPgj)N)q0?+CFxKJCN8VN{ zOd%%eP&2+e6|2gXuwjLj=-afcZ!LMyQxh;y^`VQ06X8W!WywKB88T?vH`@KU;7_Y%3O>!S^mjd1{x9;df}pu z{;_~VGCHC~>#riCzbH$^hRF6ht8L%aaQ@Tc`TVD?Ec{O^l!J#kd%X!BO%2KXwAT<0 ziEXTdI#dmaB{Tc}4LoYIQZF%7AVy|J171c{<|=ZOQWi=XJrP5}ivNvWbP7X91e2bS z{H^%96(b1dziWQc(NNV%@Mx*B$0radW!J#uY%;Tx@c;iKpjn?vrqLG_lXWy4J~%oH zGBalIG9?`m=za}$*7t5G_x+JZ>A7I+RCUUocb4CyJoV++4NR36cD769jt9G;p+%6)N#YFKAn!Y zlLYp?0HPeqP_?J&ZR~#?Qi)?YCp5VPy^q4h{5ux2TebY-wC*sF9RN)LN@@*0x5Uvs z039}+$7hH+hQVoQC>5MKdDRh54X(&}Yrs$MCqF2ioVNHqE_N)e_WF->1DsweIhtp(Y-hINsD0eW8YlA&+ZI zU$Y3i8~xN?^g5DK#5}203*ZfU{T1=(X%{XIBho@|L7wt))%;Aukcgnmj?Pmm zG%swH(Id;AX5hBmT3mXZ=#n)K5*x)YogesE9QvGc)L2*LY&uYfro58v3?J*XMIZ-b zNER#Yx{Oa~1-oNZXqE$;Vz#zNF~c9ra0GZl1q?z3h;JR71&z%cnpHnr1MK~zD6Egf zf(*Q2A)l8oZ2H^T0@>oP^G0BncS` zSI3U59Fl#MsQ#N>eELp-_rL(rYMDmL?+B_63Y>0eF|Ulx&wkj7uKA2~+|a@v6#r~x zcT*D#6CoCe&jG{4Ti21&$SxjuJGZuqL2{b{6@ zQjKrF{PT;Sn5#KB9%57m3k!&dXuLgVXu+XO>1w_v6wF-L6W_?**9`J`b$m~WCqo2+ zxw7D3t)UqF^amzU5NDTQo{@ff?N6fY$K^!>$wie1vkBH9O}4EIS`+^MBo_q-O?vOO zaAhS?1yUpi;|C1-kQ1R*VToeO0B|rVL)zknvX4eHZF#2UplpGNT8T7kIS%`J5>Cq- zu(ti-t~dzajUQZ`Ea2)5b=i@%5(Ur4o8Mqoz^!>P_)67@;N=tClzs%26c~CLJSZyU z0ORn0Pq8&G5ubdrSL#r2MlKrPtVhHGPnin2+!$gcFRcjKGXirHWJ+kzT9Abgc|7ZO zo#jVWB#Wm!Kvs@2`e~bmKY~h?Bm}F$fkVlkZ@9~jT&^6f%1TS@>9Oc8D$dHLgG5Jp zo7Q9zo{C~Ei&;>NFk?&(Yc1QJSAuV)5Tc6x%%Gi~kf!=v(fgz!)_{YN9J51muvNuKGs;R0_&!*lGL`aWvFF&UZX0xb_E7gsEB{7 zc$T7}?Nne}8ULp#zC}6R7zq9g;z;t7P|IeubTUdh4R9>a8 z*w!n<{~W-{a87Igg|Yt+KL_R$;*pD_y*67-z^rnJsg>Aoe3%UpDrGlk*~-%NZR{{|(AmX^J+r}vb@ou%Arn06ZU0miX)tfEimGEyC#P}08$|GY$PTB3Iu68l zG)CEi+S>Ad&%eGwv|1=sU9CbBod1CSS%!}p%Z>SB z)6+&llZ_hc@ckH6MI{&;Tbu=(X(T?JL=Fo=_J>GBk2^+_noFGsXaohJXgbc-XB2JX zT$82DbhhFau^x#TbdqkN^HB@5&w{=7A_`fc{xd#ZX)k6H^Z zv1sg(^Ls?RKu!oV{+=(>QM1HA<)9*)Z|r1ydqmz)`F*|nZe?Q@ZxG)_TJhvh240L1 zI1va6AUj{VKYdN=2aV1NeKXMyV7FYM3nOqkzK&^lLsAmIMP>S6LR-cl6;*C39m(Ih zjww1`kw()qasut{T_7IXKVfi5l201I(f^SeRaCw4C zNG8+KE0{Oq|6{kcA1jSigK5P(Dgxyhn>|)k zqTw40?xP?$u(DBpS1#r{c)DP)hf{!8y*$T(#7B(bgmj7W76x4EvCnFMPnlu`x+DnaUQPqjb zA1=B5z~GiZ%pT@(!p!BQB(E`-`I}>|8`Z}Bd(s*}r+c2`d2xA8x$s0Lb|^9WvoE>c zrhl6rM}jY5eUIWgG{JU}JBC12!LXo=9jo1X5zWl|lkJ=6Nskj+FX|NpxKd-E-Ly`1 zJ}YOXyx7>nqK#$z71z<~RdHq(6Ed32~I{W>KA;QqSe3X_F~yye~(|61O#%86ne<}!;d)xT6&GLt@rcE zm~KBznHE3@&1-@#;}LD8NTe_ySzs+k3bT>n{&L0BLkZ*fvGA~jDpj?*e<2h=3uB4a z%s3Z8N`^AA>_TiUQP4jxbJMSCY6pkqRR3j}2-^L#_67@YP}2GZhlg(%L3=D6S-;Cc z;tivhAl5K0@YLuiS}*U1VjflXCY$h!f9@3R%0@yv^myyI4><|kvxXE#<$yJ> z6gn?dYh02-@&sE8OR_5DLneP1Kh<4yO1&c9y`4744>+&*mSC%2r$inE6XdqYa8{26 z1mz49beng$=(!1*GsNzXmOs9Fe8_KqM+55s&3F=$jexCxI&H0-DIR(_`}>B6EcN+B zt9c%xZ^IS`2(0+(I=v`w@;&mtCp>Ktc3Md}f^QhLL$Bb0gs3teZ%gSK1K!T4f1$DH;6o7C$OhBMh1u^P+cPQfw+%|q#TrY z1tH(p^DlQgl#u@#${Lj)L$Z5w?|mu+`kVImZK;&WptMJ7 zX2ta21T^369DbU8tcr!k;MUbeilEeC7U{$t&<;m&KT`VZ#JNpj0KRc{_;WC&FXWe4 zxSMI8o=Gsz5(|{@x>KGMxEKxW?Hs*?SeO*?G=Q4GNjG-`L_PxAFp+pNXd4mGT>VnX zLV`KDWT1^i0~55z6g(!g*MAEa@2Z@EA%kLdqob zJmANrG(b@o^uCsPAgFfEHr)Vjxzwk18+ia@Cc!ldUxjDFtUvU)dO8X?)XU-`t@be5IaIxYXbM%Y zdE+pDE-$G9fQZU7==9&5wO)bTui6v-PSd-8o(~0F;KxEeppmF{4FA4VDsvl5xidj7 z1RSA!nImXV(3`RL_bQ!A;~@&7sVJ**ETAK5aTAx)09y9oA{wwzE*GjDb+&DF-0Uj_ zJyd6TU3eF*=)p$f5#W7kTQH?W&KDiY1C*nDQ6Xr*)4Zh$y6Kopll#1#*q%1|aVCu$ zv-)|O(@_#|xtEajMMg>0ZOA$NKYmW43b^g9IUQiDo#!b|N1Y-uYxioRz)dK2fK4vb z2e+6W44|h-&KM|n+i^uKi;m4+J9W-2Ed!4wv4W-^{T&|EGpad7aUUk33$2a=!^S!c z?AOc+mWmNQb3GHZwk5}KL1=Rv0W!48`1aAONJ)^8Lwc=S&Hs*&tum7TO_tXEi#;GN zwt@=8V^Z)QgpamhF0x9DZDa8LE#VFR)!C-}J#r6%ewg)?lYp=w^4j9ftThBkEb33u?e9 ztZ{nW?Q2{LU&^&=B?gwFXk3cQk+IRPQTtPFc0)MseB|{;0J^Rrv@TYG)Ft|+%I-7% zK{|L=f!d#s7oI=~qkE2M0`;K*q2&YpRr8JWr-@7WO-fu#)}LZ9HV(Px%xbTOce6W4 zI-~u1QLn`@h^7^P+i?$&<&tvfWQ8O$PT|oT)r2RIB%q)ea44A?IK&7$l|(%|Kc$^j z^BPzfYe8Ft2nQN;Xe~Yvq@0B*4%089c?|u5_iYob(mZdWt;_pO1+1btd9cO&_e_AD zOab!qWYJgm8Lq$ut0Kg}Ac8Ce12KE|X|LXXq8Mm6T6~_)AMZaPgF035@q)2xnCl}# z<51$#O3Q1wZY7I=kDU~PsD5HT$$Os4+ zE6#8b%-WCClCnmlOUH^r6ag(Vg*TRgAQVM+K(NFJa)GZX5E&+cvAe_EDp0JqdvKfA zbf3jRECyVrW=P3pD)54Ffy|=(ZALz?-fs?u9S0h%Sg`pLeH{xWVKQe7(X-hHwReH= zKD=I}D6Kv=Hsn-t)lmkI3zm#EQk0y)oy02xOZN`~H~g<#bOGYr5+SVpI=ybLP^ctS zs5stI#6i?l#@LofK>`WrJ$q64C}e#I*2lak{kQZ?zqc{Jw=Vs6*l#9-wI*9eLmYQ1 zP(g*z%mcPpnob#c8s^>j&##-1Zsr*1@`%nXPt(mzUy>D6K=wLT?m7Z1StTIt2GRyE za#{{=DD&>y(V3*mIb^vAu{=*1KGVzy3^5|CK_=|I(`zMoIaJ9zBI-lOgb`X!kDIE?J zdkYDU8;p0@!G}~;V2FNF(4y_K%1PIDu|1CuEl(7gSvRfjUp*g$f;cwQa5hFV2W|nr zc-;$m+&7Ih4Tx_kLoJCxgVv(`rD9k$4{${^%70oFH7X?R_Ik>8`P|BPVw(AxKBjws zB6+<9dg+>YSPM8Ls#1BCx`coe0^6|5?N*P*Ct>|+#&xO$i?$!467^^Ptr$fSL448$ zqko`F&50^18Ai%ZocZ6oUhbIv?!uNdnvrv$<8=+r?D0iHQQ}m;xn}oWE_J=W%?R1j zMEPE(fA`vg)H)8c=hA&_rXQQ(@zt? ztXknGQhFYe zBK|xguXYk3WdA$A#_-rpW_GHzDt32GN9B1Xrg*57-iOw5b^8(V1qH-#58>a+13h#ok{S7^;0WEDi7@6BJ#RKx-Q=U zmbZAa42YnWC><=E6EAU7;Vl^TU~CC=N+Ao3txcSuZf(y=+}mAMOrD8VNp9DOwr6){@*hX{UR-_*0Ut_Mp6XGy2*df?vjjW^ua$u z-1@(QkZIH&_Btn`k&JHsHzgWX&8Gkbri3R#p-6s4-TQlr%q;T3JB;q;e zbendnj4W7N(IEPvZx6SdP+Ju%R2L62=ph;pvE8F899d8?(qVO0esHH~<)KgXzz$Qr zipL5|7`OHD`3C8q#9Bm*vRoefI0@_`$mfTDYx+7UIKFwy)}Sjh$;6^X{vtcwgN#80!70b%)qa?N4I88a!=yh z*|9WGU)%ED{d~ROu3s+v))J+?gtg78=9ApOvl{(zm_M)CoN^*a$H%IqlGnv|ym2|F zIpy80;mll(^7s5xgPzWEKW^_zNqt|x!e||5pgra5ar;1@UwdV|E_yTrkS;Gbs${o>kW9 zvp|9w6ZHftqN>w+Co+o1Kf}w3zQRS5P65I=PD*3ZX4U5m1tCIx&l>4av}I3RX$P1U zt*2Rrg=2H~quh>HP&DXz(d>9@r=}l?siaCQ>+0(8OKst2z@L%}=6tioDOd)J-L*!f zGS-$U5d)UC1td!B=XdVa+;qqFj+bb0^cKSbFQ9%?I|xopb&YHRj?rG$lGJG~YkO}D zsV~?o_|aujwqapK?msh>>RA0&nG*b-7KA@;nEn2o+tRdc`g~BgEyW4He&9V!qdaK* zU};OeX6||lVUrW?e`M|wigg><&V8TyFnRx+mN|p>vzm{KlM{5|j^6vr_j7~yG2t)5 z1|%}1JRcsS9CrCxAFq3WFf~`y3&hKNtRKQ1vI*{fr+#il4rgWjB9CuvD{EtP$w8}G z96z$$m{)Jb#@}K+XfQUz+t99>7aThw2H~u`p7qu;%vb3F+*BCdKToWAMOfqx#ewL7L;XJ)KKKOPbPU|NNBp zw?|3t#Fn~rn_I&1%-4k<<)lz&f=ow2ZeM#?+v!aB49BN!MR~aV7UxpSyy5vZy_ZUW zX~|y#W`kOTmScVQx+*oJi98s5xZyw4=QP$$ae>wC&kt_=4{w<2hC8ckhXF;evM9l5 zMnB-b##|6Kd>Q`5nW9lMdv2J4IN0d+&So=w@OXS;$NRp*wv-D zMCx7_4#=axj#zSpBGDMj6!Lg2-5GZ0Q_novHJbo&R+5J<*uTA0^`V_~zO6OAIyJ{| z;q~$F<8_R&un9Nw=mNf$sRErGC+W7A;RVtk9cm*JVLUhu zdO4JtIR>sRQcZ2Sm+Qrc*eFxi^zUHTc=#D)IVM;}yaErH#;xek3T6E+C=)zNcOEyC zNYF8r^~SQyDv#X73*$7XrT>)95Mr>~11J(PdoO^{SKE>_ll=8YkJv>9+jj%7y@VYh z8$XIi_|YEN9hKui1IsqqH9Evd{o1=~VEXnBGJxr3pw#!0BY&lKtuzfYn9lrWbqU+? zM9D$bQ6rNF9?3)2FeN5UA-N%#FqrQFBqN~l(kU@QNy=h|Wv%(n`iLHpw|%O=pwZDP z;Q$np>-TP^nGjUcuUYXBy268)X|0HPwY%PjHY-=nMq+NbJ2VfmY12N6ZsW(ckY&+Z zp!5Tckc-3DqF~eYlH+*!E+v1$87NZFqFp*dG0Vag1CY0-C#q*NnT`H~^?S1&$Vnc= zKovAA7ap0|sZ2rZaVhx?CXet@9q@L_gHd5XQcuC*Kf(;rOW?mQuhnpa9{fr0^7hK{ z@pvHoar-=G@L}83@$kVtexL65ra>|o-l20^)t?LbgtX%#8LRW7{%2zR3ld_Bd{FbH zj=|ULNOY=fei%`36#NMJZbtOFjOO}8mp0Ta#mB7)l zDWAKQELVytc+q4w?6gczjFs98sR1ig@6^`2#*kP52BbFk8QC#HaHdS()0f~*b#F(- z4}IF1GmS6vrF3b6-kMlbSZC`BEwKUS^ z2f?^2@G;(R#+=W!r3tIv?g=$c^OgL=_!c|WTbCJBXlHIAyDd4wgY)=7-3K8DyW?h* zXr;!lTjg_FbbX)j@OO`APlHNh@6zeTyvncS&X%F|HfI#8nqV+59q)octUqdFY{L zo{1x#zoxE_t;gX7ztJWQ`{k~9`f_zD_9z0QX)PDo22NrS3k!7{_R#Ab8%D#s3k+YA zRq2kbLZ<7{x7QWIRqx3{)DEmFL=46f&B1TIGQ>iSlv9 znT^#fh|E%(yrkW?zk;j~9a;z*Fb*ujEU(*#)F;&r5X4>H3EP5|YqS=*qt1<&4?)}3?7`%2nLDB2VLcNHa-9O6Fo5=KXh-l8kExt5}E#e(!6(ntW#lIPChu% zJ}1JLD@K(QL#a3h`S?}vTG8syXDyZ5CWJ10cfC9w|2jRB=kfeg2Qeny!tT~So{N{5 zip!O-RVGRS11(&l!TecJue72~riWGilVjjCDlK&lW5=F3**OwMU*b`Tv=3_4z2k1> z_qQv%Qrp&Fc!OuboECU0n#{(1`5#q`}I#dq4jGuQuNdX^!fOD1xbtL$}BpuP^Xl*l~5@^@0^hr zqz^`o1@C7eq>-9|AC&;+18|Sa+$D8sbSRyMgkC=jo+Q)d#g~}Uu;VRO8Bxju2E66|%<5IYG2(HAXUzOC2;#fz;|xk`$|%;OnvdV0dqJb*vU!th0@caVuYT z?EQu3;xVFCl9mb7@$o6npOrF-ib z6rh1&30+&fbk$Lv{R0L=%gaB+wBa~G6HjfdP*>8-xo)$ZB1GX&!dk%Q+Z|OO%yH;U zWo=N6?n=2;MM@l~b%-URX{9UHeGqwhhCH=xx?6TR8HyK;zgX7?-XclkpO&S*1O;1p0@szuFH>60bx8a+8aD&WzpWm;EI4m7ljiAd z!4RAp)JlhbpXWIp4_#w81Hv4v5kPE0lN}Mi_uKP29^yd&y?WZPA?n~oURQmSXU+|( z(Ki>Q1a5t|h&-W(1tAh&w%|=~;S@a~iX#0b{LQbtOlkd+S>%EIgctv$vuij-{`C=S zIt@G_3qJ-vImw{}F~j9heK@wqbhbXZ`OtK&YO2O&#D4Th?nmIu!3f`pO(e;IgXFxS4?{9&yoO7dDH-3FDeH{= zBEUZIKo5v`;+xmj|6}PZ1KMhuwsC?dc(4XS@#0oINT3CZ7pJ(pTZ==Gpv5U#+@%z4 zad&rjC{9}_rC;vn{r+8MbYSqb6X$Z+} z(=1Ew(bhiYLvuyC4O&^+0vApa(+R{TX=RUXst|0mE8M{J8n1W^ABLTr5 zHgk9xDplxKzH=o3?~;bEx4%F?pw6+AMRizptH;T`;@pDTI`#))ZS8B3ojc|@x+>V} zTXAqn3vF|~dc~RJieWSQZs*UMr4G{zC5N}mWKO}F>x6aO4nSNPPo;5b7RgojV*}ig zd)dafxGxlKI>QuO@Zac#Zr8Z?Y~rV!v;Bygz1{xEM#4%Tg=uepx=!_eXX`N!S+1X* zciZU8f*tCAb$@s;-hw>nBAmTCM(_M#7iWrK2by(he%NKJ`)r>``|2aF{U(B^X=?NM zzygT8^-K6{^v65!hKQV*?`T`1@@LiE+nT>|#rp3<&Xv$@xT=-UaDIj;<|#7{cuWYR zKeX!koEo*i34AA~LLt*P3r<%(Y<*7*r^*rdpW|t_c_CBtpEB7&f z+u{rmMT_o`1CF)pkzAZF)=fTbe1miryZ^x9fAKxqd>V_@n|faep{^VBy5~oPC8DFq zr{+CLr#@95H0})@;}8CH2Wcw%Cnbi0B|@jPGzV!JDfxx2ajOo(ji8r{AF$>gDv9Y` zKSas0{y11sOUz4kMAeO*p6KOkTBOSqh<}s@~h9W=Klc7T!#vpTx z{3t1>EBkhj>Z2s4W`U_%285LULOsg?jsG^3P;$h2V_j?!&3pzA{UT8^+U!g4QTq_{ z)OV)r56PTk;xQ&uQi6Q(@jidYzvTIl@A0lBCx!cdKQa1qd=48!7}s9}*JT#B{uD^; z*|2hv`^+SH_Qn>ysI&)iEhX3G0J#GYAae1c8&4l1U5P+tQv@?n16|Ws=RK|P{2qjg z9uq&~1;!@_ERHx*vOqf(m4`w9X9cb3csue-Il*8Y%@R`;M|wJ+f6!d}AFwXb;V)y% zed+mcMs$rwgr!tCwUO_oL)nK43Q5CvA^x&lzG&#~PL$LGDKjK4Bn2^A;mfF986bh4 zH(0cO@QPGiUf#B753GbGpM@6cNO7c`>OZ5RX$DZ*45Y_O$jOjCGo}^X4YDLDa(vS1%5)(m&EDRP^;K>_#;+O%sS)z!nAZ&B* z<~I^2|B^i9rSa_lY9s)tZKIV-3jf#w$Evl^ zlP;FyBgF61dUH;8`TAxx^nVj0tAPDb*(mM9m2utVWbdK6;VDDTA--3kvOydDQC)at zxG(bVir!#pOuoTp$EkVYp~ywwS3R;w)paMgyCCxW+T8dG74vj6Bzkn&fj1y@B3dYEZ{kj zolNXZ_=yMZH@L7O%VG&urujS~H15sO$QO2)H&UmO@u=Mn{%$n9JNf>5fVdFdB6CPE zt$~3)ww3w;-E87m_!wI0iAuRPgZFM+npJJa^QL73aSoMluYVaA1TL^7`trK#gl#3f zKD8lA#Va}G`iFGm?6sOI*`QN*raDumBzR9uBQH|ui6?g!8z8;%`6QU4l>nFeB5igk zCtrEbq{V&iK}i8%?~q>-q4KWeK!$^Yk?1m)$%%6DflUF39@ew2N3=r@^UthzTG6m) zRga>o2e9<|y^Z3)){RXfkj#lN!a<#NYO{W$vE-F%@SI4N0v3n)5t6Z6=oJzpS*bVw zB6%5EHGZ3Aq~4t9m7egmdH*-=kZeW}LLMwq^#JJ`JAz0Y{ELK7rZrDN02-CP$A74g z9fQRmHiR$GBd0{evlD(^JA5OE&|!4uIJ}Zt*m5nz(-~doqg6mVvG_^k#H)+nv&G_j zvmCkYX5yMiu|BnFucrI**@TTgAYXst){&40kvo25XYw7+no3~?$SwCA$&&fk z0x2U80NvPpWgNd_HjV}&u=*$^?1j3i>cQ|SQ?Ll-=#m~Ca-*Zm9$UR4afIV z2+FiHQ>43OZM|t5_)8JNqBuyhExCO6WkOYrrfFL%F}WPtXP39Jq2r@3)^mMo-f%vX zw$;d))WB(YbN2lp`SZ<6&=pykbo-{Z`ZJsDwbewX67oPwmzsjvP^h%X)xl5aCWY5m zx*Sx&A)YA;6&p#KMWipkR*vZD*IpaWZlzf>Z8*RB$ZFC16D~-tWK_g!x zi7UL)^vQon4cFe2A4XU2WXEsI;VgSv>o!V|HQsWIbr^1+#8|P&q^t!EM!@7&7)7!G@yB?&yD8)VGi9h*X5jlJN-{ZL6o>K(wi#qT6_^U~}) zy&F`KuF#o}{3%sS^&&mbCn`Q;??23tTFm$f z8AAtd)&C>n@|YlZXwu!6>K4+t52B7l|-fr%Q8c|hZpFXJt*zSwc9|%G|O|r zb2q+BGlbN^Wxo{KbqeIiAH{$8P}xhD z9azQnTB(>vAHiQKu+`GiN0w&|=^W!?>pc7ysqRfMHj-)P$<#=$a+>qc@GNp*&IZ!D zIX4!;^Y)+k? z8^UOc?O+0NQ@umzW?NNlebDy;z$qg6H)TRAPL7w9X?cz?axkbV*V$0KS&=m^8PKJiu^lX@6%rCv^wdS zIP`YegRJQQzdbw^h(SCDeu3Z{>=bhN0XasMqU=tJ4N=de``1y_f0NP*uf-EzvN;7@ z%cn9D$}P)cOEA%#L~2NN+uoNi(^wE_e2#8?ox@tqH}gG9Nj2NBHg+RQxE$@rt$8$^ z)yYVK?&LBI8u4vizqHA^K)Xl|a)k#JV6I}M&`HmDN6U1$P3RZHq$q;-vMa^j8uH2g zIk}`Q+ANIUWFoN8!l@(>cR2BPV!|i+66MgkmB$)G*0l-Y1Gfqzj>xg|lm;85&d?dl zEnKePJh_3V;vgy*nD}s1iVOSl$Bgd5titRWC9jVHhv$h0obp!B(Iyg|$AIDkHP6pJ zr?JqFT=)tRPN)h&!@^8AK}7-8?i39^9D~983VgoWjGT*4{P%A^hg6>Iz&4cpJw>5LX_lUOEo45EyGxcgc7Ujx*1;%3FOpl8V<~a z$j{OpsQK@V6((zS=ktFLg~I#t!#O2{-fSLur7^5-bbjfOp9_t!yqr^VRkJi?o@wz2 znWfMh9y-k}2kdmjZ9RK1bhM5Z3Ez>qVat z_CHHKL_}vFQ~bEQ2|uGT>7co}e$FJzJk8!GPbinX3F=k$ZUHgA*@nD-j0^Bpj@qF^ z@ZC4#G7hwCocjm4U6t`uJi}(W^=B-Q2;)e$;)B4Ft7!G{iGoEx z(i}EVbQi>*jZ>qJs7m$?0{KEWW;|O<^@qkOfC!24=Kg{9mxA%=#lEjXFm8IMpQzI} zo3+&w0kuQd${o3%ju@TZ$Bo(t*~9SsPLIBu)KEXubWwLigRvV=%fif6Ibu%zH~Ts> z?nvlm`W=ifXxX`l@t6WkKOiFvNNSYdrGE(QmbG!E0X4CEYY?um<8B*aG;hFA! zHI>kx0x33cv$Jg(?wz!s-Yj^42K26|ccUMvc}tRdl;!^&$t_w{#7+`|M&=7puZ|aA z(ky4p74sTScufN$6R_j%YO_PkgqiN+ANY;?9;>cAWSsD*3aWbQ(ZsN7bdk{k9-}NR z4@p6tA#H!h=ztR0joH~N&m4n1L6X5-(oKiUKV+69#GiRey|ez2{b#OgFY~+j$()Q$ zK)Xm){5_1mOkU#fTUM8md-la6TLKl4Unk9eXOO`dr>o)KPX5S7_h!-kEXmEq)AeCA zG4>yMf}gIiwWL5rdQ-~%CoS=Rzk=m@iFs-FbpJIdW(ej85%Cq*7`cK;bOh?@GS}1FsK;CGL4NzkkhW=aUVd?W#3)Nh*I>Kl(MaahqxIL8DY`w51VO(? z-jq!~*oVtlEx|N< zVwo2L=DO|dwz<#l|LbUGR$=rk` zIwMLCa{?@{Trs$ggX!Z&iH*ATq`ojlAsbfx?0)jQji1Lz85)Skl#uoXJbwR9zcw=< zRGn<7nQ;8*K|8)XkR4e({;)srV{MtDHt<#n+?^HV-0NO`<}$=*rb3s;=WljDcaWz# zIx-lTqi}>O{v^KU+}EqG zghQQTx79x*$>htU<^;S5xzRT^X9+`Bmn9B&>CKD+Eg-amg^4oiF06K9^LtVWK?bIi4rswVKjXA39Y|u;rzU z=5PS)BMp`9s_4H`*d1O+2a|ET45=eGJgey<>!BucM+MOqP{5)F{kGGX?~`us`#f0z z`Yy?FDq!>6=daG!aS29XSX;aIcb6*r>)OEm`aL4DO$|q^-{yjhUu}Wndu1aBH`tg5 z&uKl0JF#A~48KeM)c`vKBj~eIs5=J#uu$ePW-;>nDmXkP6Nyc#v@M=;$OtQaA2pQR zY98f~;iE=N)>8%YY@{9-SLO097!0A`gqT5{R^=KT*k!dX?BDS5H@}BTLGU2g_q-^GUhwWnF5JVON-AInV6yCZSf5$Xex3!pgPn*X+ z(QXf3Bc!xfX^xWVw#Hb<4?6k>(Gns^^ZsZJe4jqDs{i8rI*my9XeL0s?gt7v+d^&? zX#7B*d;V;U%LL=<34deWwC2U|e7}(`7&o*yMEq+ivJep;iLEuJyek1@*+XZ!?RQuZ zg@#KJ_!_%BX3a;>eh4<&62q$|AZtGDW0&+??!px+cGo$s=onlh`~`WMul#FnsIY8+ zoI6G%;;f*y(XXE?ytejut|GfpddXDXHUCHB9??bG*0)X4LHACZJ~4Zb`Pl>A&YkXG z7Hr^~ALuO33(@u=<^edvy<6jA^;mR!UG|oGxI?dML_4jG|DpA?)1_`@e6BS*v$Hd} zXX_!QEBg1A`}M57b_$k~LCsQgs4 z8NY&<>5hlcbxT+&!(&MB5<1P=VMtfKr@KNCrg@z~y5jn1wNgSfi~uvSvsA3W6cR>(nJiiP29z8^!t{v|{YPxz&lrWy)P!FgjJ&Xm zR>SxXCwO)(Fe8KH>SWbg@CiS$)>vW2r(f)OB?k}I!FF6u^->y9b3XcdAGFPJ6h~J^ z?<-D0mf5~K5e|t=Z6*zOnKe8^O5PM}o4JS9Y7%qq0d4-EKZKcR>B#8X>R8N`N-Qz;D)^ssppS((nTY2TcjSuTUm zyo(7k(LWf?nPL}@b3&jDjwVU|*}r0{z?8S}2;KbamnlzXazgl$gbdnO$^zK-*?@iD zker$MhQ}|OR%NI?1AfYNh+@i+F%9D_TEV(OxcS>YB5H{#1uyZ@NDqqDhHi>fH-#Oe z?q)|;11&x2t7roVVeIB$u6O8BsHXY_$$!l!_ck!sxgT!4o00lp$Pi? z^dJhSMGo(b3izX#Aj|L3`6&+}rj?LLpVR+C=xri8(*~t6x$OTbFn_3EV3MPOouPr& zU$4RlA_aFA(3#qF_AD18A2LA2#7Mj+Z+#Koodq}Z81?olT58xCpnij6pi3u5LLK?r zD<@;iXLes?4q&H9bMJM24YkK=fvT{T6^7~ex4s@nI4KhTZuW>M1EZfW{X!Tl(vrCf z$gY4QDVp#o3L~v$1elgVVI7Wj1-=?tpow_ku@l+*YiN+7@^vQrIu0kqywh<6{8;vTb*Q`rWKTh|-^MBGt@hBN6%3N&mhC4!&hQ*b{{f2Q z3W9bp>=(eeDJ$d6qHC^#K+nuHlq84KdK{3dX#08oh)oFgEmE3YR=k?U07uwyf0gjp zTf!ksmAM$7ancpwzEkeEL^g)%D6GN`qU`6j|9Qb{5|!)2%5V49eGO2e`-A@wRx_0R zQkc)9GJ0?VVK>;d7u0zo>jD=QlZ~xmId~^@Himj;Ji?b}UZ{H!qg+@<5pgWM(Mt~= z0*EOB&YB^&rI0B;MC#ka=24eckY{|7{r&9z;2Hp?h`2|!Qk`|%+}Xz^v9=h0xQz?; zjFb5O+l-y?qSmZ+l@fWg2&V3h?-nUAFD4k#A84 zlNC1gZ}-KBTk<}`=+xZ15XDo%xtGiTs(^=eM-KI+XB=3S;De{yS->!=^TgIZ+gUgf z-mE_K3SVbrgWe`YM+cnabjgVno`Nbovk}oEboz^NUH*F^My|C;(zPs*LqcY&zr-)d zG1gb>z7=S=w;?HAc-Tl5NIwBM?-YIF>01i-m6X-~`n*v7)wC(r-2YTwYm<_jsXLV5 zIqRl;%?7C@WU{mv--(GpTNoIRR+H5WUF*CBc21JgE(a=24z1-^pw(Ndx}6i_fOf^Q zEhqgWYf-OeFz6RxdNYmZ$Qr&R*<<8R7iFDT3)+cS|Ge5TH69)=*t1d(A(_J0w#0%q z(=$%3^1p{_`0)2EgD^T@5MXl=pj?+KYs-87(?*=D_h*gG!2i&aclfn2pRJ3+!BPWg zAwG5ZpZk3FMx2ZfO2L0V^FI`RC0q?y)Pync_N;)+JF3+sLEvtbcL8pjWvc>*)8V4x zviZ7WfH8BpsX*vr{S4OE%7UNP;f)qnM%yKo^*^n#zC!zg4JrIJV4XtQ9<@rIo=l~R>pOChbOv_-E9y-r^W#miin@hDpf{y0{RuIh5a zf;&fc=AlwXo)YQ9h$>b^4=En8T$?%^x!di{JKaBNx|UDdXy&}=)mKO=j~-Nm;S|lL z=X9QAm=Nlfs4L^3d6$=4X+#W1yIrToVyy%nle*xbm z8a#kD0By@En-Ls06&1L67s+Foi88{8+_%%(2c!^|xq4e^;lIM74>%4}QpkU4u^%45 zRj@zrLoOaFoku5j(}-UGQh7>`b^f1N@X3(J{}^>cP+wE5Gr<<7S*PVKbP|cJvRp)< zoqVg-vuoo;@oi;j-6siN4B`>}Md@H_vom>uz}5czKZFZgFEWhy%S|=*4e_;(5|B3u&K9>ZK|}6z+fU<2Rm3dyowQf z3p=QSdi*<|FC!Yy7GTfoFEOGU2YsnaAWVk0)y&{C-~!#j=fyk9qEPWW#;rTu^#P0u zX?U=NLcW(`m64SID%v{1O>^SjQ8^fBd-aAvAi8y2kjKWA5&6^oUucU|*k2}fUm(7o zOtN6;ujqx}ZIzO5ty^&ZOyi6{na8GrtGZ)B2x-7NSH80~)`b>E8;Q0KDlEA)mu-`- zmg7nRHLBqur1Af+{Ya~D*_rC^vVdOLC(@LgkoGmyT732Aqs&+=U!|7(`WRrH)nJd7 z=b`bxalXvIR0#U{pP{fwWXRj}<-du9KCq*c^bxdWf|k|5)FF2*Z}%K{WpUsLS&`VX zY;efU$0S_aD^BMzL~bb|3EJuFc>2(TP3oq+?h(};D`ln6a8C)V>9$7ruTZ_5yEN*g z>yHXNA{VcHr(LsrmE(f_M)G%=3*4WFq{7yX$9^Gol<#+hiC$k6cIK(A2f9{0Pg^O0 zU19^I@UXcG8Z7z-wa^W0kQ2}UfnnF$!CU$_62n1oBMAz~Z>}N1A`EN!ZY?wSZ^nz* zab3O~5|cj~_)Wuywa~GZROs^>L|=wo+Yv6Ab>8_d;)qPK)d_IaEY}c~utA<0)kFAW zgrI@B9K0AVzqxIfwj%M@x@e4*X@q+Y$}vR93oxv-L*gKQ6+@^BnB*eX@*`TGX;Q9oJ<;^D;e&p&y#U5_2XQyced!#w;VTg^Eyq;mHw=uorj|#jJ~ZxDQ@wg zJuIMv9_Po!L94@rP&6jug~AjZnPe15n|*a|$T9hh0v(HF!FBfFTaWjX5?xhqn2L(qdOT4HJ zw-23qm8(eG76{QTPRu5Z2qW4tCE5sqlo9NhhKcHh`9J_C;aa2EpY;MtzUET=KB0CZ9kJ3Uf_&Bttda_r-Y-(&#nYorC6oa@|k#;~dO zJwhAx^PR$H7s=TsV}&VecE3aUFG9vnPr&^&=LK{!x2!OF_1Ao;48>0%&?_3u6KYQM zPMuihk3=PX>yRs8A1y(K)WtwnlQ$6d60+P#HyNX7`8(7dIMGdNa_uD-l_)?b3uekA z9%WkiFXBbcz8w^Va~;jr-MJ^;aDxmy@nmrSY67 zoqr>!5yDrn{d*WlGlzRrbKav6VfwFZ0r8 z$Ybhn<6GANR_*^`4@Dm{dlzc*LIfx;_32Wzoh5z^;=eMJk$_=&YyUFRmU@nwq(9eT zR99Q(Or*eHNV}8k9jH%&9z_X{b&7nAFbcl)i}Z&p;T-=WvtN8iPkW>HxP{z_GDhcR4MsV-xmez~L4RDAIq^N(Ui)P$nmgi5;>vv}VKl=Q(m#r@UXv#$ zoTM#? zPFXH%0kq#BNf=P#ud%&V-_uQ-)XdMg-1t%H%9nb(83UxFHj2}?Yo^Ud4yg18dEA)f zhxPsNfyk^iRjAU)%?D$d=j!sAW@VVAr$>7?7F00_+o6A4j?1j9z%FphO_jB61`gU^8HHC-U^h&pbm-&T50sM9v=4Xhe&CFjPJDUwcAs{?y2z(IpQSM3ja zywjWBLdHbOLYo55pFP(NBcM|=+jEpTnrHK71J>LXE_GYfhl#|{$0!z-o=}k@G&a>G z)TJiH75#-URVQbogq&e8>ho}bB?js`LA~6b5-*-z4F8@+*r!8s z#JD?b8Q3<;L1Wy&gu7R^CU`L~}wtE3+;6Jfow~lK8sOvvin90trm(kP_F_l za|6ro3P-vv)K_U?KS-0#CdCDOQ40B{^EXBw5`NIn#!NF(S`!gNBB9IJG-Ni;c+tJW z)M&DeQUpnPs3g@Mv4*}H=UDh(bFA^#Sooy^JNY8Bj=0p8Z;I;I+BqlIOr^wP)6{~@ zC7k4!m1XUzcr@VpwpdHbRPmCu6obAih~{)|;Q(PigwrzOI~8KVUxR&{KjBo5ZG$x~l9TYbJKW(d?Ve_a_Z2@xqoMqWXFbg(>d;Uo&T z5PGN`&k>^|?NXp58S!uE4o z!27E)q;G&7la(2YwZyA?Hxr!n3nR4}rp<*zT`cmi)XQkByH^oao0uvN5NNkH>^I*_ z7MC9wvgFjo9-z=6-3{ob0<7W)->RW#zE4abj_KUg@cI0uQfe~fSUHbl<{DWyoU|_Lv^nH#r{5xt?XO3$4Bw|p5 zU0AEGK`T%15X={F6XecP23#d_JjvU`f{MK>s1m;93ndISWnTB^& z%CY3vqQw|MAB`E~XqTE;?mNJIm-uFDkk?*r?v8lyzV?}eUib^JnF@5r_*iD8C;B6A z2?(=RJ(@OjsvG0P6#lz?roJh#hSfp^N@-#jx0pdWNIeTk(8bMxyv~r5sSpU=p8rXV=%u8SaJotcXQvatjM^8VQ+e46aQ9VbqEo%ruM&GHsMR`B7I$r zI5p@JzhGVFFz>a)Fa%Qem8fImt)4QD*=!=W&-2|(+K_u1d09DEo@T9K4mjeQ?BX^g zW(<=u6BlLOcF6g1)k_xgIQ93)riGkI8uQR8#D*^58b`x{p>9Efy8Z$GYCW+m9uYbK zm|R3Osgb!{X$Y9#QoMEvD-$Bob6|)=1Qu5#?tw}rAHm!AG!fw>PyGQr&~=)S2Yk>b zq+$~DZ5}QZ{?4v0orKWR(*f&@ljxpiw~%_BX8R95-zLO<8gro#7wZ15fYZ&C;$yNi z^74HI=~@>-C-yLy4lQXNaxx)DNp1#|miNZ|RL7Pp1m!YeY@pR!Zw*|CQ}T@%at3-G zqMuHLfqvCUG>DJ9LbK;*+7=p?65m(2@yC{<*}lXFp{_EHxsZ(uB{i{=Pba~#BDCup z;7mBWZgoZZ`j&45!WpP=Q~;piLp(zbI#ogJtbi!m{}5D;hVg$_h?&BqEWqv6FgnIE zkXlMJF4-Qg$*jkG<~Vddqd5mzpq2OY@4CJyUvTI0OYF&q5j+#Y3Et>& zFxPUp5s7XLSt00SEpP`}2$5vMRDd$Pv-3=!#AKeMK?2@8&CO1h!a(*&c*i%{I+2)% zTSVsWG+Mj-d6M7tyQ)k=FvJk%a(BbCAEF?DiMNVXdTukM=0% zllO#j+L5{F3(9U0F|}!s7btScCcrz|RW-*3sgSw^Qi4pI)vq` zlR@J}41BMB8o-_RYnXZ(5g`H%4G_X)r0GVH)2^|-o+^U)LvPnvnEfm8nV>XR7bxkF z@)Y))oNHQn*CIApf?g;C^0)VHm{l!YwGedWY%KcA(S)&G8Tz;mX{J?h^Y7cawUz&t zO{OpTqaS0D$?FB`QDGVN?0vk<6(|GYxB!Qdh4>QwsBErp**LPw+C)!g8W1Lu!A{E) zw-SrGIarHujKKxs%f>XqLnMkkXv2|}ru!Ucdu3>?^Ln0lXO*bui^iP4V%1$dDvW`l zJMZnQ^mUnNk3Ws zFt^_pvZJ}D;QXyDtM;-skHuM+n-h)^yG3{%O(R;r2FrigxMZaX`Gr&b^{Pj{(^mc~ zZMbz2n|OkrHv=-mJ637$kSM4SwB!uTLROs_NtwdA+F`cBF%35vSYkLu0vQ*kKRjE628wFn1VF_U^2EdM^vHKWOp|2ix7fW zK3@_1X&+)Oh_OOc_(g+oGFCq)8}|50$zNz>HJqQK7VbNK{qi zb*(N$+dnpeSfqL(8(dZ~?QP&Vwj~Cr9`NoiweB0C4S#DvM#C_%kAx#S%R7=kpnw|CsRCiLzd80bKjw7|j+ zdBazZ{$Pn@#Ob{c6SdC@cV4E!;9IIDDL5FD&)NED*>^%4u0HF@(5M2>`39pCitetn zy9V^-Ur2>#&3f+Z6zu7-0t#pnu}am?KQY#$&9J39Z+^y3EGD5|uW9N|_kHLh6z+;| zYzYo;(fF1fVtUG&bs5x9*tz&yfO*_1Dd4E4&N&8;9&|d zLp*(Q8`6Axx(LhBndf*@JOHR%`=`3gRFM}zE{ip4@^35$#&5YS)RQd8r8pioL|*Op z5MJ8WkuzoB8zJ}f}iO}l=6)w26xd53}97Wjzxe;5gxkz16aVY z&AQxFDw$o-l@Urv`r9u_W~UY3E0oQSG$lVJ4~Xb?(VHCMTyT9L4(hj2VwftxFEORq zt+S)&{57-v<4Q0FoIi$9XTRA;L6d{~J~ky`XA2X9?>A9T5&SMK*pioCyPAaqGn{Fl z5yhSc8R+Ld-^13Yd5qMl?7)ZTG{SJv@g6%=1g})0QTKGk8;vZ898O`jhDe6c9*>6V z5SD~h%#*XtZg)x5+)X5A6a@<6_-}rW$Wp=NB`ru2^kW(%B>LP!O`VOw(IpXOf#c4Y zs+(_dNf$0n<`i&<1p`aq@=cM4n}aWryI@kUo=aA>c=*PWPdMI6j z>>aY~;aVOE2Ec#YnPS<+*f^kU+y@m3NG$O!fq#N5WEQHwD3Tf65(S+~OmZtrC|E>d zF;9f{ChPlp4-n$*`I1*y$?u0BT8_!^?s#mBujnsu&*ha2nV5Kri;dE$RbjaZ8u+d< zPl7YiM~+Hfn$Y@1*u;-r$|SQE{9&1U?>93<__@^8;*DT3`6OLA8J4h?7)c&$?n{0- zj90ng&Y6A3)Qh-$s)G*Gm(e?!Pyy!rOZn%mOBvl>z$KMeM`^Fn_^633vIM!A{Q5(& zvhZfz$ZPdzi@b_;efxm`efjfojW{Jji7+c1Tz3hAb&-Pe(OI1nERd>22BZOhQa_Us zJwyu((Tg~&LRm51rohNro7=B#gzz);GDm+@_`d2gClyQz^esv38$gX^oi(W(DJk(Q zB?@2+0i5nKv8fGZoxS70fadxEYY`3<4Waj@@ zX`O%m{W2$03}!13{Sr_P@FXm$q`rGe(kMC_K~yl_Cq4uHuIGHm-Q>4|k$Xv?c2b_g z^-RdHD>g-7iR45vC1W_zl|^ocUBD}Jt7N*54jg$nK3C3|nWy{2k}IOcig0B?{q3;5 z715_6y5wD%KD#AS$?ueq9+;F_Hv&ip4&(#hg-;>cDOJ{G3o_Pw>kx*t3)PVC$t8}qXh z*LbjQ{YX{4s1M2I7)dv*cJ2k{&0OAXFayC+tkc@KZWDd*7fJ)$V5WJq94(a(N}~6S zSK+BAynVx%&qLh^+rmX{%w@Ish;6JDIc1S18sTnn66lNAl7pRveF_GDu&22Xw3u_$ zlHz2lJgC~}8GEU_%?=aCCTTC9LwELhSJ+F`nR8^5kZ3s`N37B=mTAbc&@fvYYs_a@ zy_V3KZOBSyKZ@)^p<~%~U5wE4+BMpgdUpUNU4F#t=f$D$gDqRLhJ+dlT81um2X4HQ zG0N}unQ9P;J*L*j;AXvZA{KVm_ z)MZ}eC&gvLc^}~EnE8YJz%ki!odjLvOTQ*8aQ~2|D@q*KKv6^;MQ@8s#W22j zr?otDYk5~{91zKDf0R!gBi)!0o`n6$u-3Lq7IK0^8E$pS&?h0F_8Ihl=)dOYz_1^6 zdnvlb2qbt9m^3kI@vzLKf$+2DyI|Vwh;`hX5+(GRNsQj34*LZ;$kg{?JysWXE2M9O z!`^RElfgjCJoDdehx50nq2&YJ6c<(tWiUf+AicWv;Pbk*C^7E^m>=~ z;1woovPE2bRF_SO)8g|%>dzU>cBKPkKl&+&a8#HY^4vT6*x_%G%8;>sw8S_}ng4o0 zc8+~13EgAbC{_G{nO}u+G-F9#)$EP1 zf-VnE1IqX)4Fi%JlT3E=8=WaF)cNCK!>FI%t5FtMCs4r!H%EpY*;v2YXY!M#jTRy< z%+rqp4~tqe)4miU#?0#w!v+s3Rk^9pW|DmgOFTgK(cn%`a#=_3F;6T*GzkN*yYTqq zi|1jt0s@joeDSdDRE-us!6e@Jr2Ac4wmIsoA?m>{N}OVAzI*1~y%a~wCDELu5mgfM zD|i+%jLp`%`0Bc!Z^n^M9@d!Qk?c0{N|@h~4oAkX8lOKt$$A%ppS64o92WgQZOUF1 zcgiE`wJ3CT22oI)#V3I>F0Q}2{P9*^g7EEuN4g$SI74EdWv#8lhj`JK6Hy;9rn%uY zmUOMjNOD?|5H$-7LjJfUn_X7sn;X+0;cG_;dFe*#)?~N6*TR~P5;#(R{rI_!CC~fwy4Ui3jI1Dr@Y2hGGe(672>z+803yV{QIsU>CLX>jEI*KK`6g3 zaagW-g$kU12+o2WTzO+s8A>ZKV<&+nc@t62zpPYxMSV-IWySv{b@)F8Usj@Yr8j)e zgrT{aX;%0m*W1!L?7#DZE;bXJe^vx#dDZHgJR5&!x?^?v5DgPwz|@ln?k9S?Zu<8s z=xP6Mm*m~Q-_jp^`!B^z|DGgB|Gk>bdjgYJ_vihM+!vXSMo>gA9-zI;|9dd{@9&>W z^q-qS52J*KL7kc&A2`i&{@FYo2K_nx_vhiESBXipS2j)8#ufw2fW@x+_1Rb5 z*xz*oO)z~_WZrePa&;+uPZcu3T)YcP_gN{i>zu!1G~(Gw-wgV<>+HAB?z_f4r{nQ@`$g{xwNG0gqm zv>`v0ektStRl4#IYR~L?jP`mxNl_{P&PFo*%Rbr>J(1ng3NE`>sXR`snVOVwNIO9=FZ){g&ld3-bNUhu@*# zu$_T>T3XYUu~64{a#PZN^{Sp{z|pKA)jTn}6+*ub8B*yKL+wtrq{jn3C3q zUDBr5ZLiP$+J9(nuWr(?HrQN@b$a#Qk?q$$Sex@X?g*6*p(vp&KOEfJrs*`4&rZGC z%TJGlG$rWkPDFVpqAxLIc|OYzO?1k%9Z=g%e`TmR`VK9h3iKkqzD~%-5Y%bSKQuSF zk$9P=o7l}?`ea_hw6jhQ2XanH-Q|ZSaxNcRj6*1Su*F;tD5*OrFQF_yv~g+Ec2YYk z%X6d^`Zf&?#>d@zlXHhmk|KNgp-q}$!)1rj8*@`929}%r;V*yrXcdOA+|BairTr44 zV&3J4CQ5!k9`ZtF^+Nj5A#EP6;tmC5evm4Akmlcd)0oe0mngY*r#;ChY5AeOwe6KH z&Y$A5{MbflKP7i?g|Agf+-bC_v}-hnHZtE=lu(u*+FVg~HLpw^l&p?s{-Fsa)tz=q zCnLR=P?jIs2i!J#KKw$9N5LzJz5H@;x^0&_ojw$ORL_2sQl}HFZWD=z|ED*xw6E61 zf3;w(4VjYuj2&hvX=oNcBmwX&OlmzZvi4@Fjg-M`a`|KOLO)j?AGuL|5d! z4{klAjTwAAL1p==Hyt;#y09bD3HYeg;N^!lDR1^-Ih-wTbd<+$`Q-qc9hcl4j8Yo> z3nA40!8%xT=ZBwunE&A~Kd2`wyzBtR;i)S-DX(FWB6Zm1$HgLJ=i)AN>U0L`{cCe~ zq3m9Vx{Hk8{rX>i{a++T^AF9v?X(l%HTILbtP$lLo7y?5GsP4vxhtl1mXOB?y_L=l z&VTxy|Gis-SE0-7mSL|!g-0nM`fT@WL{Kz1-Ze9ka$QuKyr5x?V3v8u4y@?jSSu}_I(xq z?2vdoJd|+0IY^5sdx8}lH>7tL-i0h7Mm!oGiX!wN%92YFd*kum06E@8^k*u)&|Qvu z1F}Ti4iJZ_sg^a;s6ruAr{o5ZUiM5gJW`-w$TM3-V0sBS2D8giJl&T&Mh+nvd=B~A zMa)1eMezA{!$`qhruNnINgPlBWYWn2%@}dotwgkHU`k#X8C{_Y(JEPrSM?Pm-7G-D zbrA_-rB4w160C-wq1G`@#_O@?o8fsOVbZS8xHlt5EObfLCMn{5p}4(MT^Y-yBcTmTS)TyRoYIr=2wX#nZMc(3iF z4o0JBBtSzDN)xQk9;FDG!kCi}BCYPdgYa`m4~EYZem?QLfKD;+eVrzRz_h&x3Bf@C za0tNDdw@jXI!PGf6e5S=%u}qrU-XMVCJewfV7ANf8Yo*pj<4K-xCqV^kl-t7e(-zB z5ZKB{ARqv6c7SZ&DjE+}tTCuZ;~|7m2G;=G5FC}^ki$E=2FEnw=m*4z9fqo7I!lD4 zUC}iXKX>#1AXT@D;a(ModsRnY@*`2}tFO@GdDgs{MiP%am-#1Pg3SLp(Jx~61w5{6 zoLzsBx-Xzz+x(xAesOpTeS^cFZTw8XDBTy(Ud1=ZcV4?Mpc}*dpM2-7`vTOH`9JB- zk9?8&Cr~fxmnrV-3)g*7aOausJa%{9pgXB=yXAmiba&nw?xXR29Cse3o*9?_O!SM? zeF66oCVn4BGRpiDm=P1Ez;xqyWPHs(>4QDXfAZ6<-4{^i8KzX|7p?mO_@lF|;fpRy zBTS(0g{e}m?J_a~gmULE8ol!_`yx1~atcR#ar`25U%;%Y;HVuDQ+MYMw9Rl-)8H39 zpTm?1UnAW|J#H{MD2a*3c&J0j{^hU#_L@25^7e0MRwPR=2t(0-zow>T!Vj5bmLcAR+|wU@oB*gl+{RbA5J;FrG4)%E_wv?oT74Jd`5w zfg(%VaI^zd2(q+kXqJ8bx|Nv^zgt7~E_xRptQh*2s9+pw1}#=>jCs z)xl@%G;yAtFo!p1X3nz*o;n6M%4 zoDRAh-0kVfjHQgHjKoENnrVM%SK5+1mT;PndgsIOk1XEPfgxN(>!_2-M6_dnw}P6L z*@B_WH1p|eB)-=zLD;BKsota>u#ky%dv>4+69MSHMuj0h7BF&<`&Qec5jRCl8%h{Q zc&JeU)0liA&dd(B)biBLo*m9uzBs}~jk13;O}?hR7{>w=;i1m3-~W*|#OS~f9-d+RL2>Vp}>Q`b3c}A9%S70xQr54gY$q=7eSxOAr_VLm|B?2U zI-n5dp`D?Ei6rfzbSxmPqS@x;#BD14b)@e%!aapAK$Lw^`$a0tON2tAbpVy_OR$dD zvCly|6_oRDPc$@Ddz!0rtRUWl5dAAM&x`%e)3l;v0YcG*`k<;5gg-K8S799Rcz)T zNT{P~5`QiwRMx?f1I7_r$gVQ&faYi(-;>89^P4x77}^2xSfPuo9w-Xfrfe%C*;X0D z@dcP{8!%j93^z%_vRxT8L->GRfn>d>`cg6VC6?!U04J=Lsld-nT^f0J`ut{bm1pNM zls<(pTBgz=oANCW{G>%QT4r9-q@#BSjGoc@$)N|xp(0TTqb2${*#00|3~{Ugj8-TU zXVck{N4}0i7%fv%Clg19AGm=sVYIA#s;OfJ@jdQ3;VuRVxjgs9CdHVlLFA6AKO)z;2XThk>@t*o}I1 zk||QsP&>%TZd5NwrbV4bZjnsbjfYB<+iRhzfx{>fc1zOd>TQ<@ZL5Dk7w=l8?^-ft zP#VdgL>MhmPEJe)ja)@3n^$>u9z)ra7^6|yknEWBz{{F6qebRqrBg%>D1=oa^RZ5* zgrw6vjs?((;JJG!nwCWzSr#mHAyVBT63ZeCyhD-jN|e4;Z~H&!#FYcO;FZb`#S|cM zBtRnJl}H6hBmyLm6v+d09$e!J}!4gn(dvCRG zj&*!L3=Fc&hDc>XG-U!Em2s>f*sW2@3g*+k=UG$ABJ36@`a$yZLRmus=temTU(Z$l zOwc*r2XvAk1araGLU^0DhElZ4pa4MfR7~Z5?@G^(y~~|IeDnhHbzNLbI%DvFyyp$7 z`$Y7HRNdt*;1}ZVobr6_Kc|C}js-jrb(lC&+t5cQbCVWlk~Ch^}w)Z*$G|-KL;rULsz;;PT-jpcAn)P zlcPt%k`t(-#4|7Yz*kCg5*$tK8K-cv#2z{)!p_hdN5(`%NM-s;?AZ##s5?vR;R_J$ za2n+!u$-PdNy@`>!F(QWTnJ< z!L^RD&A&VevXNcVaSP@wz7;q^lmNOYEbOP-o6_)ovCoe}S zOh45^_kEtW?q&zu{_*?oU*G@ff4yRjz03cLYkk0=|JC7ZJ!lJ|9GlhO0hN{TQdzzD z3DX2|F%jcFKz`O?7+8xjR>#FAV`n|Jk!AZP2eZr~nmH`fg$SUr{~PjgGuAd3cOv|X z@WG>@VVNs=?Wx0cjvndiGe%gRyx|BiBjVLW+z%0_er{YT;Y!UuqTywbHXFE3CX1 zrD#0uo9~+l%s20dQv#PMKg&g(J|a;F09zkXaS;5gHhOR!O8`K7p;<tMGycm zz?-dWt#kUaL`wj;F&TIygA)}c8UmmbBk+fCDj=h~c0MX%gMZb&KwQNT03nOp9#U^O zH3|a0@6p_iG)eKVEEs_67)&3^@U}z6+t%rsxC+6)_hf~oQ^2PHRs_eU4-MH;w z@OA)a+%JzninY!u*L78~Jv_FfF=d^~#bEF^WQLJt1K#-gI)@U!_F3));;F&82g&a5X~rI(e|X!SW=a7TRklEon>* z7ZW=F0g~C*L*3P%3eB#NSEFg4U*ENFIlt4V*b6)UsmFk%PUAY!*pKRp-AHC9esU^- z`a0jSb3!$Z!M~nLhAyV2aU5I|N+8l(2Ujr!1VrzYH^cHIoJt8VdNrT8NK{l0|9UDa zoFOXQSX6i+Do*dp$za5{cz#IFJ6x<7n}VF(&Ld>4bE-Z#VI0^VCRMSBe?1j|&QKxT z*s5}9RgtPDT*Z(SPDu*?Qn5Y!;#5$`YUUjx+s1gUbMiApg&T_sCq%_LJvgL?r;a-k zA;WbH^TA1Daro?>YV{YLgKlUlo{Bs}kEqsiI_YO3sTZ(>ArOeSWp^c7p0Bgrs0Icj z=j2c*oXP}4BqVD!9d?|iQ)NhYfqU+3)rncC9~+9ex}!!~`XD`?KC)s@P4x942v{%d zsYEas)qK#&9hpPsj~FW(^){=>hxq!$SnC#J6<)Pe+VaF;5;Qz!stOnnWC8HinX2^) zzW{tC9N$3L%m4lZX|&jZJ`MnW{|^!f_b{wLk^&;bfuDagLV+t3Oi)t+g9C(dh!)?k z;0Jj(0tkg~1pQXvUY~#?CtyYTRw$T{rVQN!gmD6vkX^w~0A5*59S{zmhx0zbyD8Q1 zdvyXdOR8E z+lx$-=sl&Wy0gaiixf=ye;_RDL~wci*D)oAdhHmPMzH>g>!B-n#r}9+0KaC}CV63XFwholMMv^?&L66^j{9}0*!nN~Z9?*bX4wSrSo)Gc@?g_j0ebl>u z9aE#1KBd7?*vbG&pzfAnEITO&cdI-`zy5x#(0TF79>CNtY?aBh9+RsRlvE(qomtiO1G3WK_e;?oVS$=?L#O>BH(EiJMGD z0?G>fv`^)QD`;b;bs|~amsh5OLG`IT{xUKm9O2uhYzSAfZo7T_ek`J;kGBIR_h8x& zv}0(u1mV~wU0??wdCMbf*lB)k%od~r$2u@Fq+=g~`G_>`Jo_v#5oU$9th_bg@!PRB zFbPiO2Dj>}dHiLpl}(IKIVUOS*nEMcp09%~i?<#eJ)LgXEg`rxmKQf{R6ES$FC#9U z2$xoE>1X$%DVv|)j|GivirE2^doa0__9X3=AY58yZq)%uUhqYOz~H+d++WLN@5<@F z4@T$khXPEFJ?&u09hfq#4rN$lJW55FszP0;d%*rJXvjDNI6GUXroB!N*auG@Pz1iV zdPlo^9+&KJw3F|?y&;l$wJ*cs@3LldqY`QB{$mGn^s&NxM3>cMV$SfoobtUtT~i} z{lxJdioja6aXerTrBo#^WTEQ5?w&cH`M~2+wHlk5zlC;8JfKV$_HMda^1y*m$m<6! zGI@KwXo_N+E6~1dc6O|+?acRCi+FA5~tully?Ck`G zk)4sro0zDcN-K^&zaPHqndqsJzPfh!z^t$StU%tz#8)8idLH;njs~IXZ~#BEK=A{` zUp)caBb9hQ?*~57N|SO_yQ#c_eZpfZl)jz=mONooyD^JW-zy~YS|(2CL{G?r(@BIo zqfWn?+>2J;e||rdj;eC^fFr?PagYJ4K9zr31K3u=Jsz{C~8k-|_n)0Y;Gmt9ts$b=e9-xfqF78>khbXmGhd-DdNEOc+zQ*=&B0 zkzkereijhid4Q6bn{P%K$}N^U#9vh1YjYMY!*dVqD)Khz42-Nm*fOXXYWBS5>iu)G ztnjmdcux;d5`R-Pn$?7#1;}&iY5YVP9n0Yl*p{Udd1>?~^Q{{v$%acQxF)lX)0hKc zR+T+|z>#M%zEFP!ag&oqIirE-zB*&nwdpm0KYWFPDqY)Q$sL#$(l}RC6t*5X=@nR_ z=s*kbgjH3RD6IhgXdc5`^%y?k`OvhI@AH=-i+aMMj__;@q6^k7CoD4mh zmq*bvmE)26?qY{tW$sKeh~xO0ymVBu45EaidK>L=?VAAY|2WPpa6r~q1~VVX*{tYT z2JFN)Z?eoZ%1vp2x1SW=HlvT@YZ`Ixfj3Wuv>)H06LzX9!}&;oVcaxA9__>^&t$t? zG>u09X>Exb-DIBhz#mNBFKRm2s?Ml}O6JWyaD)yxPct494ZB#TsZ|0&)6Z$A;#$zd zA{T{a=8H=&r^D!}m6s7u3CmU6vLj%@;}_Rzdi~R{ey1OY9S~h3Sg%7kDjwDE|3LyV zk2)O1jT@TTMAPtEhjD3UI7%P4CCcC=%+vN(bS)fJk?QyIB)nN760rDjO}h6~f61wq6&Ga!99vDZ1cR zW9Um6jWy(xwc|xr(LSD1h9sj(B_G{`Z6*aQlsr2ndktrKO9CsB+`s5|5y!h2Sg8R^ zB?U0K3*&VU<8sM><&pv~a#q@2Eyc=n2}hkIJtJ`vClu)}p9Fv2!>FV)u!6|_i~c^- z$$i?oR5J=ZC(6(E!g_bTU@aLGe;Yw5#tU*k#W^XH@RB?z^86U^yt63#0@xHQl!6fMcA4 z<$nyU`f>jPt*-1|wmcb><%OdNa^FNLFRH&=MVz58T{M=U{A#SClVnOY{WbwhBcI;F zpuRJR(nvL81A%bxBdbAqM1!c8vzwiMemfS#q9dN}a@sG*z>j66a+rp_u1_wZgKwo; zj^=QbL$Y&049CQ$N*=c{P#Qy1BAR8JffYQ47c1K|daizcK7R6@U{Tg=wO^L4Fjl&h zdo}FUeR2sMFDQGx9Ocic{R|f{mSK}%P!eDYW=Ub_>dn~ws(Q#EioyD}stov8xJ-gU zNg(K0kbxh+Q4?X%s#AOesfS!{c6cy{qPrH{1=8}Ua}+Y{$I?qxLMpeTSeY#`mSCz9 zGVE1-@_>j0%Om@Dt%PKRgBXq_m@Ic(>dqqHP|+Glv153Vf>yhk?&pJ{1Z$VN z&Gsv^C59rbF)pPNOcfO_bUt!fHQ8I>!TAs6mnw)H9FHl(%D}y$>LG)uT3q)PtX%mp ztX&LH7b#(h9T>66Fsxt~)Y=PQc_MvyL%9g(UtS z?r_%LZP&}7j4?o=qyQ!HH>LTYiZMW)B%-8OU>4oTGgTFfJ~;fL2&>o|UUw2cU{L60 ziDV!pl0lb98YMnqSRxr@i6rS)63kqNFf5S_q(m~%63IYIBugDQqGX9=U?q}aSRxr@ ziKMZ2Q?W8jBm*gt45CDG+`aho`=RPn1UJ$?zx}#wg`wu7N+km=l?=30vWzwIa;59? z2ev7#%yP*<$|Zv+mmGH{{``K(??v`mW<81fb=eBT2Sb%k23k59Xz65W0|%EZoeZpW zG7L*61C&nkNqYwjzWafnQWZ}IQ9QZut0_wdWs?EQCJ!j`L`|+76ifzEFd1mUWS|9; zr44+2nQ&v$)|54U?(_ShG|Y-8$<(=iUADqdW@W;aq%tUwlF#LI2F{?YeL>sdAbvxs zB*&c&PQX56D+MV)xg`F+qiUlPEey*H1C&Z0P$br-ZetD}{lF&Nn5aiz6-h4lHn1&K zabys6Xvr}C<0&GoEa3p1}Ka~Z1f6@&xd@Nl&<EUJn=02`sB(~HCA+M5hl2PGFek28_ffOt*_cq{k)lEH!LPe3E$WR|T1-ks8lT=6ZT{DM1 zeik777^vm03KkbmA4+jd8+w$d83rmF%NYtHk*&|%WZ;MVgb71~Bv7MXF?8jSd;y}) za)n40EH0TO0|j68Ru7_3QKgHr1lA$X2Bpv1xu+)MjuVnurV!~=7fA8qaz5j;SA%>W zq|T1=QCXsp%tozkNS@P_>d9N_qt*76$f`XUToyJwMBh9*6kh!>%kevCO#ur>O(ji)+V(Ewu6Qm+ z@@V1k?`{yVWd1dKB)Q9()#=*9>-Atx)h}>%sWu2C?9b$x2b$o zTE-rhn@aOoWg|)hL*LHZ9VJSzY*jD)PGf^;nCW?u&Fq&;-Rxif`fslgi!8YFqVmJ{ zRV&*!bR=8{Fap4y@&tq)*Zogkzhxcl0I)Ih-S@+wyQPKzkE=rr2+EQ+jz8(_g>HrZ z_PlojWlvGo8hi(E(kNS}EMK+#Px{q37JyPa52vyGccd7PNUU>@0RjYJ$shZlbZ>zU z{;njz&lQqR=fZSA_67>eCKKHxvwS)TiChdYlmNx)e{_(MP^-8eDFixHm~P(z2j23O zqD*=^O8Pnh*1;xhhE;tU%!k029Z9>l`{`JE1Y|#hHwFwy+8A`uDFaS?5$!keN6rXiz~IbV9iIuU z80+9N2AufOsJgW7PdWf}tlA(O3Hu^nt-gFQs13JE0TiVbXwr&+< zK+fN)KC$}~89CiSXAB6{YXXuavyram83O|F8G=d@>3%vEO&%C9aNba(_PRd}MU!U? zIC0UWst1>o*{_u`pm*l2o}9^8D0#|&#?hF%Thm7Vv4qq7*O<{kvSd0SJ@;*$E%9>} zFzWyi=4;drP{nk|U&h*m1I`PSu~jME@fXqzse?`Uu2DsWRHJ#)x3vRJxK6keyb8k| zzZ^>=hdf8wK^3GQe;LXmM>wugQKX6hmowQflkuB#=3RFCKxD_##}Q6z{5(uMv}s4; zvA{(5jM>Xod!joaz-NJNlevmJ0EEw=rVIhmZPL23ZVBWw&cvoftV26yB79bjw*DNB^kCTHGdw+}>i zEO92nXO*9aY2;Mxao!hbn$0Q`2PEKgzyK~|t_WHZc6?8mtWYLXC1Jca<>{m3FG~?D)$-+SQ$<@aGasQZ0PI*eCWZdt_`$lQxd;$@`Nj z3#byf<1a%NsQ3zT*rv2ABWYI|cTxL_RFe5J;I6{BYZ4S?azGx6|AO2_rE@V=tUOY& z3gNCy7f?~xPms*=rX>~?{u4uwo1+?A;$P=#d2Uk1+MqPZ(Gm4{4|J755JF~1Zo zB|N?-+?A;KR|SQ~UxubnLGI$PO~p5lye@@sSEfc4sWkIt;Ec+IyRz^Ft9nQ&L4l0cQ59e){kezWGT#9r7m zaQo(-yCU;D(Ne?Xd&XVVGo;d|j=u~wfDGIfIc!tON+S%azTdp`7&@iCBj`v zIC|9pspFSJag&g{s5D5XxJe^%lL&Vuz5o+9BaahwUdC(ec7do4g-l}HMJ0in-naiH z4*a@Fb5~?9EE&0QzyR)I-X>bic6?8`D^h=uDrP(WGSmPtxx;{MDp_%4X0SAmNR>@F_3gB=_^qA_s1`X#xyiK_B=yJ)uSDM zA?dCM=uFV25|hl&^J(CPSkpe(T_Sn|O)m7#!AM~>Fs>lJ^htl4Pi7- z8BJxsE@EV0)3X!}m9J~3QVib&k)T0e8aw%QPdudu!g4hDi8BZNC^?a&M$ycuQC=#e0 zH?jAMYJ~)0tA9j@9!o&Be^kT!-v7u-j^2MTs`6Iw`=fxr{|5nt6%}+)#L($51^3Dn zOPI z;Y59NI0r{;gZA%UKjZC$)`bFFNVS3tv$6cjCYjh{eb!aHxZ@6-I(b%=zy8Upn_pLP zY%j(tTH$w%aA^m9`Wdgpsz&}SCFjTwkBgOiuYck-)$1CSZAVpWMqfRz)?B}^`bn*; z2onlwU(LBZE1-D&EL3Gcw>EEE zv#vqed6Ks}6O8xf%7trDHSgFgK%w_(na`mEwL zCz~fl$4RY!;+-MZH5ezu%%pY7&Jh(LT)z7-t!OaFx zb@Hsu#`-6#$H=-C1VhYbC}X+jjj>PwbP<=l|Fyrts2&K2v@g( zw&9XV0Op9Lb){nNNcDi}(O@f$UqDB=TTNR!0Li=OO-5GGnz!Y&rO!W(>C?%sX46Y` zr8?m7GvNJ^R``Ukp_)bBK(=pnta&+`tJVCk9u2nASo?B>r`7PK1CYGcp>gQsREh`p zF_wOl&CkX;nF>wSZj^2v!p~LBFo~sx7oEfM`Cu%YCaGmu@ASi@D>X zno49HYhDxK;z|`SRZ+9tD@j-EU`t*5(Txn{U9;KPI4Dzx+0z2Z)i=V$P)6|?;aKXd zcbM|joTl_yLNIX;Ozc5T3Obe;K68!nFcqt;IAe?A*3SIy*KuewY3Sg?hLx>Y0WTc~Qq%2}+YULVlY&v6?=Iaf{Y zwT{VTE>cW!EW@~0xxAcznGdizWa$In)TF)Y$lWi_78<^Bl`wFjDwisjS#Epa?y7`= znX}8B&GUg0ZytoItT1xyMMELxGjnu}8}2VawW*$<-;7C^jU~7lp33Kz>#M)2(fetP5$W$$JvrUOw;)nKUuj=YOOe8Us&h0+0)qRWsUGFq3ebe8W+hf96_GBox* z<7Fx>$Z#n)4jB7<8T~;H@xWg4M2po4xwAq1vYp`LbX=Xkw8N4+uyb*T!h)tR@vJwN z=rMR+JYnUBNw`B+_9iPgo)cv!)(u(Nv#esu$|})!IjK>4em8Sz*K|d)mVM4FRY#4A zIa8NM$$06&ZZ`ulggHQtyhT*ew%rPR{QL)c=upcvdO1Li&?j}O*=~t(Ld@AqdHE?b zCgFURIaLymeOT~Eu?S)49m?hf6>${2+AdD03*zkAQ-v6wU+f(?rRD260zLi>Sg;L!}Fy>C;@9~ADtR8D+c{?hrSFUfZWhvdqTFKbgG`<}Nw__=<$1-uarAt?I!at&rhVzdC zXs+gYI^nULH-jq%Rs(IqpC{MB-4e%2h9m&@#JW-$uXHM>y^;jQzIbNO9DhBP)83E-ek`Xw z%4t&Xj;km^P>3KnxuH|3<}F#^#(VJw{TbH(v7R9ezzeYMjb;`fUs&$cV=W~NRJyEO z-#W+Bm&hB!0Q@B694DX&-A@e`aazfc_=du7k%dj+G2y;D`c39UI3N zry7n^!azATXT*+$NZqHY*C znoVg8{-E&F$5K*&3c!oSt!Md^MGX!$HAAu5!k^p?{r)6W@Msh{vwZu}(4+ANLJ-}* z!?WjAllvEAkH&i~>Cw=&fO36nts$!~YcY?;jwKh7JK#-37PDyiSf=x6?3Zxk%mxqG z8$3~6_V2_>wqSXGF?_(@Yf16txE<52Z>=?C!}nT5knLCwZpXpx4At=WGSZN7#E?0; zq7(jM9u37m3ZS{#$fNOIj%YOdcVb_**8KirsG+~tk{XS!`IGBgYn}5E(P(xoZGr%g zMjffL%Ob?1(Vv}0dno1K5Q+Y>e|hgZ2CwmZB|{PrkA}0A=Jyw;a$0#b95-UR^{ur| z{t#iKElNt0iI(OB?yQndv-&oQjK{u_Adu}VIGa;4l%Ap2>ntGvFZDvp2;dS}K`?v`IJ<;fE zP7_hem!Exg-e$oSj(#1xFELrgO)t}yT|XMJ$-1COUR6-xcn}w|;7HL}7u0;yAv;>N za}#|@d-n*UHm?gEO!MQffAib#KwciVWS-qfyI5DyMamwNpxHK(ad^>I`qt%kpPBxS z0SrdkUgA*Ic{B=Q@MHt;HnwoJ3mhSJ2DWg)8kMAfzGM+*}6q)KS zy<|QgLK~dcNHtqmlYOv5dqI*YJFQ@B&d71>R?GCXOlJ2+I%fw_noa0m<}x69?u8vRtx;RoB_}cQWsW;Uf>^!?rn$g2In<;f7kV7>TsLs z%k*7zVCnV-7XP}OR+PIh;cfFFyiB*;`q9Yj|12n*W-F*l8|Qyit1h=W_ua@`EF@1oY9J-69F%OYgo!b;rNP0(IyPSeKJ!E*ng` zElXiv|9q}n5&wFJ>gYr>ki)5U;oUrs=LKZxuLq4 z&y4h9Wdhwd=VDQI=stkb-JPs={%jFPe243ZOgxYYudV6Uv82X$2WCI>4ze^Nh9`ES zcu#iJYF*6|8k1_fIP1(W+8d$!=-gyc)o~Ik+oY%x>i#kcD6I=xf@3<-W~K@hVYJ5X z7VS+m=e8>}E_2+w9ukEoexNcA|hzsDPe&4xAJm-*9bai}-FiXPzTlZm78#-PpUu z45*eP2amc#TbY3&1}4K ztY(OaI@UfbD4#|nf@2XbA*vNSp`+OmqEaPBheSK2A86PCxzAdLe5grYe>57v9iN=4 zm9qJHBt6h12G(MyDrGZdLmexW74%7SKFG0*R3MJH)5Qo)J<>DB(^O`>F)OXiq~YRXz!=6hjzf5?HCP;lpP(qdyiCG0+1f z*;gJ~e&tlE79$@bkL}q4=BzOS@K}ULKC=}HGMmI75YwGA8Vb&Q5<@9EH|Y3II74H8 zsaAaW(J57{#_SzXwzu(er{=0?(vFDQ_n*;PJ$-wMySJ;MI&ZXzITkZa2WUS3HTyg? zh|K2p`#N1a_X8T+&3K*DM=2XxLLOU_8Li0^4`k-%LhcwH{*X>dE9Yy+LwhqFe?5s- zWW!KM4KJHcpFDnjO2J(x_yZc-&3K(t#VH$VK^iMg2^FWaLo>J@THDXtJh_@vF%8Y) zeKI4aX~^&CRA^>{cmd7QXUgp zgMl`dK7M_wN{6G#2bX;k$w6Nyxb}CM#C=<$IKhit#Yb+$jr!ZO1upx z)?qYcMbIhbG96d1b-p!eARI8+&W84(Il19j&5#@LSde8gm;A)UB7FuvP_!##%LleL zbSg4bGyYMA@lak2`wYC-B7Fu9EC+`Nj*xR-Tlm?Mrj02B$4Z7O5maD`~CDp;A3US%q%RCvACshuzLB0HFDXG5>ndG&*p6$ujn z$g%;h>qKCX&IBJQdXm$Ti*IEgoeB(@COOXUSAz)fucw4)rb&(khDb#}rwSR8_?DuD zlZV*Mi`2eOS<0Z-IE#2;?uR3J;U`eKVF=!Ia-OHo8z)H8 zUk}UFibuF?3(gP|Z(V>K5sr zP(DmaKqRSeeM2569_-+bXKo#P=AEe~oCNaEa?FGsEi+>-CSaXgWrmtyD*MAt8N(0` zwT`b=Re8gC?%`QQt129N9;XZ^OS$n^!aM29DY`mvo24n$q^f}!;ylxuBac_4%^YHpd*etSgi}mx#=o5YQHP<07q_y zbrq>zEB_u|kwNJC^Bwz#E*`$Z}fOlU{piJ+t#7bzYyp*nJ+>)l~k@@g0|qr{^UnD_N%B z0dH_#BS-AIo-(3GW!D{8x;FuE`CakI;=*H1pBxByOSLZ3r;_fbp`U^z^0*a9+g+Gine%7bOJ%^Q zIRzxl_0IJwuS0qrt^;!DOL%8;I29Vi;RZK!hpUD~*XwesnZf8B&0PBR&$cgSUCk01 zlOCl#D!N`jIv0t``!*~UMqJ2(=WiBqz;(!uR!u-Bb_>UK9YbtPL!E9|Z2AGLijR*I zBWRlmXDc>#F2%ZR<9qOTPse=jbUvy;zAZ2r2?!Anu6m*lbIkJV9*eCUB{3c zEz5%()+B$vIe%ORHOY)jhH_(A;Jq$q2#z*ZpBp3$o~nS3n;6Z~!G}eXD@Ym08=uoq zo;TZ>xh`h78=6Wn7}gwrzByNsF4r9QNLb6A%W_h4d|*1D0Z24(r&i%qe#RR+)1fCr zI#d-ZAA0FN-<%8gNmwLiachr+wYPI&J86&Sf$8AJz%g{e4Kal~+Zt-R)%M`8Yv~BZ z@!@rk@#mX!eL|Kao8_oI64r9(>L4jdJ}|X!tU~;7(8KM$N>_)BP}G}0DtrBWaw?^o za9UVpuRR9VVy7~y=}dqF&B5`Zh&u6vHSVaWmX=aZsjQs@Kr}e}j7}t8vqXZz}nZTv}=Z7C}Uw)LD-%9+nW>!x4q!yj6Dq*P;?#OFgN$}(W%U- zLW{7oSbHq2i0NgP*nU1a)n}_3 z7XPBJr>q-r-F$T zDIbUqjt~TsKk*ysB4s#1jrJ+`$Rg#lQyR*Id?M>eney@7QLyAsRyh@Or7u|WwAQ9y z*IMUP!jZz{1H-|cql6nYvHz?hRfcc6qEii}OcxJtD09+@A(jnckP47O80$jAQq|8o zefi=BjqD~3rA<1OpxI#mG?t(VCFqHVD|7ZwoeaT0oKkRCnLIw8p&`82SzDKF@I7Rn zE}5v~OE|UPGVpyeS;Qoh8V$Vf&&yLKfjw(X_=>sr#7?{qFq(; zUL_d}4`pFXrtp{sPQ@40D{s)qZp;$5&pM|MC#hIIFdWbTga!736@%duXZUC(&BRmE zP3hwC4Ar4{VuL1w;UPU|Nw6N1)TxdqDw9nU&8+hJ{Pk41BbCVqhW2GgRPF||A3m$N zpXF{&#blZ;p4w17iYKljQiyzfcO&oo^U#ITZ-1-qKsvv+Ki`~63R!P_k~KW!tl?Pj&uWISILRA^vEb=EZ%Ni0e@iO# zV37A`EyGvnl^btZ9{%~}l#68+q*+Pb<6y0JDhRDKNPC#~0r8m*?5C42~YSGAy zN3)W;$H7|d{2Z-x$CI>kjHaC(pjAbs{r;nZ?aw#oX-zT#a-6k4uGl)yYO)d_#{$El zz&OwRk!g?LREjXZE;Hkiv_lvS=zD*2zA@D!)figVqhRKbo~~wS8Yh)*n9pQ);QOqh zCPKb}SQTcY;?@s5DG)|U5M^kwpZOnTvg1iY;5a;da!Ne^*>YqL#}&K*o~1os%t1bR zy3KTFlNZPAaUR6PZOJ@@x2@~P#`B<1`@Mw0?sf=$Y*U=j*un1~++p1J=b!v=JLkr% zVX|2J8PmhL>MaOQCiq><{yg<>8HPk7U9HY?gU=oSgUC&taVT^4jm^0I^Y8t8xi_B0 zzy9>~FF(D?5!L$bzyHTCzyJQn-~RbeUw``H$AdWju<~*|t$_*l%#1fa`QODmOK+Jy+Y$89!{mrMIdBgcl)Md;52$ zM6SR)uXT@#gxtGveTn1|-{9532}>evQu1xfF}rJ9;CLbw%}@VIP?yj4`tQUH+E&Q& zNQmj<=ch?Yxb5dm4cvfB1+W7Icq>z9+s{BA^tJRID)K}oyi8r0y@3mjU$5W1Th@Uis% zhUlu$omQ9@Ka7AEg)M_DgoN&wwSmi$uAHJP>J!<%mt`T=UR9Owww{hgY@js<>4M-8 zvv$jFOES%U11@!^4iKKCAy}y4yaAU_+ddZ;PNd--Y2W7tPBGY|UNj%3@=|%|+s_A_ z6$EGXfDm0nFwjcj6}VTdH4)aD1Z(NWCmXmbh;>rh3NPf`zE@o#ti4*7uB((c(CVQg zb;&-@_OqeT(0b4fxQv{3fDmu#z}o(Opwf87W)nLRk9TCg2A#|D^J!ChT?tiJH?2P& zux#g^RBuYr+J+j!y8iQkZ@px+rrEX$uGM2hHeeGHYg5`Wt&?28?p%qNHftQG_9<-e zrEUntzG}(J-)vfXxvelz4lCIK=Lo~<@s4t&)f!D zJZlG(4`{KT0zHrtlYG>wmJ$`EL@@RGNjzy)NeNn~xP2`Pj$K3#PzQo+a`1A?VaM~M zP_UM{gF!$K*tV-AUVlu$BHPlG$PJu`$CWALB=8j?f6{4x;SI8U%805^@m);zMSF44 zle}nJ@3wt?aEfGX?=)kprk3kJ>!hg-{v@_qR02e9&eF7L>D&jh930$10ff0PhW7Sf z0W0T*HV0u^=6pHCLA(O9-zs*z+Uk* zAF%@p;LG`$*4?du71*pajIen6vxfQJBsH|P+ zb+JK>ld~UHSz6kI0gR2ywnbu99HqTb8Qo%Zs^JG(X&3S2Y6U4lTj9!QD~)`mzHqyX z)}E~k2$e9GI58iGs&`ud*%pRHK4VG0;|cZNWLd{$?_G>>qbg}IyPb@W6qqP3B zWg8c%_N9?&>w@4{ppqb&})8pttB*b8SiT7>smrYnN%P!dp4G-ONTPKvXLMdMy7lthb! zR*-7W;{JV)pdkWmmP(SPqGqWmSV~u1tgs|Q1!X0>B}dLsC~1Aq3R(A3jo6w87F3Wo z5vXK%l8;%?&rCo~HCS4q%Ge0nwV%q%cn4^4&I(v4g&h=vrvhvXh9q^uK#mlnPrkvU;$C0(f$bm`-$D0ZY3oXpK0aMm#u6Io-fQlbaf(=xhb6 zcuEC%>y)iz9a;sm0v0UQK>>WZ0#Bzeu7D*=dCgK@_d^fEO%fUs9KQF+`KozPDcPAm1migRw45x|i+xYx_Bog9Ump zfH4<>gWR$pA1be(KabvKQUtrgl1$|lQ;m}A2KOa<_a0CHPa}SAGgXjGMa&^5La&=h zQIDSN_a9@OP$B%}s?_#MTwIZk5-VsGvhpP0(wACyipzWJM=JCRaZ(ToOIbcD^9;Jw z3#sXY#Y$~~@~;wqXF4Cf?HtUf3njgf{hoQ1`b6@K)X95OH&QtpBtcI_Y3R6#72Y6% z+)j_ePx>s(+)i)1K)@k;s*}>fm30l3$#LO$Xu z$0wfVa?jrcn{x(k4^Lt%{9w(zkhWpDey-@%L`>yuIQ{N8XcH?z&uG2vd&(N|Nxsf-6A?=>Hg#%e1#=~N)Ehmr$> zG#jao-D0XifDvy*rwd$QkT(K31PRYa6c7W#lwB&nNKbQuhaSxu97MCoH8--#~M z_EBe`D{4Ac(7ChL;VNayX5US(exsXJ}iTI>I-Ms zVO=m9cNiMBr*GbLl+EBTVZ)^J!}=}yU8WbEfN9n9OoMu!2}@+`dY*1g4cri+6PCyH zs7T12#%e~5rk5TsY2r$Zuc@zA(MOyVqPneceOYH<*^3jF!3Z#T2nNoU#W=lSf@F_~ zfZQ96M^I58f#fQuTLg4PCmK=1V=}$;ut-+C(ygdr59AxVV|Gj=L}7==-X%t*uZl5LEQG81g-3zjr3X`WHfvQ%o_-Si?81&y;Me(cF;aj`+JmkxkcrA%Grj=D1^ zxu~hgL!CUW0-Nl5nhC32BpAThAclchagv*49nFMwG-fKs^wQJ)3^WZDpizrsBLdS8q?xdMr9(r2_5Jou8)Xu%1mVe@>^hq1 zS~beV%V#F5d}flhC=;umi8+>6;w)s9&rDF5qQC&g24tIqnq(kZKQm$d4C}eQLXyu% zA;ET|{9>|;P$nosvCA)x1r=ob&1;g0ik3(sY-Q3D*I2#5#OsZwu7ZaERxG5S%F;iT!9G~lU-0U$%2we7nDp`P;%rFN_woqn#szAW0jLkq@2XsAlEW@J;@~NNhVfL z($^^{xc1W`>q%(2!^G=JCcB4{M|J&0@qZ}niHgB>I{ajpG)zzlVx_|$>nKER zcFFRgAvR=$hsKtWS*T}{s#U;M;b{f^vQ*Ea3dWd8O_MP~WrZwk9GYl-lU)rl$s&+RS3^u#4KaEkl60YQBw5MA)D=U>yHApt zf?_5s&wjFt0Vb#fF%#I2r;_BUpm{1l1kN%flYDc6qWeK?f556qJU}cN{#Z@{ zwy9ocBM=JcsopAGopc$9g(Ro?VA-chK0ZO^4_G<&lU)cfK}En6KWY+;qx*UWi!XFDN$TmBvYy_{_$pbqLuv8ud14~Z$yvyt) zYe1&GLZSCY8wvK4T?H^fL5P`PKk%!s=PD8QdJrL35bvxz>|i{xFfjN{UX; zXljszBYSk}aQAsw+GbZ-5~9`8fBi1Bq@Zba zlQX-Mb&)e$^7kQU<{$C`!wE_ygqhuIl+NO-J!MQAS5pXpmGe}UK{$5Mgv;rN%u1J^j#>$wu_F1OIN~J2)w~uh zp@~<#W(CkxT$WK7t3Wvpa+&+Fx8K|)%04xNhF8XjS*NS)bn~jtEbB8X*kWVlry@F_ zJWgH^KZ%lyX)jwD-;EX4mOB59`i-gUiK_H;0XC^*yxw2jQMjo#j80HpR(IF7wI|*J zl{9;v+-fn96l=uWq2$NWHN6*XxwWHi;ZVl%tly*a?9EMz+?UV0MEp*yt$>dp>iegC z0r~nbtas!3aktN9dF&kY{yIu<$K%o++6u0F>OQ|kz3{z5-Q{KdDOK@5fcrv*9!QTU z88xxuOX+6?TouMmL&i}P6F2QwfaM%hC3x1A2`8>Vv%<2dbG1l^&xTk5i@8qDv2#`N zpY`8I#}F09i9pVHuJ!>01x|O(A+GZEx74&>Aqx@WMFb31(~#*qv_f_Y=RhDwJOZM= ztl*C19Xx>!9X_?;^L>ykQ}&$kpd%4Wpc0oK4AHv zm}w#`?*z*;m34(DdFal;^7$Yu;CSH#bh`Tb@5KGKf>pePg@W&;bdB)((}6JQ>lAt* zh_BK@)_)%elb|?hBEkemVNAOQsyHb~PMY)^$46m&zW0)o=suNCW&L-e#jRi!C&3tu z&r->{7aF%(0ZUFw3}598S^u5-TUWrElN^EU@m^SCh83RVq#Fmz)9P2al99*(H07`P z{4?ovxdN4J)RfwtLM2-F=f?xSmmZD|3}DP(J6^i5LY9n#bMTJuyX!I)PcPW0DaDfy zbohKN%M+|k@`tw(8)Jnlxu|I!8(Oq{el*Y!oMfLusE4a`ye44KR}xHJ!AbqCHg$|uALBr3_C*Nrd556RlmCDn2)EvEa^N72(cv+Y1eq0> zLz3=CQLO(mc>nr-66Ov$p;Qvl{{ZbLW*k4oi)iX1cxAx~u?jRBL6fU1e16Ibwn#*^ z9^FsqST4f!HpQ(mP1o$0JDZ}>j%Gzlz9{yU^xGV;?Ihn4c6waqS5t|e`)!B`uy`i%uMnHsanj`fVWH<%dEh}3sRljAy4mrf8Et1m>Vob9U1XU ze!$6h1LH6Kz~&x5`>}1}+3>`)h60QaKwFJz^1&!oZ@A&nv+}?XFgeiq(&_Q?kPpCk zzTKiUgkovKO>#t0y71mmssm>;Zj<~#thAyyjF&-t07_0MT6^isAeQT}jQb`SK_kKo zA>JQX$p~a;Sv_Q|{^0{oG6K{;;Pmefoc;1w$q2-ZEy|a{>m5D-6(i^;zlbN_G~cUa zgiEK#W5geTk`c&ub5#H>g|~8K$XXS^ z5g!w)5&FOqtdZ4g&2vHDAFD|e))x6g$Z9e^a3zmGO~%*klcpH^t?sG?x=(xblz)QP zUVNZQ?#TLu##tT{orC=WCevST9-u81x)K3n)9P(xnR*{sl1Cs^HG^-8-*_tJ2b%9O zYxRsejCLd^$7ZL%8uQn^-{J;{tKoGI%q8k`tY)d^lY|O_8mZX13vs>;{acQ!j z=8W{OU3*FC4SbBp2b!e+q~B#6<1w*<;SVfnRKrY(nT>+pr!9?gPx2v#37irm4$JyAZLItbAC-2X@B*Zv~{+Kg4PZtgS92 z$qSL(G`iq}2L_PkKIv$4Z<5bK zBv1wST6T02&q7q(%L{(rAIn61Ym2%R<@3*$<4OhAgYsJiZBO$Oln+2*Mg(GD2KCPK z(vuHB`A`I{r3Rs;4xmX*=~jLROBDKmm3~-V)#77JB)#SHYg-?PA|a&*14qCG5U*?b zK$b4qpgr3GJ=@ND5L6I7C~GuoDzeqiD15Xe!W5J(8f*#CN7awD1wgb#2k9uGo?@q? z{@yyfAU(2P`S6oEJ6>G!fhQgo5=HasLFH3MKJes=LJSL!52~4Z6eOQu;md+<)_b*S zIEZLPCVSP1R`#PDBu{v)m3q`ld8Nw-+QAi{47bokFP0BL9@F)f>nBLA!bWi|aF2wjqkq=<`%6QFwUa+4n5@n#A1Ph!Z@PU$}9YbyLg>~RrQ?i};a z3&ANTsy=NUDl!jSgc2C7du694>wHAanNX3L6DSjzwB}-oda1TJr5fnyzL}q@gs?td zEj9-(GsUg?d=+mTIl0QG@Bll@QPR`0b}F(m$dA^E1miS6k(?C!osyXpPJ^4YvSZ6y zb>1q2le`5Mt4Uz`J=O$n;FiEh`{Eq*hTG~#D&9k~3I;y#K<7--o;W^V0h%Gtq%Ek$ zHSQRK#DYqe>72lR5+J(w>R3#{H8?9tYbr^j{P-M>)3Tuxnp4Mb1wgL=8t;CR_EZw~ z?)p!C=O8OWo523r&XEyN(1k#sWvEv;fgL13;Jxd{f*-hs7L}w$l(glX&~pC#i)FGU zFpHMTs{j1gAO8Bo|MTN(sj&J^`cIClk{El7QZbcSa+9^9IuM&((QHb>ygp$t-hSc( zS2BXMMiLGTT>pi7X_7RYE`zg0i;67vl zv=8~kXg{zt@5HB0egJEpf~<78ngVPym6uHAHB))TRJ>~c15i~(qg;XemWW#BVnQ40 z1A-8{C9N!dDoI-^X)`n(=2ZvK7OY-!kF4!UXzg`n>KfK`LQ#O9+BMbq({7nLwZTi& zG*C#;a!W!xJt2QS0OSL=NVt>+vTvMn)DsZPBcTX#||B^7-F6(X7o0Npbc3Hq=>n6R++ncpFium;}fB&5? z^0iuiX{7(AzA$>1)A|OyG9PSVB}r9Ne~`c|uV?}@?o_wwA=#U#p4>> zt~u-s^~eADm)DO!eEsA9_~GTJ*8%ERfBfl>fBw$DwF!L{Zjm0Mv%{^q!V8G6&T#O( zq3f$|!pwJM)Wr(d&>h}@MTZ{UsIP;eVSDNob1#@9a6Y#vPR$-S;jwu2Ql?18ijn*Th39Po&3!76*n-9BMM8+2GFK0XJ7=O*ffaiUPX z`z=1Kya~$s^MS08UF67wKUh7acJ^Kk zT4lrSKA^qgFg@JP7(clFd>|O)a62-T57yMc2Qtzt9+TDgJB03zOk(xwVbhJ)jCP9a z&j+%`3mzj={$NcFd?0MRW-~?_LfDuZpbwH*og`MPO5Bkuacir3cHX)gb)7g>CBFYw zxDRM6yw8dQg-N=5$W%I5Qo$ZbDkoV?Cb@6lAGb4-P3Y-yx>(-5zUmt@pI9f^sHqp0 z4D_wArEeRu#Y-0ZrVZ7KSie2_{{mKPdvem=5Ql&)a?WHe;Iyjs9!)+V2)qItCl zUbX1IiPuTgs=KaYnjmtuJ!}Vw?39L=9oDWNzl1kx`Dep&u!3(+&(Q0j0KVM$VO85Z z9HMt1A)rRQ8H-N)`u6vSxyju-{|ZVKvr5t()VQmT-QX}bSjv9&t70ncJb>EtdE=Hj zyVkj@eIJPMd3&Fa<-=obk>72$?6)f4oUB|8#>C3cukGhd4bamA9s30pWLpqb$v?6l z-HCei`BHfHr<29)#|c7i7Ht6k`L$&rRLL?~`+Mo!$MOSy!mK~|PATckRdwJFP+iNAksm5Do28n5R)_6sV=gOiXfCQHVhC>eLf z^BAbpuk&{66o|i^BS#9r*qV_&MQfLQaXIGUwJycK6;Ov)EfNd#~B_k2VE($4P%X&Fg z?|%5+s-1OEr$3w$)Bz&!ZT?L1p(1iB3Okt=Tf4n-U(rE_h<%D`jGl4XoYZ*%ypyH* zjupW7<2n^wn>%It1DX2SFQ_2f%$XEJY37WAIcZgf+spLT_76m?oOmZI@!gRC|3>7$ z34(n6)31K#z6-2}y|YsK9nR4^eu*&;G3E60Gn=SoN`#tn+OMM!wV5$WW|3v_?uBLX z^Tk+(t4fc6Y<`)k)1haxP*jP+EYpV-tvfsQ-pRrcr&I48rrs|yVsMB$Mfh?)63^Ll zI5_Y4B}NdA^dtq=RvTm%gpuULsso%|9q(kliF>K)`0exVP%RdUl7+l-1MsOXpC8+I z6$B$$$yD5)v+CO&R`VZ72&ffzZ_BAruA#|^%4E?XRXeZDSw1%P^JAM)Wx9irNT#Pp ze*W3!RF&-@^Z6a-^DjYI>*WlRrF3C1pKtp4vCUFJu#}Oo#)<@-UGeT@<%)Z$iudjF zZubLd(k8l>S7-66PIkCDI`#8w`_)sK4tgkQzo3F_ivgYHK%&wjSId&rI>$jV)hH{_ zW-6KAphukc%PGJ%Q|a6WlH@9w%CZ)_Iu;S!>V-;v87ZVzi*2W8{~7Z#hZ&bPaN!Ks z$v@{wI#xQTmyeg20eE~3deAY}LB*{Vhmo8dondPg^*AVO8FQ8)vv3+G;Ho(560W98 zOXfQem%*u@Xb`oQiXcfMk+?=PD(Ip-Rl}*xB_Z$M>|VoxgxX|w#w%+XxlR~VMQ%9T zSswVMR_!fCL?t!ADH)qo2B%pfAe6l2-@qYqHQqUhxJdlfHK^S3y{of!+X`Ss$GrGV zzkO*6R&tJV+MdN3cn1>K*V+u}Jjf$3^HNltNuQ^Z8J0dGwY;j`mxI&fefyoLw{b_x zME4SPNdO%{o2~H_bxz-D@*QpETMJg;{Py4f!;~1}Z-fnIXk9T5GQwviNem?^H}nBS z1E&)MK9IX8{r<~;fNv>y!Op%hjh3Y^j-~()gA&jV=F93xz(A z9c~#5h2HnHg0?_AIQ0Iv#jPas2d(R-6_ zV!=Zc{dwO1WY`9u@AJjIl39tL^_T_v0iD!NmG?IK>Y4ZUvn2OGe1Hq}qX&d1X#wYo z(g(QYCo%1_gV5a(%aH$o^9r1jr}MdclUNPq_5)lh_Z=Wam-DApMf3r#*i2}+2ZZh* zSXZYvxYZ%0&!I<$yBZpFTexys2J2V%V<=*VCW}>W^34g|jO=2;KcKz4`+#3UE!CKYpft zX54=k8c4^^J0(?J(d4yTSg$aUV_vfuV*`hW!Ag`r;DiF$lmciJhkU-4HKX0R!AAM5 z({RiiFnFN$pQ74QYOwCeC_DLpmg$)t9Kcz7;oko|kWo&uSJPbE3f_!uQAkhJ-NPMl zlx(+Ro&ncan#o&E@fN8oPuY3_jJlK$X!&e)Z~$k6BnGm}NuFxj9B60_&@qo6V3MPh zF<=Zj{Cq7-*o<9PZVEKh5||W6DMKKYIx7PF%8ja)4`{(rJsdbfe(6S$&j+;RsH%;C ziZ;OR15`IN?J3Wn7b?7<^w-lCq@OS43#6Sfvnl-|MH25H4HsH$v?O&auJ8Vr+E+_dY>=a=R``+1dhHpk~Zp4>t( zihrNe>9TC4@~!6L>cSpw=(K9rqHu?$(G;^hrls&E~c9@D~Qk5OgxhAjD~Xf`f_ zjV%kd2`?QEl6?P*E$W->H(+g%UokCrdc&13NKtmrY{7*|p=v+UvV5ldzDLx!Wn1Jl z$uvbvY8Wj!--T(Z*7j}ZX|qgMMI#-`L2J^a=xO<9W3c5LzN`qy!7&wxRO!4Iv}FiP zkbM7^TpQ}=bU@)7)-j>D$EM$+ebZIGE!Gyf0%?q)d_z9i=xcVwcYre}-~VDOJykLf zAt0=v@kUnZ8=5=AO}$;&(r2sW6)2mT|Es9S#i*58pmnt}-KRZf8k#N2ihNVDR$8$@ z#?#qEtr0PbHQpcRlWip>p=m|U8#J2vLBwVl%@N$$4o=Bg%Fgl>?;d^ z_}tJ*f^S$|`3gtGr~`O^Tu!zX6`3ZMg7t=vO z*_Ml0n&wGAOG!LSmLst%SlR3*v?O0uNf4t<6!>&rJQ&RZ@Iw_~ibWm_@!KbnZjD!g? zgz^2)Hs2=6XhFwK0)|cKEWS4^scHpfL5=b!jN*$0a+gXV?kH;V2nKkYRa7!T(5w=W zRgBc`H#FIMrrR?>7ev@k^+zSKikX|C3AVrTv*`Y2MIN@yQhUFCx2St zv4Dlvp8GG+88yvTr(t3al5|v_K?epfHXz&bK&2UY{itJ!ho_Sz-moNF`N7*(`I9h^ z2ElyVsuo=&b^86!HiHGpU|utr7YsHyS2OlWkhKyQtmi>z7U;x$_X^5AGRV7<83o<< zJ)%a8)@EFtPC&8=1mo_{%Re67>OatqYyxqp{SdC@VYPhsem9yry|CMe#o9VoKrF~)mFaH!FTFphi}pPxlSRp zg}bU;k;45{5YxtrZ_px|>6FLhcy!c0B_mwhCyK+K7gSb2)bc6{6V^2BR33oJUOdfU z9Rw~_+)^Q`MHO%V_68@P8JiCKfRv4%YSAvE%%=fiJJV92+O#UDEaqxP)AzoC+}YZb z9%iNpEQm*0`#5cI$5XLYT$39xLIv5r)`LN$WeMgZ6J{QVFuqCOyl=@j#ET(;9j*72 zQ~bdNn^`W!Ispd*z*Qog(sInbE7s8}j>NW^@h+W-rp<^^hN{6dl4rmj+(j`uqB7^( zq_B@F+8^4P23se%x8FI-T2$Oac6r2-lxQ%MP^9=qH#N~tBBSX)e*gW;zkdAlpMQG& z<*)zta>xH0W_jfyptKBW>aqMd6z6~Jj^hj-kHVZ|p@jxGpWdjPt}T!F99gFCpCc>n z!}5Y^gKNmDc4Vht(0I!!wq0Q7XSrZfH*k(a_?dAan#ws6%S!8_?($CWU25-S_*!au z#0*`CYE`RT#4Nyz6ef+_bM(pEbB^wG_gusMbB%bek3?M;UfXBN#oMSz6XskhX*>NK z)%w2$Ro1=m9M^L5{=rRf@%|^gf97I7M^tw#iuX~GD*pL)wb$SrRXs-S?}92B$a9Ws zynFxY_D*n!X{9Y7&k6g@e#kb_a;Gk(`@0XXKGm^6TIHb{|{5TS?0=gSX)Ly3Z1AV>rt% zNfpldsqG1XLZ4jrXZl)wJB+{`vH6XPRif28D^P8(#0`p@Mo{M8ITM%QryYvxp!B&W z`wwj}$<-aq;S_SEZ>7Kg(B4v72ldH_wvI>x&v|h{5{lM%8Bdmmj8D;{@p6qGKDOJ6+M+X@iPw>`)9?&IU{D?lC!T;#tFX-@8n~?~kyP$;TyH;8j&PUit#J9HWl7+WiKWsL3JM+$}wT%?kQY-D6W4Dod8k^1}4D{X~&j;(&A9?*aq zuy+0hcZ!a2z57T(7tC#YYHaQ~m`BgQ(~nfS|NN^Y`SULxnF8+aBjxVf+b$t@>D=XW zG;cmq_mA(9Y;yXIdT`gbtv-^5dXDPdCkpblx812U-E%OH+z~o|9GAXGc=sRFNA3uB z`-!^mj&Q;qK}IW_;;CP#zX!EK?t{OEX}x)%%;s0Lx*QwJ0zbv(NGb5u<2@u+h_*(u z;}#a)Bj;aSYtwsZ;Q?g-u^l~crEDR%qr7NVS|*uSu>8=@2xybr!T1hL-`{8dp%H{P z&dIlLijTcNzZoA}m%JVy>lXQz_}B{Orq;D$`;GB2*uoubZ(3k0w%6lh-J#sv3%G|W z?QeFD=+7G;TQR#8A6pT*{>XNVJdBU65N`S=Rz#o2#{ls=h~CtuS46Krt=)Ot+)G3n zyl4G=@cfzMV=H2hxZxY(W8Jd1?)F}MY(?|BRo5-@E%C8+cQ+N%729u&kHHr1V0%*` zU9r7x)pdt*lS&+N%`K~LMf0*%_w@Mg#>ZB?zA--5t$h#M2MW-Q_*l2dx5UR*Fpm_V zZ;g+^7VcpCNCCPLAL|a~=3ayXbkDB);Q6ibvB&iK=t=+9_*l30J#25q$5vc#KT_Ws zA6vmZdilRKJ_cL3gY6?7^hSKFJCvJy3CLZ#=gT>ocOR+S$9FeAw&L}T@v(00dzXDH zKDOd|`;q$A_}IF;oAI#~+i#4I!4~ddd(#KKVtevQmauLJjC>ReC$}`LVTYvVN}y{Ssr5)u77{4Q5{VXmz6yg>=omlCsUW-YlIvb_BH_zbj9twe58F z61iXh^7r4lCeNj*D%sjqSxYk z4ZT4NJHs8QEhXh%>~Hy@Gxyha>O7EQQ0CtWAbmY)IiU2V;9k zSW-q^I6oAEYL3SF7kbXY1+m3!FL7QdduK6)gi& zO+Rn2};_uGf;9aOs^Pk;S`@7l4_Uqb7J(6PY*k7 z>#w8H$tl0w9U{s+HRS{q(fX*Q@=A)uw!UgtQE5+5>EczZzA(S^%F^0ed|gGQ@aX9Y zDzd3{tTQguRPZ-&iH0BV1eqc!*f)yG9ny8Chv5X745X`^pX#_SqSK5Dz!Pj_7Z>?> zZ7oF8Ek>HGINJ#}Bs1>9LiZlCNS$;i%RD>WaC1AZ(X7P_xZT{&L(=s?x=P3im%vp% zvchyH$dJx>7j}K`a2<_{jGr{twEMz#h%fKLI(N6jyl5xOSh2qGe%=n7d;6jBvkNl# z=6;@O99`h*cqz2jx;-pck$Fb@EHZUr*Y^(hgWRpdZO?2Nch`pT_eq6j0H5sNifopJo7tr<4q3ZN9iqxv2+z1qbc7Qnd$z+cwZ?@PiW!kY=`yKPiu{XEDabAiL&`XYf{7qda;z7SrwA zXTO=O<&LJC>ca!N{gjpaPg$z>3AjA+Z!8Ab-Tgce{ttBI@<>NsjJCfG0Y6d|7JK4v zMZi`m&A>wCvz%%(U&=(f1d55(I8ThpA1d9t6|;#v=ayztF~B(!JhV7)D_ z`4pxL$aUiS+0#;FTE&qW_bsfJp7DLTrP|+eXqrPjj%$fqy4R-S!vhXv;<9ZbpWlnH+3{?S+N@LGk}BT#cqUC#c?j z4Ro@`-@=h<_QN{a&fUi#KC!JHxE{kZftYWHc)|mLNZXa22*d~3b1B!qsqo=t?CFb^USNis`9|@+OpFgN z;P&ep@5jngy#Hc7i(jN}=eeh6NGNtL-Bz!74Iuk@sat> zX6#;A=kLIc&rHBX3WF~!boY90Cnl%(Br$ohzwU15!D|)!((&2)exiIN-POWyOopYY zaK2Makis+3oMg^?xAHf;Z`~t9g^^4f()<9q!Yc*`V@d zKeu1d@W6phTkM|fXY*Oyd6wc8C+y>A>6wPjTDD(U=x_f9_-D^-yq#g;AG~S)zDn$$ zd4*Wp_Y0(XcRyW^hDGUmQ1P2|-}j%9y3N+XCpg`Ie;>$0+WquonP)!Fu2cNSQ59l- zX%l`w|9b0;pIJG&+4{&TzSEB(nXODuFuMI}@MfkLkh>`Zp6Ru>`%Cx-j&9m1^@NC@ zDJfm|_Me}K%_gHKINi$BxJAT!pQ>AyL%1#Xc_+~exZS+;XPR8N?U~ed{};vT&HX%k zSy-p{3*2&dKYcUG`CWb#2M)K5wg*P&6BTcIrsB!o8DsgeMIf${!z0(2zioH%Nkc!o zoxiu6xVN3#59sv7F?2u8b9&_G@*?nOxZWN%u$rE|IR3WbHT8WX4a&cW@-yv|^@zW) zkQ#6C0O4nk&h5@1kK8-cePi%h+pcuGHAs5Uwku7y#Z26b-fvVgr@jyU^;K>n_UwuA z!p`zxDlc72^h`zF+rsG9moDx@0ps4n=x781fjc+ zPJGa2CEjnd5}&p5Nbc^V6CbqlcqH2&ioLs*TWk;7g|&Xx&1|_nByQ>NTUr7~yZPlk zhO^s?A8m7+euZ3A{l(kUH)y)^29@d7_MSQ0rn_%Ye9#Ocw);7GdyVlO9jk9`acPzN zxp%QX=sKCEYfp(XbFSj_BtAD?OVM~{C&v1u#O`*ti1?seM69a$mSQn`xHQgQFwg43f+nQ8jl%7Z6JMcJR>XBG%goLRBm zexLZLtP8l^e4n1wO~v*%Ov;b-iT5)$8K3Iv6C_f%JAB9b#K9bIH;#z)fmTu;0g zqu64+-Id}=Wm~-8H6%Xk8WO8A^4xH$8K!gt@unAv372P(?5?j^Cx87cG>AEkN3{Ky_GchfxL zgMKRUem|A?tSiW(Q{Q+P?jG@@m{}~hV`dL}yu^GzX7;SlNxa?XBtGbK67TmpiSZ%L zq`x7}JZnN5Wv7#&q`0Zy9DPAlv_5SUCet3Pe^0#h-vt$?pMU$TMk$u#F}V=nyrn5U z>MIj(_YsNd!AqR(tNV}IqQv`sMD+Nji@4on(9N}SBVZ8om4Mn)%_BW~$n$M`N)MCz zo2a7c*%Os-KT*0(<3;3dQp_XE=XMv8sEcYZ;?`-_529f)UweDx8*tTI@Jv2uH4gGo zq~(4|S&YvNfh@|Te_rb(K0L+S4#^FzZ?#UIH3NzH_AB_H_r?>U_N*07yxmJAK4^s# z@29ZG@F2G|_N_#&uW}QyXQ7XzMxXef%^4S;J(yQca>D1Js-EbTEw+WhoQ^gI>m<+d;7&(8*zNILjN#m6K@BY z9(3AxqR>C-#u1|kLGcIrZ(^1{>(vpv-WK0vN8f%wTxh)jyovSgNAW>(h7QAZsvlbjN+;&5{2lCgU z8}i|M+_ejtf#?9_CtJ9-SGpDcde&Pb-tH9=A9Oj2?zZd3wFf0DG2AQ?aP0w!K6|2W zH~on2L6N|N+MvCY#;t>P_YV321w{AkRSkDvRrg@ralGHMB)VtrlH2`3;)DJm(cO1v zJ?akG<2KVfB3AC zR`j<@pKsZz&pL#}+YLA3^uQ*WZo9m~vpx{9$oBo;ACR zvh~I&#@l(puAbI2SD_cFh}@cf7X?f8l{% z(VnTM)7=L%wEOO*;O}$gv%W|1cAt{a?)xG_>#T;wUG_|ZOu`1M?&TFS&w`hsJonwp z9wY|a36!tuK@YF>?yKe-&4asdB9%w3fZGj9x*NMmi}%OEhxRA}Jl%fqo@f?NMBg(% z!R_8A;XwzlCz{f;4qkD(n^+Sbbnpu8ws$bpCzf2-`Q$_)xhsRhvldvP-G3^dI6Rab zxVWh&aw^}DD&ekW2&fbRS-WlF~ zNS`ZjPb8z+pVF1B@-pwSm0$nk@4pL^s=J(j`E0&;&|OQsc8G0%33s9^p*{*_g({Lj zb91A)DR-KYg-6ZELcN=V5*{=o3-_Cmg-2bsLcRTjJ?OF(?swS=`60pFe!|KV&)w~? zb9mM?Ce--1V}SC=UJq4GJ^R_D#Isgpq29Lk9<=rf<^DULAHDOp+m>uu`}D-Rx}a{o~(j~=Dl4N*dV;OH$6 z9;Ih?)$N8TAwPJO9_Y}I`i6ya`;C3jH!R%m8y23mi3;WK=g@s%6Fh5%wlM48frswL z^26TI?;g$Fe&X}}7yMZ)bj{y|@@M|$cbU*qkLGT}iBtB^50axa3s<40uO zVwCp!R<&b}<(2MqUk=Y2W`!)Q+z^q+l_LuJ=7Z!D-+7&u(8m)MAlxmu^bb^kXXa42Uw_h1>M^1w7g2uXthwD7hdhs0 z@BTh(x<`JxaN8(-&|m9`BKEAmR=C|$Cp_q{72N$`z_Z3K!5^{9)oHo-$Q8=ZvH_oU zWC@3>Y(0ElbmA1J%ZX(JHhJxJx~{ zJoMks&N`d7WRkHW>XguLDjjYz$Yfj@v4YgOn_~?53#+lsX6)%PXf}P=#axoSS>pYq zEDPjA?afh0R%m~B#iY4^Br%3=*#?y3)Sxtcw2#gcdlMu%>o<)7u11~dGweVRF@5xn z4@;Q2#hk%c(Oud285aDeR=$-%l%gWqg~m3F6sN|pVFN}A@9OtjPL|i_?FO`M633`M7J9%&S)+08bWsf6 zZT{w_*N%FgV|^hXA0}U))i^Y4z-*zUj$N<~QH0ePG;Bc>O^qRT#x6_(kB@6gXgXnd z=b&x6`)xeGD_+|@O-4BoOq!Y1Ffkl%HM8D?OO_WpR>Q!s0TY1rFfg2q7geq346ru( z_$F8M5{07U;|nS}8|nxjS4Fp!1iCOL7ub;jy$bOxMlww83hK8Qu-A0FACb z&2mGzF1OG!8dFnqgqw|H)gUsj3-yuN-M#1oB)iSIRHMZ3-nLZz47=Tio5K6WBa6G= zZt6vC9@%CxSJY50Y=ClMLlcr<2r}`0O-y-H_c9v!szRR4O;m7or64c`9$5{w@@A3h zXj5itFcpplWft|yESi*=8cT)8@@|ke<#vp6S{>AkmV|G;h_OlWsMeDP5E0fV{<1g4 zoH?r1=v#%qf{oqvC@u!}2>N%CU_5`d3JwP874*`}R;tbMs)R2#;b+8}g6W}$qXyxV zHJ#tsgp9yy7!)=&w9m7kp4akAj@G5Ko zM_@e+6Blp<)%gdtLK;nckhaE~&quHE=7X}}+jNI*G5>IVX=8r;h2BUI+fX7n+_bx$ z3t|l{9DAEBp~gUAZwS|Wz5i<~&0sZ73VVZbq;I>;WXM+I7A56N1sZI~Ype!5VFN&j z>7Z?ouxh}QH;ev}q4twoKofiw<;^e|Ltd-B%o&WV$6H}%^Lf4c70`emW6sEQHnT|$ zh{CSD@}Cjsa;Cfwug+63;EL$M6PZm`p1*X0cw6v9b{2d}Zop379z2nq?V!}oqBEGo zP9N`ZrWbgB1m@ZqxAVAAtN%mZ>(0p2J{}%hXgj8J;7@IOK$@^ z$7FhQQ)9z0{YsHhTL4lG3&RFj7}6^iGMPVWP#89V!tfOo#wKO2)f5xMXGrlw`O)_5 z&iVkZ;Sq3C`zw@erW|Q?Go?3@FE(HvR>R$P$(r=m$X3y7b4b+i zHf#VGu{cj7X6eZYhas5Ukf4_3pzkO9TxmAGoy+Fq{pfG-vYmGl@` z$re9QBfgLYeB#ivMu`w>G9Fqu7VN({6dnCN&Za z86YD_4;ewbDPBlwD45rUwEI!V3u(8B;-uCcyTRSro41V9(=4=}K^~Y?5AoYG3y}7l zjE4fy8l`|*_D6#o6ZK}l4RMyzO)`uHCs%Jw_}vOxP3ii&_LjMr6fGIJl+^xHGz8j= z+3ys+`LNZHFU?}whh$?IF?`1Eu1#WBQbwI&PSM#ZQ>)UtSm&LL`RO3-o1OMcVc}cm zZ&S3ScF?ZYe9t4h&*oPhr0opVUQ@KT7>Nd!i}uT*R-td}b_cuSQG>`d=cJW|wk8!6 z?7;e@29;?fuXcMzMHXx>t{PtEb)nDC#3vK@1F7+2DCqqTeHj-Oumr_(EyWuz^HbE7>?eI_vThEq{fK3X)T3@k!}5($lJ}KsMg=!8F#0z#3#@8jj8p# z^{aWKN^y2WFsgOEy;YU16Z^xcx9?7E4vhV@P>!>HJG-5xM(k-8`<0%hT(Iv>Z4o%D zt>cWgjW)+L6DK}%+ktz?k&;5(+wEq$n9Gr-G{*CyE*s&h*9nToKI zkN$B_LC;w>j4JY@y0T<68{&#KG&XABWUdF*ykaR|H*KAANDU)HWDv7@m@Ois=+Y-? z8pN!=Z4_-bJEH-ixxFiw8;=rWGsD#AGh`^oBz>_m*@`1cjXpz$;z*15wbdGu8hwT= zt=zXu2CN0hh}5_|IGSzZPjLr@K($;roqhh5AAR zs3P|z1f;PfkhH4D&|z8MV0pKh@}zZj3|)-%O^l7jfTUGjyFLh}uXif-aT>#GYZTX) zAfQ)W{E+M(9#dftzh@V&{LI<8#|@2i1N zr$I$EOZLaV!c6&GmU6I}43Zxet6Zp)ipT1#d@((0C=x03a_ZMGKGNvm57 z{h;;jpl$VcYMdBDc4FvS>Kf#hhd!_X?Cwo?5OaytEFUdNhkJ2D9vjo!&~Y>5O||$W7$>REqGpp0 zxk|j}T&1%0KR*8br(Zt&^5Ok)#lC-xb_Sq%H68{>y|`d~8VZ#sTFv*`bl*##)z0Mw z;XGr;$WkL+cth~l8xZz_q39(hB~Pfut2c0JRF(*ZT}@UfkJ1{tx(Xq+x11~pm1-D? zV2GRsedyFhy?9b1M+8H(QQz}9*uqO{s0hCsYIO{~XX89!wuAxGsD#*EwNQ8=)0I_g zA%lcu{WFX+yvawU5(@ef8ik{pE{;Jj5rg*m z-WiGxoW5HYM}sjDl!ZjBhw*a;Un3~(ehXO^*FI?VhvOFD(GKzE$Z%1$;U;ImXK?z1 zH+8L5gEJWFu=Lr^ZZmwA8m(I7+*WH9!UkP-w3!wcN9?GYQTkGODY?D z7A@R@-}SFU$q=gW@k`v?*up)64t)c_@QSD$;>!pKa#Er}QjP{=(Gc=4hTo*N91nbqbzSVQEA?c|hC4vF{g7qK9@am*RlyHWJW^WOedO(R_sPWfJ zezF+cYBULp8e;M~~soq6%0I!Or>*MyyUcDR7Az&ep@)8rlo$ za|PHC0_Ojz$t4y(QmQqtS8Kk!Dyv!$Yw6M_WU%2L6WkFckbfafn!L9>N6?^T-i{uV zD@yuaCRY4UKANpKhz22WC+BneW(hp zJR~q@ zVmfuI=gaY&bGlWxcGYE3TC)P}_k}jykfm;DX>i9^eZ6Pd=_?KOIHaAk)ONmGcCc9; zw=Fq^%7b>VY5DNpEm~aT)l|Svk2JgXo@2T8++6!TU9SB(P)OkHNU!hU^=O(4>eBJD z3T<~cblDlATeaY_;orkaX!P9zL#rFNY)vw(vt$Fe3021(DO^aI&9;*9!?L9|i2X8m zsH1_d$HD%%FykU-)Y7mH?sSW8;>OWWa-W0IaSkk!8%X1F7JNAiP$VyX*lx`#mCory zvs|WORiE9g>DM-Gx9BdNKY0B9J{hO`l54N5BP$AI@mPAfT6KLd(lYMR(bY-9NS7-=^U0J>Fc5bdk7`E9br!VKr5eTSXQ7+q1>4}Hu8hAV)8Gmbir<6TuC;l zy{lb7Cn72rPC{WyN0}sJX^Gi;W1}pO3^zgP=sp#w;gFl`a2k^M*;X%VH>|iMOz_b= z$|TXuOAzZ}S%ESE{Gy{wl+Fp1&U^sUS;71b8&13jn+2iix_I2@o?9 z0(7^gD0$QBIa_&Q)AG@}qf0VjUq2*7mni>&P@3NnCJN>Ra`+_8x1CDLqU{LlJn+x) z`#C9=-Fm6p`r8*cG) z!dzmOS}JtQ_VV*Hd=Qr4w7X?xGZ(Z_I04^5VLNT2v|S=#56cRaDfGZ5%G({az<1fI zlR$_&%0z*?gc=@}6)01LSvJWMUe_BB%dX>~xJ>4wm&s@_RyOM-wA_veNk$KL#Thu3 z4Y+-oDBMvX#7KEn*V2u{S)7kf)Gkqyh9%Y;k3tbjM@5jX<`TpA=8%?@;ev(_Q8+Jg zC5B~dY*GT;>!=U~aU4=*>*rja#j+l&qk*OC35FG-hATyBIwn({8<%B+gg4qzAxh4G zx_nWV7z*>A(;-rZ`a`XKe_l^GlEJBu-Cn}xD{P!@eb{d0BnAgYm^_Rf0R3cSF1MiNnv2_oq)nuyoa%Dhq(SZx&;>x1yv}P{E4R< z*@k$^U{Z*lT@*bYjoVK8(RV}EFdiLYq9KQd6u6a>=l52~f!%lCdsRi$ttemp0<KRPtP)CT&_j|9IEa@@ZfWpGu&SZ)PNHN@SD@kR<H{7}2-wf1j)0R&itjxgC^Lvy1nOSUM_1Q*wzGaC1mY$`nR!5albOwgokmonlPk{Dj_?Oc$vF zK3Q#=eT&}ivfq3+P_}4Eq47er+*s&dtYK0*B1EaXM0Ocg6$ry^;UG#~Le(x^)Gqo) z&!M;;tBVMv`YN7{I7rqi?P!c2xGHc?EeFXp-@ssrBF~nCC{IVclA4mfq(Tu#^`h+D zP$t=ACfO9exfhL7GzXTqi^m9G3@^RBY+xS#rVyT9^x;SJJm_Lj$@G;sE`$$z1di2` z3JvNdxkzL7))Qg^Y5d^hDDN^CenR zrjYAmF%c~b*N0`BVj|65k>a8>W=>%`PLUL|lSU7pBZCSy&W%{2)y_j99TlV>-;5r4YcRg9u=}kEVv;wDTL%CAoBQQg;;SzXyOjF zi96@LS6JVDea)CFIa^Vd0aDl4jtc4FhCFJ_$eEoaprCeyiDDB?Vj|Z+G)B!G7DeQk z^Haa(@+ja4&?dcuJ1_#|G#l^DOVn0!vY2!VBUh}JAvCjz zA_6GGqbDSm(9jaBc38II2slzgskcKf zg+l>*bSF~qLlSMyB`{_)5O@P5m_?EhVhQ!Mj1Y&dQxm+X)tx$S&okv<4$ z%p3rNXatBTIX5&yL6-;x?1_+A*MlMh$VKk7DcGnf>-mhQ&<%GMX%{8whME?etlTz* zjNe5`x@<@_EGtlk2O&wU5~2+aOm1>uazo#AXqU2%R$W8dORbPIyJVWaB_}DQ@Ge=V zaH`}62xi>>C9&j)md#ycAAl4bdu$ZFB zq`+n)vC3$KW`p=7DU`8TPlTo=$yrH?q$G-xFhz5cE-6WhWEYB(C`C1m3_+>D`XaI3 zXxX3cy6BRuh$e(n5T2}79Yb1@U!JXtqNbSWbFT|-R0K&@ zMo?DnqO4SaQIS|n6hT=TWm&1oM@{emLmvf-zxyS=Zmsk)->bumfDhaz~W5nyC>obLe5niZ}1f$T3 zv@8+}e9&O5Tnna1;G;_?p3i_k4VuG{WEz^qDJKmovP;QHG7Wu!mY>oT)#oIZ3ZXfc z;Ua3$bV{m4L3m4)pb)igXgmyKR|QejhN-B1SYpp^SM|B_>)-wPeY&>ZItO~iJA&)5 zi0-kBu@rU%;uVSYIcVaiocHN9*S9z3K1al8K7nM-!52)l<`l`GBv$93fC^p|F`5g@ zlH^12m1HgwuT4G;?MEz1t4Fy_QkArw7N zW-3LZD~W|d4wSTV>TAg_6(ubRk{0x?6~K8URt~)(3n^rt*yslhh{^fCPNA1b62&Nt zf$PB`GqD=jG%Buh@< zRtTJ?R_F`dIfbs;{F_}C7{Ha}K0GjxocK``4y@RO2TpDVz$Fx9iJ6;dW^lu#=-Nsx^@77?r^x#EffEAtMfA)xD(Ea_`wuGMZ(!6a3ja0IbXE1=L|uy03x zQYnl5;UB;J^tY?M{ut+{v-mwvQnSYY>!+6=KYaY_e|~u3mpa++zJB@mp8kR!KtWHqbay%KqJ6?5Er?Wxw2+b1+V5 z$4THZY(1gAxsk3CS}xHE7wRrVo&#|rT|;ERgclvCxZI^61lX*Z;C6=f8(sn4R!Bajxc>3$KYsI`{P;r4FYwD!Y%_;oSfpb{rr$y)lLRu)p*WebArtK6eW2-6 zvE`45iNXX=E+LSEht8|F`+VkQtv^2g{ik0({PN*_2;=>D@u(>kJ1v1yitC=d7EYdblxP5pm1M#EkEI5=8QGw_LP9d;#k0PqeyUax}&5Q!d6;6biL|26O zBVA<&+Vrq~cfLJA5UvKcO`KpU!q9}Ub?3O<4~SXiQC;8!-9}*cEWs+@bsP(ReTRO1 zmN*s$FoGMibj}$F2Lne;SZZ&;pgRcX0&wSOf$|O3%OX@ZVf(?`$8?DXC`1i9d1t3# zXQcoH`>H&X;N3^~kb9>dsI;qjR#ysEMn{%C|NO16!9IDlgMY@C+fY-1y6^-TL4YS0 z^c?t_0Y5E}#7D5E(A1l>-z$JGQY2@7mw=jhW5maN6em{Bw?Hqk^pKyxb4p?^N}AW; zZPm6eEPJLMU3+++17WXCP^AZ^>)`Njr$R|gGlTZFI#l^6p^?l3^GaE-CAP+aNx5v2XqUa#N&QZMwn<&UCf`!rEg zJv0XJpKaU^D);&|fWM6a{P^Oh4;Hb8%L=pKiH*lNKx*XuSBJ%Uk&$2b$_J7zlNX>1 zbEV@&E){DRn%u1C5D3d9)3RMZw#)fz*^ohxpupHHEW_uji=_N^56F3>MG{LMf~2uz z%F^`PXb*&TnC0k#98xncpDZW(na{0ic3P8A^YpKa-R6F-ju%N>%8Q0((c8RE$*#?d z;&*MXQ`!wccTK)(vVP5Exhv!4TA}>Ov0GLO%;Xh$T#>sKC4=a7MFdYgFF7|Z8|s0h zUm=X=qen{GGF@A94UfBPh#fvuw)I?dt1|Cn2j&SE( zNFr^fYo0OMeocrc7oJcaf&h4B%I2y0EsH~xEv(Y#5U7G8Q#LP2YJ@*R*b4UjIRt5! zmg$n@E6U@m!tWM}z zClQm6;Me9I2(jl?WhsYhg%##r9s;yR%!El|ZU+@Stso~eUAPCri)J0|Y!GF@#a1xf zynente&|!mHW37B`lM{p=A-3bh%(tGf^Z8nWs-f%5foCq>b?B5V>E<`2Cj@E*sLm? zm_i9AQLM{2g6*IXJm^H z^UxUr49tsibMUC?xdI2wv})Kz6v{GcVShx+(@|I(i{>EhKA|(~${PdJz~xEBE=u4L zBYla~mVYIuabyan8IXA@DeobVQ!wPB>qk4=W!tjygK*6<4Whsds9HuSQ>f)#4hW!X zxqU!;Tup)_eB8ABC~$|JWE3xihkbfp^zmpXQ?mi{%Rl}8TL3B`)6t4d5tD0J1UVFi zgSlwm(a!cZ`V>mH$b^ZqbHrI&Vj(_%Rr}vw%iChw^Mha-%G;XFmBH|a~s*ppA?iYDM^zt}BI^oJQh-RJK%C%j! z`9umK6Pf^}4APnjlkY0jLN(JM3OX67sXwwMW$^0;2T{<;SWWG!%_oB23^=rM?u*zP z5cE%`rZxGxhXS#FC6h)vd+Sq`-E+sQFR|4fXNtgpKH)}a(<=jKmlgO@9s;K{@S<}@ z`#mATWIiTb=PY_>WKRR7OEyJ|mm=D3^Mb)V6==I@G@ln^ljuVq5CYW5doRjbLJb3w zJz9-D=bMxyf0rF3!fQMig<6Z|MMXDy15H`^%5DlVyJ+Om?h^S-TZge06j=xC-Q<&e z6w7*_KINg=vuLw!p!$2s(E^Hk`yJmeHD6DlUyv8shSqZ=A*#Ev=#IQISNUF(lLf< zJ;0%gFs&2cZVvRp`4E*FL0x4tWm15E4$v>A;}WKYqxZE2cXh*nYXOyVI7C#RChv67@S~kgt%smqs+k5UdWuSzAcjCF!v4a%);&*!Rbmj- z?KdylL`~6*c#6R1(C~(6ibl}Ard7w)JVYT+7KQQcJ|W63?}>P1m&ovmfSVaKTq_FJ zG1F(oT1&bVoZMC~p>NJ4S9DNN1TMvDCXyR-J_pJ#jHF3a66=`=eYT-CUFC6op|%;B zia30uEY`7y8FGr7D%K+r`Xo8({p;pVPnc;JYf}QDTB`)e-Ir#=F zvV2IaI3k9>n^>hnc$N=|6-R_-`OqcH2Wlvnsc1^xVTO^jd`OYyLt-Hjp;JVe<_kq45hYQc5qeSObP>YyYX~R= zLOHh0uQ{&+9xYlR3+ ztf4ls=DaovE1{_s0(u+g^?*yF;Ot$LryI=KatvX^Ia{nKq7CKj?ZVlbUz4i#RT?A~n(Z$c~~q7CKIO}1Y*g$=!nf^|bJ>#cvd zz`?RetR+I>1Y~T5L!k=yw*w4OsJbYbt7vM|Ax3Z%Npch=;d+2l{}63xMHUc=wMqwg zbq$+My5ytHi3pw5^skB29#nO9RM#v63u!ABpivgPzhw4B-%*{uDqcj z$=U?IFQm(}4*V)fVIMwCzMh!MOL(YCtacjH#sIriksn4ul%6Q+lth&xSBiotJVBMa z_fIBHVYvoLQlbJ}hArAPd@Gr)Xd;lD2LuDD(7fYWGn33YK%?KhV0kw}QN9#uG~}yn zoM6?h@&IH`m;!b4?w;^+H7oDy-~RbM(-mFr9O)HSQd5M~gYgwKR1g|ZAzQp8-O$W1 z8O2IbNkC!&5{h9ZLs%)0Z^$nI?cU(hDS(-%EkgR7%Yvhtl30lpL1`V^0cdr#rYkfr3R{H3M(anio5g^TSFbh*A9JHVIAF zsiqI>HuPbQe^KnI&4ItgqsCGl6j@{>RtllWZ$XqVK@naOi-+KkEi$_T;EBY_Arw$U zM(Bbw_bCpHCzXcWth&~&tLi6m%_Mv{XlV1S%CsQ_Xku_VcZj~?$|s zr020DhCOI=$timtk6MZ)OK2XJznENja7-*tLcIk!%}A3bC)OsR*)B3WIrt%>!m}@C zlG({Aq`p{$gk}fGX^c+6PGVis8{Qg)G7?Lb&=+etdC)0bUy>|l2O3+h4?u64qiF%3 zKBH5VgYhm%tW<)r>i~Ra#Ysp)7rndkC1HhoDz;f-6pT?u8iHf6B&5=djh~;x6cTTf z>|7W`PR5W^MADkNrO)fMrjQ$Qho!gcGNUl@Az{{{0@$4m=R5;z|t<>;n%3hx33~<^V?XDWG>{ zm+W|SMUU2U>{ZXh#p$TN*(IF{X2_C*cSChIV9_HSgxxD2cP|Mm1G*R5jr8!P2q*FfA{D2ybt|BlS10my!t*}c;O0!8Hrpd zER&up`cJ=n_~pa8sLvZY10a`PB4|lP`1~Z>4Ro&wmO8%i zlfd%ffZByl;3Wd(9KrhCH4YURZuO`Pb&^Ac-akL}GkK>_^kh*7@90Rr>5|jr?>~ma z+R{mW6{rJ0J!$qlkicK834C_-Q0gy2OYZ$cO}Aq#BxV;IRLDI0xc=Ga4fiygTT% z@>^@Z^5WMK%YHGEb}@lzhf^}D40D(@yTeK5PCYg10g@Q81w)DszvK;UwrkUy(D3Cn zG8p%&QH#WQ=siEYukDJ5F-i7-0Zj_1%Bqe8EMsWz?Tpp|Px1LUf7Ghu;Y~B%d*J79 z{q($Z{y`0joMmPI`uFdD{@V+^T+Tealo|)|id62Nv{zZSVURP>@`l8W4zZ3OO+OP! zf`x%(&w#487>}o@U21#b2nbEVBNoL!&2HMBeN$gkolb-H8pGWir}Vqu|KSh6{q{M_ z<-))G_~AcZ-1c(L_4`jQyU*y<-~BO9cBH+(b>Dqmt%3a5{!jn=e?9)^zyJKxb93K* z_kVv^#7rXUOQ8Ss_|v~#X*u2N_ip-ZVmLTU`nWfD_8}#-$@G?z9i=$YkX|vGU;@>iGJ`HhEm~pBwX`(RC z-_B1fZJcMO>CE@R@bjnhi61sj*?MTU?uM;D2F|x$9}xZ4W)`(b5%mFa`aQW!(xhf2 zf4vjDn1W)J_|=s2ykD=xIdDErj5+Jd6OEUBfF(J{FYc+&pRXo5oR8zfH0MA+J>?^n zY2x%2@a-))e>$I-$Y%tAeHu|>KY46<{MOIEN6w3@c|)JFkkTM6_Qfph^V|J?tfx6g zE~sHn<-*f^tCM%7Prs&lC^_#nl`HekrQ^y<=T7ITxn&O5-IQZU+FH%Rk@7TtJJC4iw{sJ>|C55-j8V}FXW~>eF)GpQI#AgFw!>c^c+jm=hS%Q8*xlE2;a+&^QZHj zI(OuWUH37DG{bY`e4eU^YEbu`kFC9v$IW+n9QA6{w*R+6dkme^6H+J>h4n<|(D_6@6obOuSOR`v#PbJ>4Tf?< z>wDevFAs^rgR*#xpU>u8xv8$_zVY18u?xDgaHg#qJfBp?XxBf6`e}k~+Ui8pyp4M! z`26YoLsTQ(1!1eFncfGV8t!ISC)$S(`maB}oP@r2w-lbgrFnv3p4VU0(jAvhAK@An zsM6>B661$>jGTLGRZIG^&2Rqp-yN%m`(17?Jk`DrgC>*b+K|e2eIq;v&Z%?tu#%3t z$1i!l>0-9m*y8IS9!AHDyXt|*@cCS2pH04r~bpoeYt8in{Bvilc(CaAZ^cP z;)A#;lieFG=TGMZ7g-l>a%4wF3kw=c{?>!WPW9bbX^)|EnkW{AsF`lx{ruOTKk)k- z)~Cf(T*T$vL+1(W`xl-k!BFvkOF>{%>$oF@29HUFj^YhDOBT7&aO~Wo%3V6y4C!`Qyo*SkJw#^;XXVDF0KO?n|SXuRTz!`V?j+QdTQ^)EDc`y zV`P|4Q;wz1@~V{v!{Bi=3!(1{HRT&!Ov1ZdV-IkVXE6|q^(vd zeLAfhJ7clW%7BU1)13S^Kdw+EYq}UdqoG&~tMvy=UE#u5CiVD!Q{&_)$9&8M%Y9_# zaq83X+^0x%Ca>I!T>arL1lG9q{YG6ZSN`bsI$qUt=mIa2eIaYUU%FRpRTIxXZDECD zw9nqhyYK6+#HQBLpmOPsCNSgU5G5|iv-gy3pbR>IOB~p0RmtJ=gm1(@#%5HTgJ4Haw_W_h+)FyG!=`G(1S0M?`M? z&ijr04D+NoDszp7*3Dd}O%KmGj8hmYU?{o{XJXx*2z?x}nf-fp%&9OU_f`E*=1&V4v@6t4SAoO50} zDp|dnbz>mx92}=+X$p0!n~%E>is<~oe7eWR3hUOi(lXz--@n@UlOW>xl6$7@nWT&ZpXoOVi*$H}UY{R-ZpueBYx?SBp^I5$)GkvvXja`)qqELLf}_ z(nNclzl~Gy0ZN6!S{(Ibd@$H||MGucwri@+IXq5n(L{B!o73~dTh94|IJHPAy22i@ z54hZTg^#hTskU;0ae9LH7yHw1=Tp0S`C@OV4$OBBolkVy9A%~(9Tx}p=hH71o91TI z-Rn-f>&d2h+;nTsxH56?u>bBmx_YjUv2z-a;-t}jqmK5$i8+6;_!yfjQl|Qjm+!pa z%dhjN^O;i>vir?TSsWzI`GW9F^9dj8 z6&thqhF4ne9~D0Rc0P63Qk~jQroLCLpMJU^I_||ZsaPmieKR@W^SAS<_psHer;*oL gaBgd+e%NpS`NQ|W{NuxKe)F6E4_5r*a&(^#08!I}7ytkO literal 0 HcmV?d00001 diff --git a/tests/b15_4ig.sdf.gz b/tests/b15_4ig.sdf.gz new file mode 100644 index 0000000000000000000000000000000000000000..32db9bf6a1ac21a9d31fc2421b91dc9848455305 GIT binary patch literal 408470 zcmV(}K+wM*iwFq0_nKh<17a~XUokFoWM%-g{n@T2$CV`r-|Hy|U5OW6w0X$8AQ`F_ znn_V4Pi8Y4KR!rebV`7V%%Z3S^!C3EdoVM1^TC{5K;?<>5be0zux$@(ul1YH-+%G$ zyWjrqi}%0!%{QO__TS!r{mt)w|K&%&{{6rG?j!X-V zef$2SU;p-}zkc-PkN^FVj2|iSFEad#7U>VF{0IN`{>#sQ|Mf?|{`((4e6Q6{|NZmp z=bz<&3H#s5{yG2qS6~1Be}4V$UqAZwH~;+M$N&2KKmY#GS3mvre|`8i{r~!(!#n)z z|DlBR|3kR{z5nK$kA9v0p8j8Xp8j9{UEjX{ z*E0Uw*Y7`4_J8DmEI;x;{qA4ifAiV9FVbK?{5}2sv-e+o@vDzMDnGyd?yL7WRq4_1 zzWnCfcVB+?zWk+3b^1g4GC|N8%^ z@c;Yh&BQ1_c_%;mjrbozM)xoOl76ZXKZXYu9{7(xJ{?DW@=n$NOvdt`NvB{Oso{aR zFpj79k)r#slj{pXkUHhp3lK)@GDzz+4!IS567~^}`;Q7siQvR$#$>7{>8OXAZ{kX&h7kGh>IdVqoBSwC-h`G|z^| zsnem9gmGZ2D;dtlvB4`^F9n|wVgE>_;K9*)#kvgxE|&;+K509{xGEkG)1MFN;Cx5{ z=fmLl2@4O7PMnl^o?6=^;Kk4aNCDqx59dj4-YnvqDR?u7J3+y(aECiV))kCA^KeqU z!*MJW4z$tX^TN?aDDM>%pBDvYD3Be97l(0CXj*$uA2STQzxH3h|K|O-AN`-d z{pNRH{@cq~<-^OvU{b_#MAH1$8JBPcNH6xMsvk^htzXVO8|*(mef#Z8r7cwFUAug8 z%9j~e?UHja@5a^>?W{e2J1dNmFCTw_w@dpFU(VvoX~oxgeE`>&v42Qkh9S)Utl$vZ@x}vt zsA&jE5B7%m?2F%j_J4i!=b!(c&iH>Pv*C2QYQbux%QFABDa@&#lom(T2Vvhg=?61G zYirQqrlV!h(IF4x(qJ(ytwrxdS=helH7Voa!QnZTbw4*=t^uc3;5hXyjW~<~U>yI{ zZbRnoLb=@#s^x!ZCueV#&PxC`Cj@ft-Mtt0FSAFQ!MDilg_r}S<&;qxY zJDi5T{DxTl_S9VfbtAqi*!G@8((^^(5knZm>Q!ExeKPuY{F zjPs0!pW=Cpn-8-co;+pMnKI6wHF5pPI5uRD>6u4RO>K-1(;c$CO4Q3Z?KhbZ-bwi2 zaHh7moqm@3sNY&LO{N=134Akk9#e~ z6dq=p>MRL`FJtT1DT{M$;SM+Z_sGv5(%1a`!$-eMU-gH7eE7$Q*H0h*^Ci{mWnQ0r z^S;^=SHDmz3^(An=t)u?2c@izH!lV5iqfHcmv(TqDD7N*FuCe*w361bR#IAryjMsX zD|Ck?+=6Q24)1@oex;-N_?tIl>i-YMe6Tik+lcD9^WlO(OG!NI8a9$Va=AtE+JkJ0jB9O%i(LT3#(ksWW2vvGz%LOv_zC{+_D?6!e* zHW)`L3yGZLz*JEJ=Ghl2O2A;*6RWOvIBv%wSH1G1dstkO`8M-H*r#Q<&26pCvp`GM z>)M51;tT7UGyP7YRIo(<-)@2;i1S_joprt&+vn$Zj6{v^Zj^Bkr znLn(gRm_$bTzOc-*T6XPDZVC9W$zijCQ!cO8NMb^zM}V|*$7z7=bVmHGsBPYHL4=e zym^{8gK-+iAPf$MV)J=EPLn?YZ(9<2Mbd+lajK*8C^w<1=N!V*ypXPr%G29KZp}7d z!0;lPGI5d~oQ&9HnnK)SY;IlmoaAUB^tMHWTi_bB==)+4A1YE*v(S?Ua%-MxkvWnW&WlvtrC*OVa?)&e5`tbL^ z7o>R&%HeKt2SXSIL!=Ojhrkiwwp4n5Q`Zq->m{4?U0MAf3$p6+f3JKU!0Si@WXRC< z7tnQpjHGC0L0=mJ{`Zig{NxWb0RHy@cJ3s#(awR9?HkBDvtS@U?30s7(Va28`0g^h`5Tmj`dwNSeIV^P3NjLezd-`@hqB<9FH~&fAI(> zYnVrIBE37$y18@sH11p;;En;qIrHW8!p`^Cmc3mx*)E#A^8qk{LAMil>-6I{IMW@z zJLebeunTNJF51xU@EOUcCl^((pB{XH*dCvio4{G!PUNj2EN%uigUT=s-7ey-5hPl*=61QYjmW0(BHl76gbdtchY1BkgFQwOCiYDB?WCr4V*Ooby=JEu+4z=oFa#8KqyYqX+ z`hG_6D3E}ZG>V}Ilc|puMv3dABo|qXQy-S69!$OzF66X;ukV+Fx^If_D@CmaN{waM z=;6VnOud7_{BFdKcKAdGg;kG8DF>(sKdFLH=bVq)wn2CuJib2p?2G@ajO1aY=%L2$ zq39kb*g!Bo;QqK=h4`*J$X0QA1FA}ja5(|0B$EPc-sPgsFVj)n-sL~N|J_&B$H+;L zFVlX=X+$bffjK}>0j1n0m97>mVYM8;Rx{EL_bI(6o|#E8=a1iipRS;ve*7^-Qvdi< z8jb#_gGs;cO{%#0b=;*Auy%m^7z+{;D9~Fv-(c5#Shs7&s&Yh~Q3Tr|ICKCO{kaitT znSypQjoXE~%k}=yKe;H=m-_mphOaKDxHVQ-9lm2eEY|DSTk%=DGvWBl1AaLoeGnlv zsq;ehONL}BN{;Q*fByX8{SSZq)89T|78Pu8tW7;KS1`H zPb|MQ96?DA3QooTHl&Z&FHqum z6vdnAW<(L-M#FhB*bJ`$$B{PB<+E?->`Mo(t~P|{vk0LHi}-~D1ZL> z$3Ok&&+vwU6O8o=b9`5a3R;ll$%c9wWd^LyC*20D!fdYUbRSeFhMM2C8oMzk1^N~k zVTBqO)9B?cKVTjK_el9tJZfKCD25(kd#l5Br1sW*%gbh@+&H$N0v?zoxWDrs)7qtN z@D{+T(=|gziDAX~VE-N8oUL9^8YHsc0#S>xI0|D2=7D~MNHuxHv3{^*-Z2b` zQ*bdGxyYAngAOyUWS|&#AwZ;JZ)h@4K+&}a3LMug2pL$Fxf_9Ct(@T zHat9tj3=l(`cem8kklTpsC{O^B!`1{XaefZ(~zy9!_1xECTeo7-$@f-v#(FS z{^r|H>s1LL$cO;lSWlId6Ox%4d`1Gelp6V)HDZgcMqr*YKtrkbcQ(GOGp~rFF-Ht? z&1mAV1Z31ScVuvJ0__^*f$0Fxv2tK|CyX^|*OUU$X4lxKNerKy0a;2n={qIg(TIQJ zrZog6&i1vDI0~abF$$CvOCDdKX_g|~C(L`rw#kgPY5!fm`pZ8@D;nANv)Yo;B{U*} zNZkmUwfnP|qL>t~>HNj;M+!LqW!706>V+1B*p$&zUc-`;cFX*6V z0E`lQo5!<@S}nFDikWEPrk%KCd%H)k@1C8zkPSq9Z~-Ei(Zn>RetU#`F}!Gh(l#h_ zDwD5U#!qolod0#W8Iwq@!bMFn@_1JRYHCUxdTWb5gM%r=r>0co2q4GFkGqT_0ITZzA$Y6F-aLG|} zizKVIZruw+bDtc)mkjaJo>SC0hF+3Z#0iNE2>XUFnYd8>8WYSaczrPYo7reW9|I*& zhX{QG{S|JKpUD-2n%pjpi13+yY#@ZtR8O|p`5fwNQ?1#Z32Hcw)KonA5)0A4ZKMC& zu9XR)R;r?GXj?Gm#gGU)|0a&;`G*#7_xyimmU~R!jPgUF(n$_JiPcUL%^f%+a^FQr z6QW>ZRM<9zU|$K6HcZa-wpv%bxz23(EzuQmt6(TPX^U#cQC1E$CmGWPiM2;Cq6Up~ ztn(}NB&~6}RMw4?&EC!ZQo3{FWiH!{o5RdEo{iJgQ8O>fU)p*uJSb{MC~8M8YTw=~ z)y2p1lM2RNdhe!h6no2vn-KfG4m2KqWR%pVBV)0*?)06N9&0mB>g5FH`L8Q(%lS;U zT#=s6U1vUKpIl=|!)teK&ox|mcYCzoTy$bQ@RoH$klM~uPcyTY>|ZBdZ|o<}$nETH znGr|0bT}Tap;g5J$-tvaJ-0}?Y>>`VvOaAgp+1qz2d}dhPK}e=lno>EeDqs$5(eyL zZVT9;4P??S+fWb;bqey*Coqfl5b26Jak-NRIM~6lu^1R@aMJC>?AQMBvQ!Ow2Q@?E z-o&!?wDunZI9VYsFH7ZS_zr1`7Pn1hW~ift^)Iq|^e(K^%qIsMLlGBmKzi4M*e3{w zP=m2_<=mFx^{P|wAJySEum2Y^v>C=x_Jviv&%|)WArM2&00b4p+r4B%4S_T#OdpHX zVKdm9aNUHa@FX&;B2tu7xsGaqhgmIcL^7=T1Y`CrW0)|TRmBk`L0F=MGSQ^yKi2JH z$aOne+E!=(wqE&eZH^lQcUfpL%QzNV$^){CSSU${36C+rNF#OKnz|brzY{qH$luq; zG&t!vsNwQS?x)`%oFwthU|4dPkITfy>GG@q&lCrf_gcR&xibRNPBrV9{QH^dFv5?= z)e{cKz;3cwl+$p2?R312cWtE-%ayHet;>28ufX`^tZ)-MGDpYt+r%CGF1F8i37+47`hQd7LdLZUvz*E9 zdjdmghM`Ty8g94CTLiGN9ehkDLmjuk@T-?7VX%EVUCMWNl*<7UIkie74ucB7%(iv3 zW0ODjDlI9yXTq|(5y0I*A12m4EN4nB9R@>$;rf#H(X5nmS2kK&&39ZQI|-#|rO8>x z2eTUu)nPK6eOplzrLIWkqI&U?V09rtVQHc@HLqI!`%kaD2-qsPTDeST#1Ewc(}Zenr+8hv`T#HqSsN8ulecO z*j>O|U>HP1pstEKtgc%B4dGQ0YxOvJ#981cC@{GiJ5;DbH1TiJUMPNO{%IfA=56*i zi+`gVZD8)n5aV5bd^V-(HiBK(fU7M^5LwoUo`?0z^?>#)47a#^ImMjC3!qXyQ^9pN z$=BcWO(ND|cW!8y%SpwiDnKO5M4%VR6i%}_Hew%@7egA#IK!es$TmkwUjUG)32|gQ z8#=0So+Y>cP>Yfora|v-4D>)X@3h>>!uY$pT$yJMhODr+ryfRdCaYQ2lj|A+KDY)6 zx`dpV6M{(m{$g^ozif<5H{fzCik3hLVO}K_$w;UMDd_>l=%dCstp1|peBQ}%&BGGA z-Y`3ffac+r4a+X?o73Km`&s`2z@v0hOzGy{wHtcbZnVEZMNft_XIv<$sF1*wkoXc4 z&qsABuOlXdRWz=Tw~_&A5?QLxyV6ZPW8g~vu^y+n84vS*7{_z(LG0O z&u^nqoUaXoX%tQap)M`u7QphDN$wwya`FmVTW0vyghQuMPaP#A^~Ck)VruPzXjj|# z&1!H9D!Mc$5oGQ~@Ry37O3O`_VMD8XOmO1hZqim<`;C;>Frdib5YlEK3UU)T;Z92k zt7b&KmYM(Ol>r05ylC4KZbe-NHIw=75f#40mIj{m22vxcdPI_dx`UG+NiF4_yEM& zERUP7oMXVKRlU)b7E6_f=8w-lPq|z_`}IU6D@M)Z(0h?XFLu|U>%nHd=I1lb>SMG+D1U%#9(EcCZMpztSWhNmsovyFEF8W@ zuE0Tnf@CAqKtDMn8{h_;T)&RosD(e(MU0yo(9j#E$W=!dq#QP;skoguo7loV zXbH578*IVX@Vg8)8*%`1(BVBKP4QT8tfoPe!3EQUBHZEJq^Jq0BB7(*nFd&oWe)6q zhU&qr%mH^|;8&IWstrEGTFF(9Bad27g<{awUCikgZk}J1U^*awntlKb0(4^1gX`B>?HhNFdzQ(j zNUF3S%h=TLT3bUY4^uW#0f%t1RHC#8LDmF4*xy9XSJpV^>7C_3`EBgoY{PD9~?(~SEnmnMG853TS}mpp-^e#9Biw4 z-m3ieZk-RLIpobKsO{vb(ulysS%Z)@5k_h7qP};2Ng8pIR&9_-Z4p0B3h!!|IK-e) zh5e{KfQYbMz1vDJCH9=5zfZ*_Nn3NWpCedo)}p^{y3#v@Ap>YjiU-~biK(dehyKds zGhr{s6k~3iQSGZ?S_(kbL974)79~#nuJo#<%Ow9a>wQ;99+})l&YjVI!03n~%wy^U zMm#n^y>tu+sAmBw;Bi4LTnGNRkYS8+FBvL7Ow(sZo;i!Jfx((ka<@ozJJyKOlLjM! zkufdxG3vAWC5W%n&UF9`UCdn(k#`rtf z5-^|%qs=(9;xZkj(B*8K*Nl={%PJPNHeZ?aLWasu75?B#(01S+U1NFFjfg9 zCa%4$>ASsJ=KwJRJcyF-T0{oaqv%i#Lxsp6tQ&bW1Ot%_8EU^D5m`&hfPgg$ds$qNA6JL)p~Xy@50(`Q!;YH8eD{&&NK3LLt6IB@K%T<(1uL1 z7XS|(DuCplej~j~ zxK4xHZiZvT07b;@yIckyAsR$B)xEAc$giJ7CNen zbN$_Jkotg>%ecy~=eT}M$Z;yXp1^i;_l0X}pE+oL4m+^g9~9W+`nC6FMInJI`EW&w zOZnbfVS|}&^ywYg2r6cM0F_@(5DaoiY z>v*!ORGPKz3N@(GyDRkOd`Ykamm2AdD7Q-V-8xcQ4dh5^pK_J~1&_5FY~}ep@EgSWc7AF&L%39(_85B%w>%^Q5hgRoZFds0z(x2c^!q zVVYxySQp$DWN&BH!CbBB?@lG-1OI=Mb#Xcp0Ny|V>F{d}^d`D9e_bUf#}3ISC9T|) zgz$iINB==+>X1><0t=Frj`}8VQ-qFrvEgN1Qy((3;9{D}v36XhMh@UE%(BDRk2$^c zL@uYvAn{%EIlh5qVFsNJ5w-XqWQaDolJ0Wgvh!_ZXq#-6cF2;Iowmy4w#kyMC&?J$ z?V3)njvD`6KQbi%;&JL9jffO4slbXf>=;;Z3napB$llJ^N@LfIR{d60OzVZ|Ekg?h z7RyZDw8RXa(o!H0;akY^N&!wOi?djAGkZav=2R|hdPS*ROfyXIW2K&3bleMJ@|VT+ z@Enm~3`&gCi0?WE3$p9Bn<9DSyE*S_8@6{O*FtC1jw$SkVo_V`(qiNb(W0r~-zH#D z9zUG#R`YrQ%mcKLKw=D2YeU{QY}p7$hJ`Y45S%%Zmev?qjglK$GLszg_IhepLzLPK z+kM>sVv3is-2PGtYE`w{>Ven+8!gl2dekeKex}(ccNNp0ClE(?znc40e6QSoKkCH+ zRUOsvQs2#^&UO%AOt*K?4)^7rZ3<5)FkKBT@=xw1#Cj#A^zcrZI6Ir&=PPhUHaTuF z1}S7d_BY|Ct+awcj0CFA1%V)h&He|B7fCY$?k|spc>`{k zJ5OcVw0$=>g0!y(%@@7oQhiy+B#lk=bXd1@iTK)noX6wqPz-5Pb`Xwf?9=Ym8H`r8 zxip?AqpDk&JkJ0QkU`4!)0$X{tVZCDZs2HwLFq#(=a}loxmVSj%;$286}(zYd9!X$ z^ua#S3h9^z9ISR&U+wKND{e_*Fftjln)3EqEyE-b z`J+PNJ^tiM7bU&C*Ff!zzyxpD^qsqyrRMjyw)1Mai!!(23`CZNV_{Wp@^ljPB@G2) zmAu^s_VtH9eh&gjpnR0p*^)M(V8*=R!M?fq*VpfU|N5aB$!?rRLkS$PjuXDK&R`pL zWg3VA?Dl)_;mOC~&V)u$3(MCG*goc3Z?k$vjfxFMndP)W_l99ibcV2A?t}a!nEK$% zX6(4EnMieg+p|Y-QB5PNnP43F;ItV}0k$x?jB5GoC$tg0XK!DHHJ8>7UNO+x!KOb` zsY~xHM&*$dQ1gDH+ld<2_2Gu588ygeSN_%j-H;G9s9}C5gpO}6R@csY1_j^dAFO*S z5Fpl6{EiY^c=lCqaaaA$hsN<K?ShH)0;a# zh~<=g*2x^$V2u_}kW~tZ(v(~Wn09ZYNAsbzUJi**uYzz%jDwRdx6K0`sglp&zcIo7 zrqYg#!-rfG8k^0uw<8Zyh;ljWhB=PxIKPU&$sSQ?)9Tf)&Yh{?y<@A+fsE|oB*#m4 zcm6co{BGR*>TvU4keIsm3QbWMD=uU8@`yq-qQb0=BVmm3jcCSgfkCC=EVf!YH+j~Y z{<{*X_WgKJ1=_*wxI=T^8O1QN5t*<(;a538c57HI0I5~oLqZHjjUhwEw4nE@IxT*K71|rQQL2Mx$sn5a?na`5mutF}3?bkvX?U)-sbw5Q#d&&zUDH0+vQ1$6 zHv=daw$Olsap&Z?N{!5_>B)M2yTw{+KVrCw1G~6TX%=I3wN9y(_9z0j&=m+&C^r+Y7^?0 z6Pu_ov^X}%mXaNk=wi%=J`|RaC%rQpIB=Z`;}tRjQRWH{j|3(&H7#D%s{@5g zX-izfjv_gRdlD$aL`W4N&QcSk%6Zn%AyPP^&by6c2F;8B9y1qO`}e$~NIm=x3eyS-h_tv4-f5d^>`_p_hJ=v)W$FHa}Sh zS9fkWP+jE~Pwc@2<|Iv>Na#XOI%9L?v39-rMltPf*q*Ty7DoA{`jjKsrOi{f-lyEn zo{8h3wCk26_i~y#1JOO0M{O&Is$O1^0B_!ug8cma_%J@YXZk-KndtdDIk4y8Y zfxN>?Iss%QrDI~qoKbU9IF2*h61W*_JL$N|2$y6&1qwB8%awGinq}HP`L3me zUpu81SY_ql0LZPROHQnkrdD=gDkqFxOI9`T z5WMW^+LZKQe}e^8(MiEM2Ge7f!422>CGd1&qnM|*G*tLKYJBjD2uUG1 z6&k=hh+^4*gxs+3>AX$dnhiMF166~lf)BdOBuHv1(Wqf|T$9P+n6D5eEgF4eq!~lV z6V%j|m_$rnAr$&)LsR3z+N>tBbzI~lu$OaK)fm;DGLYdRFs*6$Xz`QcNu$SZK{!OU zJP$|;oz7&eJ#?CNLvH8&I{pPcNW+=P9-@K(-=GJsfN9iBDA^FRRf>ou%=q+uMdP%c zGxrrVGS$hLHI5Mhf5HI~Xuw>71E_15NmDs7mekXDehVs~^||O`W=VyyFWuyxh2@?c zS3M7!$8`Ye&L(Q)sqSu~T$q=ew4r*^EVO6nTqV`a+@xG5g4B>Ea$$j->IcP9V~Gi? z9>|7>KtXCt7(?qF%6FFZjKbehi+U8oOxDpxr({9g45S>>pTlbI5sf|GWAIWbB?H(0tuH9WX1z3rl=O+r;a>MjG@ zJMAtrm1HA*WYeX04zSMu_v2rE{Hx!5_Wp}6(x1!EZ@>HM{YSt4leE9i|D1l&H{ZVd z^0W8lFQ32v;@x-k1>Sx7&F{Z>`}X~Q%A)GSVbQVTAc25$tNKUmW> zP}6l2W18MZ!6d15(!Oq6mNakogj2laGjPiaCjw^)ZP=-;X4cJF>j9ht3B4-q`|G-h zRcq3w_^`6|hV;VVa)laMONtFb?c0zuPGVx-bU~!`^)Mjo&)34L)R;Jm9v&PSCCo&{ zveezugkJF=rf??jR?&mW83j7&(6I2`JpQi})8w~Wepl!4Ek!9qL{zqZ^%x9%t|#LQ!}*Dd z(Oclv6|MWM~!$Fg)H7eJDN!I|QHj|#ot*EKdT5LcQL-F-5{$^dt@iln>Nil(e_}^jb(7+?44MYZwZ&h-d{uENVzt%Sl>JC8$7@j1tIkr z^G74qEz?;e*!QwBJ@nN=IjKVbyA?H>>FptgC3<_<(=wi;8DuGn>~iZoP%*5HVpiaa z*2+NS29(<>Ih%4zHyM`O#O~4~r<=CMCCL|w%bdYo2^+AWQ8L9jB$TOPRly9JLp_&m z;UaQGce`+Lcnso`WAJ7oh|)!F*t-vfN#{kuC|jkms~Os@%e}j~^%K{-CSU|qGU&;@l`==qI*{>+fB#4H*gS5ikyjIObCcjdaoYWwDA5kX%#L(QdUbsA@v~ zv#VCPD2}yH%)&^G>JO8xH z^V%^*ip}S<#^588Ln}uzRoik%-9?yLBkJMITsEs=7g%wd+*1JlrQzIib{2Tbbo$2POT}Q6H8SIqEP!SS5fxZ)jVJdXiCna9iZH6nh zVR*QU5!tHfblWoW9gDQfinswWzB_H5o*<9&)~ec})IsB)QKdWV?@4o6=cZ|@SAexk zfz6O|pE#%_m?~ZYR*mndg>?H#+Nn^BEoYrFLrgW-r6+E2YvFdVDK35a4&kD@#1(`p zq)8R4vm<9Hhc+W>^iW+%Pa2fdn4lnH`BNnW85{_q*2A%?u(qT9+18H{vWGnh#O*!_ z(|F}m;N-RhR{qsSIu;I^$DVxauoid4>C~mxZb`Y+ z+kGf)2ER&oc2CrL2?`LTmZ~WF+HPf?XBiQSfpMllz57GZ>iu8Iydh9x(YS;NanzHp zzvG&SdK8#pRZDx3OpCnqDcoUcA-C!uRRm7k3`WsamD660 zSPpXXP-+fay%xVtX?^MIY}4C&Q{ue3xJbe~pz*Se^^TC33MFmOCXmL>X%H!+8Ze&e ziC+#P5e1bl^w)SbI@x$>cjYJEoEw)|HrYl2z2Qyy4IiA#8ib2JgA2bzXONYGFy9>x z9P&vP?K$#l8N}KhaTf(hRi#_~lR@pT;qcR#^dz`4COwbJSADvB9GzT50}+QFPOYLI#A#$?#w{h#w<4%5dS81_P_Pp|C$Vc9o@9(6(jbphGc zqGEc(2yerNz7E#@_M=cUz6+5~Bz9X>7rZwWcJ^aRE`KgYc?_Ygc4D0gwY; zhDA3s~V5R2s$%`LV+XO8NRkfrJ~+cDp9kqb4+0JD$>q z>-9sF|7#DUxJQ-p9oz2q1Y+^u#B$1KHsZl88f}Ynn9R~n?z}tkrd&j^ugM4VVQ$LytFjxGoK`sL<$&O z*t4>oyl(*FGz-8cs4%6ia|x>2RchPFrQs$}5E>|~*-^yd4}r=6*!6Lv0ow=*BYKrc z3J|?IAt`8+pjr-)ZJT8#7EA2pdgkp?K?udnEXUZek}0-KK5VVCS|OL~i<_#d*buK& zfYo5{FKYkRvNAY_to6F6riNl_Hd67bOlL#Ka94kx@Cxn~E0I70{#k3UC0$bIM{I~} z)X5c+*kI~b9Sb4A_Qy=83GEE%b;k0F(bLoVPd`xZe6>)essP}cfbt4 z{oST(+!4;afbZ6FyZP<8KrE>gF5N%{7^buMBX&XnMS}&8R>DC@_G(_&EnjGLyZ)Su z0MWYALIU1AVvdeyFm@BzD3ac;G1w5sQnnJ?R>7P%pm=* zeO-+S&TCA)sxYOVQr|u=%X~#~8^ESTr&|DQLxK0ULO_?WCR^d0+Z4-4@ zwV?BV4MxDNm-FCSpj2&)?Tjk1Z2fvtqInRtBPnG|&>R1&0}`G!MY9y!w) z)_${(^*R&_y5%^jKeHQp9q2r}(B^C51UXZP78vtGM_LIfn81@M`ud8_hJ;TiFZc)ieVoT?9n}Y`mB$zZUaS?Omi*~sl5Q7u1JxN&O(b#(b$fJT$4P+n~5d6*9Rfs zbHaADn6%PhIPHW8G;gmO%?T4WR_D3~^@fD#AHRqsB%|ax=RmR(s&I^h+igqMn){hquWo4#HH4(UpL`$7@QxlJvO49xY9Y5zXX)X{0 z012iQ)C~*;xe4>h$VCeM0=_X0Yb&kXTRt=D9oGGzqw5%*x>C&q_Nj@8SA_nKb>;c z47i-r$TQ>|r`LC%SscbA{f+bRHg{WDo8S|KR?Ba0q4plBuiZUB|>F zx4Y-*oE2h3{+nGs3Hz;=3PEyCF!jOR-phIdN+bY(6w9wkpQ_>FX!H#3ChI%*NH?$N zm(MCN`B4h6;w4LxdZ=e_f~g6R3w+VJ^@|Op9ztp26UN;AB=p;30zvH*XZ@r2-;)dC zOaD7?jJoC07qC#Fux#~bE6hQy_pQw^BJjRWZmwF4?YJU#LEgILg6qpRs)mAl-}@ma zlzWrg+sozK8&18lDO9hnn6b90QrZ3mxp{6`42K*u(6Mlh*7t9Cf5CyYh)+=vt=fr}69h$c zlfjhwMjRN+!?@9j+T>U>Zr1Q^U#$^RN~wrlkQ{W_H;CfZ4l0Fya#6Cve098}^~>|nHBfJ0 z@5;0N2;;fLZFZK-&n?v@pKSE0n$4;Qw_G`b zkMs1M4HNI({8{zd6h$cx4n12*8IgGlrw&z^cg`qY@jnPj(6N+IjOk@A&=qJ~F?JFAiKa~|eU&z)`A z|H_j_`%6&PeogF8oKMwr@JCiRwdW0^sR zNZLlf;gDDAD4Z73f0Pb6fD#oR;oR{1oUmr|}V7u}g3KHbHS@p!7NI#MH+kA?I2&=d$SPuN9YX+c737_KvA6TtU}NW zgk5HndhGc0n9c+J4{Bc|l=Ea@(0kbfbi#x*+|dZPq&{DyUWteJOXcEA=~0r%$H*08 ztYT9iINTDGKJXThqRj|((M)u4I|tw7JDeWWM1PYL&J4< zj9~Y#={{bG&|!!>&+1nR4eILL0Y*pOeXt&Z{JGE8M2(8<-)xDBXgZx3(5Fe40i}miDkD`ybTF}vtmu6c>m1mMhGGMpHRXv{4j&wHzp+44Q@}}XA(o?& z0nOP_uHq;KvZg^Ol)$JE?$6jETEMz&nonJ3`ZvppH(lrBC{$~;U<{B(9yyGo z5Kj{3vY703npZ{RZJz1})X*+KImdhQvB_aYs#yj5R)-l;j~?&0B2!BgF@ek)JOCGY z!Vg8_#5($y-_nno;(v2LKjgLpD%Sw+C2!}WR3o-EnA(-E9%x4N@8=*s=+ZLI#8sA* z$@@OIN$QA!bWs(I=-7XP0nC_eoytwpPGae~+dFB?1ifdl!V^wtDi_sEms3hD<8-#H zxJ8}&&B#Ve`!3(ihM-&4FCu`%S(Qc4Bkm=Yv)XAGa4 z?r}F#7ilM#mz~DRxyX@cKX(y6YHA}*oa)&s+jOUMfH1g_r!v}BZ|JF=TPPIIrcXJcA zk`i~*7{UN&K$yR>OJBnorBUg?)lypKg+#v$vPT{ph7CYh{4r@qOK6e|r9$H1f8JEGDO~_g4CWB+KqfhxL^P@DKJ0J6~0#jbwJcShIg=bK~t+&7PdG z{v$_o7G_{XBUXkDS|tRxo->J=pvDw(XaQt5Wl(4PD2C;Iv~7Xba0&bP+;SEc`O0hI z**#*89TZ)<+m&gy5mL1~PW6celqsmtSfBmg(ed7K} zTVFh4QZm*Jl}yDcZ(Lg9%?Wa1xf|egIxg|Rb3A>!AZfR#CEU--QPyx*z}R=ht5#1oZ`TI6Sheu0ECBi}OqK$s~gW z$)}nCvXh)#)w$6d%75N(TVp+`2BYacReS09c)Inn*->qL^|4ooNU^UFq^UNAfcRkL zXog3r=o9WiY6_3t3^Pn^VSClK@cdIQyQ!^vYM~aC)^ynpK;yLs)Q&V2YGgf=8yMh{ zb0lU7sC73<7}Q$*SobnYF4u1uxf@#h(TF`^A>Il&zVx>*OFRUXbq+XH@cP~gsbPo5r}Wj<^{;UmA{ zx=MS)9b{p88};rm_I))u-o4Sd`CZ~*Y{Zem!BXsD4?hMel7xZ$o}UntY{?~Xjnyw93F@*A+StZg>THYf%Z{X2)s$f{ zad`)gxGw&F-EufrH$Fvj2C_+*jh*1K&w4L)@xqpSFXyTOEpS^JEmwitxtq6EMT1-C zR80SMfV;x&fI{vvGbS(JkL-8p$vWXjdM{r~R-r8{jS9fjw0){AY&XiI=}F^TU`Cce zZYLlm=k4IDNZ)GV-ORba8Exx&6fg?1`a*n9RQhnssX1+Sh1sX;`lVcLn)A+6xu&KK zC80c`hX<3UIp(v$D>pXT6U@+%QX;atPJ&h!1=xj#PLA$r3duM#b#`&PGG|+5wRI(+ zEd{=8P~>TC(zMD|6nPh2SBKB|uqB_ooX}7IwSiH#Zt~Sp@OF*q5Zldk#$pdK_>jOz zh^4L&!2C{!X@9~cPZE2234+|q(yp24((>g}%^V?{e~q!ONBe{gq1D_#=J zmS&u@0S-+U2xAED#iTHS?MazTS8ZFdrD=Mx^UVhr9yH7dEI&?AK$CU=GXgnGqq1=q zE%;UlGxO>cYFiwIz1n`12^A>B*aSHQy}H~nfzBUPW>mx@DDlBzL0cP<-JMUqYoiLw z``iM?5-*x4fu(8EBHCRv8=Rd9>(N9_E*om1bYq$>3d0Z~$h>03lQBc5wAz`LxN!vH zxKb!Udx&8*F&Pn69SfsuqD|3Unjlm3fw*fY zU`%Gxh3*%Fy;~X-Y|M;t(hE_;+0YQa-<28yJUB8E0p{7|djWIS$Yw=MH;yp^*D9sW z?he05sZ{3;7kbAhJ@Ly~aqRL}xhVL_cP-B=9L5kJIW~mA(q1)+_?^ zXsL(?1u>7{7U&6N1K!fB%=MFp>$r*_{e$Y83P+V-@Ur;JSpnLQ>aDI7JXzpQD425X zP1*^fd*Mel^EV^Z*koy?T+aE8%g?X*U;|`vP7pzF@&>;EKa5ag3fZa#kAZYWBdTNK zHqf`)#>KQgN9JKQIwaR2yh?$n0hPjRdN_~)#o!!lt}wAGBfH8l0i5wp{tVb+4DnCu z1ND9naK8r+j4L8{Uh`GN^o{Vq(1ZPCqp9F)s|Cv+6PYeiRAI~bIX0vo&D!R&>UR*H zx9~!D+7r5DYr$CFZTPEo&p;E$A?OozDE{QAmOmNr>k=y@uqZ6qaXWLz@*JHLluJ}gdSy>($!Zrwwy z8Vf=mOWAkPjURD&#w&uJ7*w^T))nS>I4ZHu1Oinj&9fp9*bwB~u~pSH3h4+%CF4v2 zNQd`&imj5TR6sbLxsvT$16NJD&>`3g2vD9iTxPDIvah%xj;6|pK{0s7DAO9IWRJ&h zkL&aho6LERlcH?Wq$od&@JwXmm~=#o5>|! z1fJL7(!DTdb0_UJJ9kr~wt4q%>fs5fIPOXQ$*nUSg(~HneySU(z5IVa{?*67`pswW zzxX2kx%~Y0yRY7V^y@!K`|JGA=@)(T?Yl2Odtd(Y`TH;4eOF)L-KXFD{)@M7-%pL4 zwkXXOSTJ6)%hrD^xJK{vFeOJyf0^LV#S~OgfAzU;manhbYO@}2THc(gDhW;Jjh|QQ{Jlj=gClbs& z)Lg10j;c^Q_vCE2{cAgYydhAyf$W+?>Ky~w>-0Z5nneo(x#fBZrT)TZYkRRABot%q zvD6XpgY9}{6KJ*}zVm9c-c5}VGre+b)jalmd@h=*>ZugSIi|0`au`x}1h;(R)OXj3 zK*%}uKdYu@2quV<|Cq>{G}2=YiKw^LW^j7|<-?tD3a7P$K2J_Kiw63P7<{^CVKL9p z{TXc_!uZa*ab<4OA5U6s#-PtOq`RvM@LtJR^rN|M&WJ;KUdnPtdN5y1q>S|>TS@c6 zlARjfnItxm)NwAcS+QltOKmk`%Qn}UV0@W|ytF}TXH@Yt=RL2qcdp%%ZmSXWB3glUL$bi({Q1U$zmuyZf@qoI@2M zHqs9ZjU1OYr2M3j=6p*0YXFd06OvBs=u&WvK3k451xo|qbD=dWB zvO#Dvsu(BD;&u8^E0;gC9mOw?az^eXUtQ-llRF_S3^Zlpq&(eacnjwl%Ve7Mra0#} zau6amZ1-3?rMMqkd`@eC*7fH&TL|QWZzy@m8OH}lXz^Zp_9qu}VT&R>O2-*0=s;3) zvVT~Kxh{Xpz;_cglmF`gqxfyw7R@T9Z4XmN(`37Rm~5whS&-JSv7v%QhnyG|PBN|O z+Q)Mf&9d^qwp^FTdjvZvQ)n~$o!Fbf2Ac`lV2Oh#0|58^ky~p>Z!VVTu_}^V+&`yCtX&$nHkFJVqW+@sw0CoqX1f$+adWXhA5Oe zz$92lJ=>=yfV69DlCua)B|I|D!GtAw7wmq%kN6@paP3<>r3R+LPU!JE#WUSzY^w&^ zj;696{K%;yLWnRU4XG%WO*B~%eHd`m8IhQW93`436XF}4bL9S^x)&xskAe2NKGp9K z^Qvm5LpIn@cQ!iO=TgNM3gyu@+`5+jb9f->7tC+XfOQ*fJ{c2+bbjG{80vQc`)MYq z-lODi*%pSOn&9&o%$X?g5X~g{i@lfWfA^B{5-DD0#(`hw;ARiFf1E?^+!HDDs;ZU@ ziC?Bj&KgxCpQ~e=ahr&=*B> zM>xvKooj8Hz}f{^TCMT!z)XxC?v7b&w6lHdc0OMXy!5VKMZF|z-N0p{_SI5&ZgCr6 zJn~5fc&}JwnXp^K+GwR$Q2;ZJygdm#_&1?e9s4bTMFuzg`(MBR`7gNZp3_*Umz5hS zWGQxXpBL*5-N>7ItYnY>cE|D_A3O60N`pO~GM9l@3E8dk^#(Rg<>oHa&SWciG2bSt zNweQXE$okI)xj_0Gi%WQ#}h!ArIQwts!Qp_gRQbhvf9i8u%#*Td$2M+IsP!5?S>1> zmLB9~_w*cL8ugZX`D!k8G9uvcY+Tm32K_p0jf(Z&Oo0Z?gF}u5oCgaL&Ey`Q*}VC@ zG&*NEFGL}Y&g?|L`79n6ua>=MEgU!G8iz1+;#wCeK){w5gY{Zur!W)39olpSn@WFc z7%#EzO^_S}#?SkaD}KnQ6ITsW!+<5DMLVa#_p#{xYEZbgN13(lHepQ5?QPOk2cSt@ zXun>!wpBN;yFKi>URS36_VYcR*QnZO0cTFQa6Ny+gGN_+xf~bV}{WkdtZ#N2qFg&1q>XEuYpp>E< zb8m;rJs}-pzzv`e&Z2Kj+6!ul=JQDwa}}v zQG;YCCW2J&T0(LjF|6m$tp$`tW{$-V#_BL344|!Zra;jFTa{|RmPwp$FGkP7-_4{m=Gj!1SjrdQPd z&4IfnbepCDc`jwjH`u+lYU}hmH!a@P$zw4u-ziVET9l%NyMZI^kp;~|wlPlHj%gO0 zY`4_|P(y8r_2m9moTX*yHR^;${Xz;CC(G6+QpI>DKi~o*Mjz1$+c9AGwi)TDtc&m8 zNiev;APP*$xWVL2nwS@o_=+{0JV34uav6HV@>nwmpvnq-((u)D_<2{Nq$UalmN$A9 z{AJ6yZz49F$=0b&q(~)E6cswl=joSIauC6DnR1CDa?u-Ls@6}4I?vZnUMjt{It!E> z8TpGR?||NjPnWc_GZUj*UM6tL0_sc!2h59S=uhp)#MD-$Rc?pguKq+8P~7Ug{7s29uA>*@&#iFZ^BQT|7#DUG&(w- zX1q5Q6R<9vwm|3>Hy-8uikMZyD&vL?V}j`^bUJ!3Uk%CvRG~vTDBOxv9hq+(w6>;U z=f_^wIP9G%l1=-u$;k^g4iWn{F?$Axqgf+oek-uvmX8HB)DpcW!gj85Ve$slj~EbL zs64dkHFxAEF0y|zu{L%u-<_18`h&z3V6JRqa*Dm{j5~X_g^dT?DpUv8rFuGI-hHSCE%? z+RhJLTWKc-yR3W(rVs_QV(h${?A8f7?j3t=kaDy~)%mVJo=jX^14?oEtdM+Nq-qO_%+1Pqa!_kk1}CB%?O zdd6$GtH}(bGQST}3m~vpL;tIpbtGGgh4fgB)Not)iPJQbrnhNAkaxeL zAky7X-RkM3{AP3AcNYp3= z&Mk3<83yiLHFt(rg=GTK^;VeDnN}nvnIw;%O029ZW_AHu39>kfZVgy>V zmNW(LbwbV2gHy8L+>1PbajjW){HyP5Hd{1ZiHCs55pW)Y1gbHcN3J!OYF-NyM?kBa0Y6*q1oBRRwZsOET&~TIy3)NR~ z(l%;qaX5w19G=3-cU!;w-Ra|M;>rlU1&tuer?s>5D+Eaaq0he7hW#=r&mQ(dW?PL+ z<=C2G@Kdoh<8txa2;-KE-}8@VT=8w{r!5Op`E1Wz5{QE_BSZNl0X-nR5X+RlowH)M z5lr}++=!>?>ou3?5!We4Qmx6kX0RxHcQEVs9`G}!pJ~%T9>PIMDIial1K%|qr~jrB zTH=v}R&v`QMd=v&L9xNpS%Ze$$*$GH1)cTb4t& z;Z;rp`Z!8HfgZf2$f8!_oaKu36ZwFR7MAhPc}A%^yt8Izy-u9IUcy!2?8ZSPMlfQ# zJV|Ehm(yarbCAwUb2D7eaqYq}gmBvQG0lI=Q zcAQcDIN)bjPzcYAQlfBA%bbVe14aE(a~4g{Dk=& z8t7y{@+6+GuRW6Uw6ExVHe!@a(@y^q0e@k#?#-lXF88}EsBrZh z?AWGqXD}wjQ$Z31r)9>%^*>CAH_Q717^)F_QK>n|9EEk7pUEVIgUgxe+3zCY>g|zF zwB(Gi3@rCqA0UQr!o-m~)>QlXak=_mjY7mOor)#x>~Le(JqA8_+re*}5#+v=PPp>5 zcAfj^Ed{S#|D#R^Ks5(o^v3-$O0!u5zR7Q|0TY=zNF3$7{3^Dl`!+Y?-j!>RPii>s z4M_Dudf`+3$2BstROF*YAYENzw4@EKZ7soogq8#I+t4Nh7*a!dMx(NPf2&xaX)E zGX6@suwQJg6eE~3V(8IK#(}SE%uJCH15qk9D{(mQ;HWmH5Kq4*aHXLKCn25H8!8Pg;&S5T zjGx-PI*i|KerklzX*A*9ts-l`{_w}|zy9#Q|M4NMQihlO;UoClS08@({;xm$XT_W> zrb?)J$=O%wA^k^(QC?*R#?QC-`N6DyKBi1$*4on(L+klfD>hV80TH48asn3pkJ?ya zFq`iI3zL7Y61#UDYCJduS)L?AR9B@P=luNk)wXKfC+JU$Qv<5>(=3--@HLac z&@WYcK8!SqFiw$sEbD`cBuo!ZW2$7A^myc>S_QN8Op@^Bem!-Y|LX{&Y+2=Q&Yw3? z+n3*=2)3P=hlE&1E} zKGbYAz>;lDX9WX{`YyuDscU_ZL&r)V;Xkp z8uO55IWL;@ECr6fLk$x2tKqzY6StJ%XR2I2^hBKun4mgjIHy8h{ zp#;83nv*t+swE|*nlSaIK-9VUH|EM|h|6}e?rXs%mJ zF~zD3NE<|DUu3R398M=U*uY8E>nw0N@NnpW_QkJ7&P%w_qEN3`73`GJTti{?@^12G zw&~mrcbgiZ3;IzF5D8zT8F8P^#0EEI$P?`yjX9(LxNvrT;jnmK$JLn32iWklK-ee7kmO9OOhP6-WUSKQ!e6hZ z6r&vED}pcK^)^F|pd89j&+<#rjP3cRX{sZ6)RCxfM%G{>dMz6vgN2iW- zDHQa9X>7g4O0S+4o<}_bTpIB}i6DJ!6|YY}3D!laLpx?uChs;uV;C<8DS=!rnyxii z-QxC%nGY`6fuHP<2B-^-68S9c#T7?`GYY2zX2!8^9p>iSlk{ z&dH1O?(=V6Ka>LV;*zjoR?rX}TJfHn@j{!NaY79kPG_11c#C8JL{@j)O2g2P;2Pf& zTuEY0gYBaa68L4ebW{^uqrFR^x9vG%IhSPfk(|v$s;uJr5S9inul*U_2&&Wz#+wah zgDRrpxM@3cGK?h=ueJnRBmqEq<3pb>txjepMt3bOCz_>FYwZ0=L(+8MVNJ$9_poY< zq|?H$H&CZn*W03rc_RFprJP{5HeMN$!!QWuxN$0%zH3?CbFJVul;%`H(ma!DWjv%D zS_5p!ZVsftBqb`vwQ&&kP7S6f;)Pbc zpx9WTzL2Z+P?;9Edwgw?OH(>G^gE>2L$8T7Eu8*lC4#Tcn)_(}Zq|F__7Dn{SGnV^ zu~Cpl801qJVGHq^jJFoACHK9#ctAv>V4$!qh~L#Xz<>%{qO&i$T9&JFMdj7}I&nfr z$nAkuPJ51$@#3^NX%rD0?|3C%vTbP)ghvSh7^*OO3gN!gWFRTyjwqORQkp@y^^~TIN{vT5gk|V_&zV;{ zpjC4PqZ{nvaH!q6@_?@ZFB@1^q&=|pf#xH<@3KHhntsa7O?I^(sErh(85=T-^zCBx zZresnPJ)!rs5t>WVS^EmtjtbR?;my=ld*{bn>aRP8GKqCeuAAEV|06 z#yfspylQu&dSFfvm9@|GSGFc<3S^6%p?fsYS9ZO}w^OOX#&Jmvr&90gQo?5eWr--- zi>rLF9X+!f6$h-^vIue-l=GsKuO2n6To!>@{pvTPp+X)a0oRbVN_%Q+K^^xQ$U%v1 z99wn6mc`S?glgW6AO8OL$MUXe+W}pJR&u>Uk14^rr<6F06 zQy3di@er-TF%LL5?i<^c$}`yPXncZYx4TL|hGW}kUV!b;9N*m*l1-;FY^;WpT+6THIlQ+GoKH8o zx7$unZN^l+VPUJ=1(hiS<+z{GAI5sQq^aO;=DlCgu21n~+6Q($*hS=bw1vc|E}0|0 z9YYi1=t6?i8AsQjfBdhXUw?tP)~gziM$zz)B0mMy$5EPcx=~B<*@es&Ti=gkNFu(c z+-qP+*~ygznhxCxH7aC_9(RYKPeD1|aTdZpkEvG+9;`n$SVoInSgK#%YUtaBp9LQq@sEt$@$S$-;}x+zK~YsI4*S zr@ryQ`Tu_WtB-&6o6p{V@kRP``T6a4U%mh6*ME}s*ZH5*FZ$-&cVB+?zWn9$_g}pG zuD-y#Prv#77jNIbKg|F7l3DDmT5QhV7(;1}qNh;_Wq2+Jd4*%r4HT={B3 z@PyZh6zNor8ZuU~CaT617%4EHq`*w5Iam{zN$>V{>S!VW+G1F@kR2}?4~tgk)QQkN zlg9I#+8sC6>cL2FzOn+>)6XUyx6oL-Pr%p~frDu{QBX<}i*<0B=t%^-6{~h*EzmZd z4tLwUc8WtO7FaG05oXm<(k}#oMn#zi@WR@zlmepUg`o#Wu8uJ;3C#bQwu(4^(4@KH znm9yJZ%Y`4DWq?gFnZ4WZqIubJHVt5CE;;XPFjO{`4R=FeV3Vmk_~Zi3jU$CsJVEU^b&;F&@V z?l|U}n`HFjNU9xk!^(6#BWSuHum@Ki1YJShyiSyQk>Y>o5M^PmjI z4P)i&q)!8mUr6H`m_N-5vUgj9YonpVcXV#Bkjk)v3NB7MmwlW(Tbv&CG7=<9?ijz% zB4ka~8?w2zggUKJ%ndEL=0<_TAQ@EB^2#_~Zi)2I6dgx;FnK8pD`NFBG6Kt5wm8_I zP}6dV<|QH7D-{&Y12pVOfKFsE_Q6&}Sr${PWd%$GSBixz#UrTE)@JbZ;IN==oq0jq zyQa}890$TExIyQcm7%RGy+$^D>?!Ix5lj(`L!!TZ=&g!y=CD>@hWHl;11-)H`n$raXXM}WeO%qhzW zJ9PUgVK<}yHWBP(Y`|J#e3#=^>Fx2^qux@FsJAeYY=q61&ZX_ZSF-{q)}pOea93Ng z%xrLp=R+F5%&w3Von2`xpIWb1^L@>ZQO%ACv_bkEb1o@A`C@m8Vo5fFZdwn!7W7AF z?|_-hS_aT44%0x!g#ge4&N^|#!GbNaRFQzH-Xys-f=nO}N9-wTmA?;|93drR)aK+h zo8+#ha;C~RO-?gyl-xp0Ko)EvpLbWd@1&XnR5`Kjyd1z0`t`lTeS6Z>F<2sU;! z6O)kN!~oVe@Wfdx*{a?i%xbvMx*<^Qv!HemzMD31cwwW71al~nWPs>?{UpVc-mDTd zq@U^_L}$#!5%Q14V!NZtof`_8feg+dRtJpP-;g_nLX`3?JqZ2#Uga`x?5T_<_AZHR z(#~!16&?tBaFi>|Ot7*7{?if>1jc}|aDf2D&;~b&*xRCt^J6i@QHRlO=o&`dL8Vie z_vnU&0K-KA4T{*K5CF?4Ci(3uzV2$R&~kqjo@b(Bqz4CgfYOwr8b4k>2ovf%szFiJ zx|YfxX>v$v8o`$bsI`$Yy^hHqwT+`^+P-=H4a-Xa<4Kw+Z;P@P zrC0{0${EzuMcGeCVEQ9>XFHut0d;#it6?dbEtb=^8%K|Lun~GhzqzR^!uL7fXVR+;bFdqQ576iNyk)M4+1(^9_ zE30cphpd*r->jA+O^}T17LFaC?mIPSc`mr>c9~=6K!^3yJVLfqZXBiS!a(N9VfTZ99G;sbGYG-^~x&l^11gxWCtcXXr3PV4$|=Ci>fuG18cVsp_XTvsys?OhbW$+e*Ok zwiAd;OTgX|)sJ0N%3%#1rKTqi90gg5gXGxW*b1gqV-Sl1dwS{;MmsPKxFSyRl)AY{ z(O;^LDA^dj8HjI^H@j$3^b?bUiYkI_O01GWLuO7QQUvfeW9j%wt*Go0M7CQ+Tr?*x8akF4LH_07{PlJ|UW! zz-}CLyGWbs6b#U11KiX=dZPB$%&!3tFmrgK{c2tjTs@Y%T}6QD=w3BJoQGouVSE=t za-vAf1X(;F1@Uj(k55rLrf?|knq-$YbP0dkQ8`{9N^sii(fa9z`t`PEP_S-10gZa$ zT>&q&J*G1~tdC9=Su?gHO=^le+BRK($FjCf<{Pj3Q0Se6!bJ+r^j{kRqcp349ir%U z6DIUX2LtQSego1Kx|u*j;e9`&evTS%&vEcI!L>2rf&K2)F1*|lFJU^^m7*d=9P@Qy z+8aG^=(Hx=i>9j&QDDTTgFR@o{8Y3R%qncdYRom0-iMf^XAk$JezqrF#Vrbs0B(2O zu+W^Wp1)xJq1za0Vw6Xxx&Ea&G0e#_oS1pkSH}q5h&$3A= zg}(e@s!&a5p@}JPr=ilP!?E6JhP}Z@G=oj(bn7q~t&1g&k00TmYR7YG!V8L zL?bKM&}PD}{OLb`{_y^XKmO@&A2|8)*^l3U`0aH;+vK_pVY+gRP`_Os zNRt5B>r5uKy6^Y6_G-gSDP(c?+g+?Nnz*#h(`8)B)J92IC0m$FUQo!Ar4!K?z0qBjScWvlj?<)5~{T_9TrJ$qL^yl79MYoZJEKOG> zt&C7qrwFnLuD_M~Rn3jvE35N?GG3uLG=su@wfqOfT*`!2QbmpC; z;(@Q9o_u}|{!llE{9;89PPURVa$xbYs?koq8(?&&RE-gVN|*j>=XBldim2vlUzM?r zRS*!khY2lya88a^rMA57IQr5#Z_l84NtToZZA)xq_#KR?Le`1Tn(>$8AHohuQIc+A+L~HDy z!yFWN+cLkx?Q0AM>I8eOjL3Q1D=gTR+1@GbTz;0Owskbb&dF> z^-sQg0AF`T(UW=5AZN~KX^Uv-X+)h~v8r5@Kep1CH<@{$Ngio03>Yuh=(}SD2xD@R zz`LYSr%6#qFirpM>yW+^KbZ^Lw~>WZH^YPNw*&}R7kSfiir?DiuiUsK2#`Cf=2n-i z{84X9?wSk_J~+6XdVR$7!GY^>5HB$lgcO)(jj2 ztW&VH<5)j}3B7JczA2Ktfo8L&nI*`OCD0o|^(hOEsn}U>w*&oh$6A&P1S(GBC>$(6 zD92Kz0Uw+e$z?2Wwda{V5ar-_&Z|*Jz&C-zZ9r;iaw(s$8pD1*uZ_gXh*aX&mVb}V>nxvph3 z%g^rhn83doc4kP}4DIHLuvrFMSMwu7`q6t~)8pRuIk${y+krowR&++Y&i z^~XZaE?~Ez5lmN-UXJvC`?r7my_P5npPt+i{j$U;!W!`h7TnvI4(-7KXex68@qY+W z#!@c{xP-h9K}}Z8^7}i1vP4&lR<$N!?=*vIVm1N}OrUfC@?)y$m(Owe$WZ zf%R85kc+#RxS3hpe0XEZQDK=9D{zEozazb&tu;|;*U5@%tV-t@bbPx?N43eU+UzE!2GuD7g4^aJOpJ#mjgw#T z<^ptBrwewu#6J>2^#CN*sJas00R=MH`+3|gC4gYWI}BPFcbD;|MiFe zEPmUfN5T+8St6`|^nl_;yh^snwG^h9<(b!e(>8V;LQ)!G_x{1ZmX(*m6i|Qj<^`+C&y+L8Hz3X*b-$;AuI4JP+QhBZa3sYbY8`VaxC0fJpb55@>es54s8Id zdu3PMgw3uKuNa(8n$ao=ntpP)huo&5 zI78j}C+JRaLdo&lSc&m|zbiEWdZ#uw z5V0B%fa>51HU=>s(!z+mQSVBQp3dgj`ZM`oaTkcgqk=gX=vbQJcnGwnkan*Ajhm|P zQbTsDsO`9ldR_JLEe!{A?s=cX)#@-3z(*;ib6Me;r{I zzgz~HO1gYWC0&zy+OTO=0dJ4S3X_8F96gy#ys49C`BJUShIm5S6DmM9{UpQ>aZyrN z0QlE4W3VTin-dTPMFRHoCbF>eAmu2;g94PQV&ED91rn|fx@T-`wZ_bdK>DOWkE z`i8>-ijaX0C!#Bsx>>}F8sRP{{eFAp+SF= zN)PTZa;+{%#CnB6e4)H?o1!A-4T+UzVx%x*Z@kqOZX|q?<4|%(!j@XbaWzm#u@Kx!4k|}+!Z?+dq3S%k(CQWq{neBno%zo$KrJD} zhPV|*;f_Bv?b93bNPN{i5?|#=D-K-*wxl$Nga+5jIrF|dY+;_Lu9j9ik{=gkvw_?J zOlFO@sQ{Tx232&CFo+BVJveD%PA28$wq3`uh;dVJ5+MT-i9({%?aEml33x*44CwV4 z$UMT~6H+aX+P;c15iG+^EaHDuBqCvXYjso`g7Yv!geqNFExba19AChJW|Ug52_s_C zv|Yvo)E*)Bia^t^ zfI1gpSJ-8rqnlT02WURtHj^m?SPU3B{Q=lhCWxDDk1sfi%7o=g-7dd^)BYj9LUKnG z_MbQ$lfq}@fHM){hfvlwlR{@FOj*(en8^|AU=|>js}8&lYU^)qZtugKYI4tBN)7aH z(l!CdQzE_G z$~=5|bdTzrg)kmUP@w>jKySa%8o6uPG4f4Uka-nOUEDm6V9+>hZd4hGO{*v^k`jXR zMr=di9qK98awA{P-h+IgUH)eD$fn_}Al544i9u7xIfheOq%_W0skLIAcl9krj$?0` zZPe-)n~It(z%%*`3vYcP{`mUCAHN4g^b;s_$51BhW(smL9lcvrAt3*Oxp}Xb*3-%2 zw4sH&f>kZyb=}0&6Y-@t^5xqGwb169p(GWtr#IHd?qrwGTh#0(nQJ*k&eJ(m$&=T3 zVDj^aLv4X6+lW_%IGvD>cv=Lkx}Y{6QRIlX*zJUrFPQt9-0A7Rwwohqn#3!HEV$AA zv6l##UrK!_N8ifeg4<-V>09I2FlQTWMFP6fHN>Kulds;OVXU>wU)?45s+PPBvEjLa zY#Fw;5>LsbwY5%|mNa*|X~w#*xn9`>+^h6YbuiKOcrxSpYEe`xxm=d0d`11$WlRHO z$6^T?8A%Uj*LFz(ji)xe|iWx&OjVHdH9R5Zoj=fkeuTnT~Fqz)wDi;WIS zQ)dVwX^t(1Nxr8wR*^3HQ!{I8l#B84K^YF-;aOw@vnaK+50cPNPjLrzy0p3_aFWG zPtyK6|8x39-+cS-%g^4IzkL4wi+A7E7kKySH^2Yl?c4YBU6OpHkb>?C7BRVEjG*tj z%OnABqlX7mUQOjU+hg6LY*GU0?G$CyJaoSaOi25BfYX(I7!t4^efOGfU5*~!)0s@_ zQ5u8Ni&E-Og3(XA1S&$pLTYltOqI^Qt4)^CiDd?xbfO}s%8_uSj%Fa0?XV@nK-KnE z6_ER@R0qx6$^cOl9W{ju;(6oxluCz~dz0!Ttw#IL&$$MnNQ8ST>;g8$LKy zA2wAHp!;h50L^7Wl_4^Loe1771p8(2rK>j1hh-bL>DhNx}Z5gtptxIt#Qmu)3S=JhLi+(Q&y-P%nfsbJ5?p`8O^$@NgK@L@UVV zBd=YnkY5Tg5Vwp_g?H1Eaa2wr8auT)U2dJ-fOu?zl&_LE8T3PBTbZr#S$bdWjMq>N zJmzBwg^#6P*qRe58p1t;)N52?m=r@m6_h}u$m^9!MAE&{iDg8@1>!x9_=ZW4cHT-x zmqRiWltC4%LDE*s*0rMI(DJ+JlpL#!WtjS^%Gl|C(NFeEYe0n@-3QTBfE=c}(Z@Y$ z0op}!{DF{ckXh2mXsf{R5IhF+g*p8*x$7$#t20ftkK##OH;N~Zc>AJ*481P3*j;{0 zE_!Of*BB(H*9w@xW)*p(Yu(&N?guuo#T@Qm18HkF>C@}Z9d&T=6TRF`)Q=N4BQt3i z`XuB85G~LtCQ_M#357cdaeRhog6@)#Vr&xRD-IOTWhaw>6=L*=Vi9vkC%O@N9J4tQ z%?saj*k;9`s2IbEj6m25Vc-zhF!MN~hMlFhfTpV*TDv%C=t#~*2qeeA#5Cb?5FvIl zY#Gmi&8yZqUYo|ByW7nyQL+ z9q~S+RdwUmw@oMNO}*6%DjgLmzN*sE(Wi@{6*oOuZ^dm~|u?)4VeTFhU3fVE|DgB(z!G4 z<#xZ=w}`%gDIv%~LTWCV-`QEAeWTa+4JOBw&-70)9LGX;TY6F9v-6i&NCm?*>j)a= z;K2l-+hUjg04hPY5!1RUoK3kvlUp6@=QjE{B!VD<%LbsgN(C|Zh3WDj{4ufm*2 zW?<~kFxJKm_?op5C|;t%BsCpYkx`f>|dkS?=jU$xVvD*`$fB9Yl`i&3{S{ zl|31iUoX{|DuniyT#!bP;%4h))(sz95}Op?ZK&)vMJ?JCwR6eRh}HgN97Sb~wpy}j z5%YKTxH~R!+5r4y8xvm@dF;k9Vl#4F#)Y=}g4#=}FASZTD_K=8kJdU@6xg5=>M)-4QQ0tR&^^H9zx7VQeq0z$Wyw9SlL*k z+hH@j$bOGkfN@zv{1Qc1;bVNN6_`zxQKRu{N{G}WOP(=fv9DrQh8EX!#$zMtj9!-Y zgO@e&7n6^mYFnOVUyULhO}#1@^31katO~6ux;3j=t!SsPKzHpX zFu62=O{W-?#9?dBzcJQ9Vr2Y*#ePNfrcelDM!)SWkXl%?zT@7Cs!Wd;X!6)B21WNg zXIYP;ewTfw+U;v?)3S#vX$QAq5^qsbg6jYX|J8)~AsQVduH(JCA9&?X&wu~x_doxI zs}}tux!$d~?JK32F+S-k9h3QKPv>NQ9HOW8-=x{xrv}|>(ug{6t9w=5HeK!-Apwhh zr*xqnM*-Cnv<@N63O2DPC|<$&J9e!Hf?>9a|FICgUM({U>^_>5r>N3 zjO|#s2DLgglCNoO%bNj+oYWO}B1BV&y-l9Y%OaH;znzs^Z|j7CO0$}8FinmnNO3VA zo5BXy{cj(kj0l}X%!VgQAsOf>4`+LJ4FzZwE*0IO#K#;H`0Yn-NO9DOE?2WyR=^HS z3Pq6TW8dJQ32;aoS=uh_au87`OCYO@+yvFN>3mgUG+j&zb|&*h-4lG zvuM{&Cs#31!(CMZG+Vy++?#Gxa9KTx2VvXD1r7u`w0CgiSULLFmUr~&&r1Ep*aO6z#8wX*t!~e?@!K@a*ep09B}vBe z8m3LPMd+KV(G$G=*xzYfXrM)_Qe`C^>p17uxdr|<(0c!ESK0Y~7SXx^udi&YA$Sw9 zel9wuxYD~MI#za>lkJ{!&0R8Z%X01NFp+~KfXg3Iep0-YtZ4g^pF|WfV&kZE zg09#+c-Zb)wU_kA?M(4C2E3RlQVMA@5A3n?V2^`zqvg=eWMkctI;Hr*owGMembeg> z!2oZiQ>M&#<9lIAlWZ^@i^H6p&0F;4W4ABV6FNTNNj1}@(_z&=Cp9XOjHK#bxw-o5 z3rotBMG2ZH6QkS^yY9cRSq3hpB?7>rVE(1sbniPd%H$Uo`m{D$yV*s$Gqx7};C|WZ zoJ{9@rY+w{5Opau^PDW$kGdwY;3!k$nslwgR(hH%mL5N}r14cx^qX_iFM|p?AhV<5 zBjRb5T&_J@5y2#fbpoeR@E!!BHjVzb^`Uli{|=fxWU=}r2s*A1RpyS`7cJ^`WMP5q zn$%%=9|JVNphtz0gT~Anwq-@il!+bgI7NER)G0IR*W8lDOoI)&+yvfbxc0EiW*pGq zx(d?d91eghvQ%|!*byl*#PTtm?@8)-iz)d>OAGT1R8n~$Yo*gMtH(iXF_T#HB?tf6 zkKcdz?T4TL{Ff&LyV$7@{e{%}>+C9<(!@b1)OY_;*%C-7hwe$J{b+;;W1&gU``YSI zB5P7trj#;VjkL7H{g1c-7|%@fc7RL6ctlMbK2{tJ5fC5MJTTcU<3akzD31`FO0Z>0 z(n@#tt0Zhc_CQS162QM2rZ-IF8wMLsu*sC`(?>_k5CTt^Iez4E7 z^<^Bt7MC~f;^g;iv|tnMwsmXHh8#Dgyg3^O99OZft94q6JCy=vD(AVUp5VG8CUSno z1Iuf&os||a3*wB^NNRv5j5!5s$*X&=@iUx(N0!M0w>!{0LIs2ZDZ0LN1y2M6DjV!&A+ml{2dll z`-la#D+hx7kYpqjGCdhw6AebnOnm$foa#p^8s+RV6!wfN+rB!1)VoyKoq(PLe^lCr zgkznD+A8nInec9t*i&OK#pJr*yQ52=O|50L>Y_J`!?o!iII1$``>K81-?*6^a7UEp z;{69AOi(f`OlyFJ<1tZl2+86InKk9UkREP>)@mYJR}8oPr0HM}`--eVlKO2?m9VKE zj0-N0q%=nfaj1s}j&Ai3-9nk!Oj!6k>0`i}%D|;T6bbTUTf?N!IZLtD-Wkzsox8k> zT_36zn{%E8t=9W>;m)u=>k)1`04b}AN{R*xw+Oj5lO@U^rI8%SE3=%0X(IRJ!;Xxa zHmXggn%YQ-f^z1%L=OUXkmh-j$m#9=!Pc4gVjy-hzNHagXGcmNjUJ~~HzVH^o$f8Y ztbRk%19ke^O>#?IwO$qIo5S4I=@K<20QhB~dPV6tX_iFhe-fPQv>Ei9~ug zpybKA#+&Bpp+!MVx=puY+lc>=k1KT6h*#Aqw2tBax24dxoS3cxXPjLJ&a_8am%{kY z>Z}|2Sut)8%LMiN3yqj zO(L+ShOb{TKa>ta-)2_7%f$JEee-U;;}-c#T*FLvm%oH1a$hp;0hW+palI-?0tK0K zr*ee3$oQeV9ARYNvdp7{;keC4qnwx?O!@?Jk8dYJ*4mj*0H;wfgRT%KCH!+i~Lo~>Ts{BMQgAThmK+RL=DKLA6_)NZvA~4*L z3wByzwVk&C<-VZVW6~+QTUhjiL35gE?NMGRZI9ke$_dL!S~}2El7=_KQt!Z~WkfQV zLn-Xx)x($Hg3rh}FubHK%w`9hnMw8v(qc3Ce0^(Us9FOP!9skueY3h7a5KmnIzeNe zq=#a?4o)xW%sNA9a+Zq;Oh21$ftzrfF1}P@JhrY8(Zj3*H8LJIAZ29l7#FEztRalC zaGQ7}(6E4+_6KO@QjAqK4d~_8vAtT1MIY3e7Z4d{@9P)xL0=UGlgC`IDL}4FQzFH0 z7Q)gJGxy6W9#xHef;lt&uoo(=#H(^`)Yhd%V?ij39vGmTJ$Tnoq9UA@knQ%2X}(>A z+fg*$i`w)9ZQ}xEQ6z|1-nh9J5DmX&+M6X)gdI7)O3XY#ct$_#~s3Y@@1b04Bo&P&=@iA&KfAIn4zR4$%;;5SB~V*s2f~q1n53 z3bl-Pfl3e^=|HDk8ca}XPyD771QMDM(vxa-&`U0}Od?y!+l7o>MaSHCjZ%blH)qr$ ztP0#+c2c6u5`?f>%oh4VHD%95=KB>|?c{?BZ7b6~Q>6({O;9@HHp}qVnXq&}7#PoE z_SHbn+)dai(rRqK-#4*7Gatc&WA^7`FS70#a2uvHl`~P){ewO+s#Fh4}(x44R~rE1Fdz!L|*eMu53KNCZ1b zI7K;Md~i-q?8PWW9~x{K!4kvZ)J;$Y9T?xk4vWo>#qIBg9Xm;R0umjsp^`f&XUwCN zZ`!ngiYAI1+yp1>FT12Djk@A(Qk2c%1qCG;>^fM_deAuX%mQ+|&yX%ppIik6$hP!- z%h`>cRJ3mx)$XREyPWC8)=t*4(1<|BfD0+JCKMZ$1~5=$T$5X#sgQ5yV~@5}`k=VH zr7m=XUz#nwP99mYl%?oPm{~s1T97>$9_*XTfBo>ke*Ed5+)+>x`QEx!OkXv>k%T_3 z5s@<_&p>+0BE-*tTVf|zWGeCHvrQn_2DXaf22zYFAPLiRKh(kra%#QA=BhSl9;H#i z<$Mb~z|}d)y{RneK!68Nv4I++fXmGkJ$Z+Q-Bc!zSqX{zmBk=8|_-^8vV?9>A}&1N`~N|N8m$7cjye@LNsti8#Jl z0stQzRX7K`-ktX3ZeVDQvUX_ZG&C5S$)>`b5sHwyk+5WcF~hq2PIqf`6y&mq*G&!w zHEzzf_0V>o4_gKpEN<|M8;ew%lyw~yBQI~OW)bCnn!?JRaXQU$%Qt_O^Y3(QDK2p% zalZ35Au1RN9W&^|hw(=8EC33AfkSQ`1C-P5m=Vky`>I+9R_S$4yP-OityxpeX^KL| zf=n?+tOoYFn~~7l;LP~lK|f>)QH1=CBk_-xVuRQ+#1sg|@Ji&t^^Fwx!mnfB^tM3t z&Vt$X1>3MTWRm+I5!<)Q+K^@Z;Qntx4Uj^{CBnj;nvLRusJYa@jGebth|_-!dB`BB z9hUHGB*2M{NRzs3`VwC~;gZ@~+;l|qUt29)?f@qz6>}hz6u~UXvER_7u3Ms%+|II^ z#BL!!roRhpe$r%KID#E~)8oyXB7ywE4dE;L2pEy@h*;-5Ou7m<$OiN-LZf~SVK$ej z;7quqj_SQ3$WbUv>+lQeKLHfo|#+^WI>k=89_XqE|Vam4B+vvfL(Zj@b-`6EZ zGm$ljh@*h~6-^}Kh-^Jf!|v!_sHY4C#J*c`F~Kkz=&n2o%4`#%fB_?9;_{X{cOgnl z_8%2cKm@>n=pe8y(v9e?V@|%zw5DFgIP?pvOA%n5(R&xp#{w)Gd%zq6ash`bQTqZ3 zd*lRwmS!9>>A|hDHGcZfpFh0+;g5g%8-8~^AuZ$2&G!2#cR^<+l~Q^Y6_Vc1S5#YQ zN?rH0=#&^BsIu+vXwHj!+q78zcw7^j6+KeI-a$S8bxWN;9Rv6lg zYc*rm)S457{qZ{PK(UVoewkl&|@?@&)l@Ega zms-t`xSx^>2+)X0i|qb*c6q$Bw&qxj>2h0hF78(0L{n)ftT+uAn}s^D1S2HF@4qiQK|< z+!cbXErm`uscvF~)Wdx@ z^XO~<(eWU*x?5M}l92GNyM36llVlw*SwXT66FQ9CJJ7|rx%wQL4tu#PIt$hNu|q8i zznse0+B@7n`hk_}4os|Nk-#)xw^5@6wh6D&BTe{oNe#2YLoO(wtK{IEhnZb-(T^qY zM#tqg^T)*!c>n9xX<^$wA1l>3vg8lBFA2WE%U1G!;xbvu3cW6Zrga1BT|T1)fwTkh(W$l_P@#_J#T2un>OQ11`OXSq)5p1z2x|6Bgn$g#6cO>RG)*JN zx7-_rFN|7r(~c4P?5LJMd#HxufDKvCO)i)U?>7S3A}VoYC216!+ML8{8C1n*EGsQo zuLPnUK6E|Ni%eoJylTDe&1!C_T~c4Fc=yc>ooOghZVO{^J6tQ1I$hUEXo;N^HA}Up z%mceUgh@gQgJYGAXma0o8u`=ruOA*$WH?!X5PW6ChdmRA9Y^Y6$5GY#Yd4f$e%%y_ z#MkBRq}jMujQeuyvu28b*l4#BG=f8UEo*E@Hb^5!ZzA2FV2ajZ@)v1FSCE zHPkx@ognHR;MAD3kF*Eg^sU&L(<;POMA}=yZk5;Lsyw}o8__f~42F&cKsq2|>pMZR zUK$i*i5h!{j%wWl>?LFS=t?tSYjm*7rw46XP|3lN!_k(FY=8i} zhIeHEjJ}xgkL1>>N*Wb}d6-hODYTZN#zneesK1YmXk=MPXtm3Uty?p^9dm)MX#Axw zm)KmEVnPogAjX8X?M5o9SLXlw@vlDq)o(s~|HT*S&*kU0-+lG|qhJ3?+F$2?PQUS+ zZ{L0S+57UB&)_zJ_g}ny`~E13@Ek=1g`(6{Nkmo^{9I{KIdgV=Hwmh4 z>pV+Q0mY~Z?DO5OzMKY_J+3r8%Gk42sCe#4t|{c8-KJJX9Em5L&8X=ZGojty{&ajI zCFlrHb5ww;?SxvolOuPc@>iN*sFh7YHc6wF3H8oTfH0k}H3pJ0-<2DN9g<;1hMk!b zlmH2d=(nsA+$pc?6BPLtfoSZH+D1%~x8MxNuww>l2(4QH~lhN^%2g6HMup zKzpu`_6buzl6!)#hA1vJbV@KFdlN_-5)O8aql>FjzM34^ukM;^f*jf)<$;2~04D2a zYj`OWF=&K9Dg~A|$Mnl%4tiUe1R=&{lHxRHH3Bt(NWE^~ht+%}p^yS%d*H@t`y@n>xms-=8{b^vH^DUDzc0u9CQJ*->)0 z|0+Amn=y85SGHUpR1R4K5O!!CJveZXgUW=X^#E(`h|@@T8xf+8x%w#qeJH`nu)^yM z8F&XvtBRz>cf*sWkcp^qJsi8K2e0giF$s+#bVF`EJh-N|4J=%BQl0U7)wFhPuQH5_ z->?ARtw3g?9@|er+Du&4g&8zhgdlB$iGF#vG{%mL#hRfjV<x2s(Lq4uz#RB}CDp z{HiOE!hb~h1?;$;#Qi2h=Rhf;E#~$2B-QZT$K`&(j*i6CMp#W-N?Pn3B_=z#4aE%W z9%YR;bNk)8kZYy-Znuw+mKjVi|4jYe;5ki-0dH>L6P8(^&@Y#3dS?;v;<2g!+$A*Y zL`40FG7wfQzv`P8&>$Zh)d?at7z2V?8NgO;rnds0S}lL~(^liCH1ImvIO1KYbtoDb zGc4ns(|-*zn9<7hN`LyVVcMHG=k6$*D3)QW7J{D`)hgi>%$`8bZBg*@EPP}bbcanN za%}IqpiUv89`|!>`Vic><&aq^}HBEp+7s#HMe zBvG+QSa3=wXRjCC!ncZ>rb%L{$Mnb~1}D8C`O_}}; z39nZ9Rz1-U&tpb5PutQw-BdZie4Fx_0-xU1EjNU68PD?48^=9H902x-un9U5SU1P= zh_#GY!O1ftrND&$t-h1x^J3+)+xeC@(eQ3A=l#inUz~CX? zs`o&8aN>RFmz829!H^C23-WJ^ZFX_AihfGC>a zNpW|kJM5*lDo(!G11$JNP@X|wD?$T-oFKCocr7H;WGxa~+_p0;&2`((pwfYf+P?h$ z*)~naLsq{{V!LFDYmwpe*zwYt|EVVbqU6;USc@T0KWcKE3cgP!!@5gzM%u^s8{5(c z)Z%nh4T=zDlhBJt6yd}neKWPLvw`+)i2LD;-Yysr9mla=y^l2uvj3vTLMi(vo&HNyW5u{2RS#Y&Sr? z=?QyP8$Y|THzYkV%Mkx_^tin?b-p3Tzu8KkD**#de36xl?L%MUw#QZ(MSzuA%L)v?{VO&eKTyHVoOboHK!c-8ES zpw0maT_VB8f&1f$7+Sl{*!=yE22$@a(XR9^X;d zDKE<^J|rmW(GA4c(2DmV{X}FE<4d28kHg;3fB)B0U%RaJs6y=GIa zx=AwBnV~pNVbOM5#7azf8g}K#@ZYuw%3BS%MAEEdy&eT`0n|>`*hHwTr;upA1p>jz z!q`UYJS+Fy<)quE|HB~Bzlv}OSR8PQS?~@l&V*U8qmm9= z1~H_bFh|#2QEC>nD_nDYu^Bgv$9I1?8lyyjJR(Qolm0H5 z`zv!s3i2EDBf0~Ge#An>^tkG{c{%rbfPd_)SXDc8g8RXUh!x>`QenJzN{H;VLxlc@ zhRZ$Ul3-r$Fcv$V5bWG?dSZBR`tm6r*?4y8FeC9U__zl5tj4O#K~R_3UP+tw1sfn4<&z0+$n?MmQ7!dtcOT9i0z^hYu|3?jI8pb6<|#Z z(wQOFQis0nEw5uwAsp|xqI1BrRHBfpT}9BGk2}nYOBHp+8dwgzQIF{>2UfvPoZW^arqOZX zDMC#xJ>W-Xs_A`4M&uld)w@p{!8c-+COC z4zP2l1Sjv&$7K#0ux%6AIkvz!la>;0V{bO`61g)P_rxs677OhIH71*9-m)fck0{5v z^n6cxJZ*Rt!`SMmI7E$8(RF7|N4^u04mV!A6EW$Lolk(=3#QS!&1};(zm%eU4fl}# zR|U#?Y4Ex%Ce$?e4tAnZH+Pi3{+=CWv+gMK`5d}-RGE??&enF-4k z&#Hn}HhvUO0Bz8HU?Z!XDKs0nFHr<;LP_%HKY#!F`-h+Z@n6`0P?*dKciQybQ?Nx{ zFBoi1x?Z+;n!j}-&g(#$-DV^OyyjiW7udEf_B~T;4Ca+iQ|?Y}q}tR@dm{HMq2qAi zB^e3FNgLJfDaW_DiZr)i2H`S3XrAArT%ISXT5ojZEhH-GSpib$3Xl_;69^vrR0u7N z)rUzfFYgY63OCLfGB+CKjEpqI_F=eG4iAo#D{t2Igls08v4#fnCb&B*uvHkUFhrK8 z5PMHrJV>EA>jV>4z#wsX=GqLjADzPVq^UJw0+x&&Hxtc1vUVT|%P@1qe;Ue@B4~Jj zl$v6&J7!0ZdMp)a6eu$`Y@vVywC*pUS>LQ`jV!FbP8Y9aCz@ z&=HkfN2P}j-?Zx+B@nc$dc2)yHcS*GN@1!0^c(4l8s@VMhYC6NsOdkaI9rD(6hrx6 z27}ISoHB8g2CIM5%YM-w&N56vf~9$@PC+64*y;=31Q{WtF!sfbk<)!)Xm zGetCCxlXKc0ef=4MP2F*qP!jo<{Hv)k6Aot0nE#3PX@7(-29J7boQ#H1M=Q|^th1eVz3 zXa`P->u0?bl^xA0`w+VuF{2*|4p=!@7*+%1UItslFbiUiNiUccZttK!Acw0bpz>bU zKQNT77fLA&8YvM>!z1`!G4edO-=QyM| zsf-(2t99uo&mrv&Jk^k*pVAk%?wJU*ERA^KT><*8z*8ABT+R_9!v#P9jKUoa9*>z& z?CuA?a8jaKrUZ4d5`vkAy@jydOthy@fk`dPeX) zew$D445DTWW#~FYZEvwXPKDuly^A=535-ji-S$2y{CDM*M_cNLm|Tp;CxR z%l%`>qKrH&3fv`qGj1qf-6DwAzB;CW2?#_*b0s1Uo>D&)B~2d+TW}9b2ZivoTNFGv&0E;YAHF%{{vicl*4sn`6P%w$ ze*xhzxN*>7*OS~D^_Qo}vgbQDuU6Ju160r=>K92c?Ue52gd0RW)AM?>4)ljyD-0#Z zDHZ2anmliVH*taF!cEL+wLtkvxS8eQac9;Rx{Da8ztwQph{8N%@@>U|(H-(}qRrDmNY5Efdg++;s--yXOuFyk{j_525}J=k-Pff z9j#mz8o1Ei9meh2${k`87Qvp5iE0PlcE7)48yl~n&Z9Yb1V?Q~FiHd6) z!{nY+%uEhehZKE!(j<&-vh87&I|KbRCQx@0%?-cGU8Gj$?DSUFac?mq-W2I}VJG1M z)6Cea-|c0?s2r$s@>PKK=?RLzcK?!y%Fvf(vi8(!y$aNu^n9vK-0Tx#ibuloNs=i; zGis67H421STA&^dbXO-n^e#R@ZWga0m$^F$wRVmgkzb>ZIvAOuma{sL8pQ__Y<2UMf8f7GF8@>06-5&)MsZ;D;&^d2_8;@>}gL3+OZ@y8^ctr{?H2UVJt}D~!)h0MO$? zu; zaoiGwVjhI5NB3|FSEx$9>1SZAEJ$0iey`!3I{)H9&TPl4R1J$EUh96Ws`%4NgAB${ zOxPp~Sq!F+E+-}0{O#3G&E#my9N#XEhg_9zQam~{cGelQREj0+Xe(RBfVF~oWCL0JF!lrvJ<( z3>EJt<9@rOimp9 zCea!8+;|sDCUUWju{y?fz@4VwzGZp1n%vB0vsQtMD}?dpcvCi-6Z2i}9D13fkc_sG z95}4c;I&CzI!7*SveK|FrXr)A;)IQXz|5mIfr04UPcp-3NR@CeX>dFy!-IY2Pd|=)$_e`6CRu!QlPcsCH=_$qxt2p_VHRfNe>P$CKNvY4%CcB{%@@15mVbFu4zM9Rd<$Cv1tr& z3!fZ>L)vvX`d)2rk(*pW%uzX1kL>I9oUAfmo-)96$|mg%dx&aJ3a{xSE)Q7m=qnIQ z?%bg_zdj?yWF5|W#lsQnA+Z?YgHx=bvJ$+I6vpCitoKH8X23YbdS0cT@T%^;9v3eO z&xC=NG@c$T72RsW@&d~+L8|;nXn-|{NhDn}Q0Y3u9Xv+;q4~bM8t`wX%9-R^T!Ze6 zvrRVVP1z$T>+G(1*(Q}u#*l#WP2zO#cW{Sksg?0x^*SR}`f6KgcRYjOZ4 zVnl3-++IaovJo{T@U`KNo(VKuXsWn$YVEdRgr&?d)qRN8-9cXId}7H<&~QIm>^IQR z%V7_Nu{6N>)6VtlG36Tsy?K;%nY%Zq{lK1>!t(W^z?)UjgRHo@pJe9Aa$98En<;cD zK#-{xL3TH5YlIxjW(^PR?I!Uw(-CGotk3v@V25Y*498*_@Bm1zCm;m|h9mQ6{oCWN z%3b~d|Aamur<%ZL;*=A)jCK@4IzrIx$SismQ@hP_1eO%7ofINoS+>yh?E)wlji7T3Z7iTWyp1>dnv|RaR_z` zj3F&5Oc6{ew@s~(HrcS-eI)5lFQ`s*G?af8s&Y8QkUhuVeFK63ZgLrSXKU2`Oivn3 z4o^F$pU1PfsEj=Fl$b_4{yy79jVrrTXT@^iu#bKXKqoUndRPuJ4|b8syNC%as9k#` zW<7)Or*X6%QK?$57f>^>tYR2S;%b%qC%Ms~e=BFWmycV|h+V>wMVW%5lsA|>0{6oO z=9Mr|Vs|8J1Xjw;gdtgLvICnN>eRR$Xs{Tq4aqO~gsC;e6O96NlvK#lQA4s$DJEr$ z9M#>X3R1P>>SM6foJT>bolFf}(1uT+B6qd7hlXB-D+H;)F2j|DIs6^bBRK_~uwp0RXiA*id zX9mC3isfcDUCobs#SLIcg-2;9aF|bOIMn<3?(=V6KeRRQ`waswKy4xHNJm|kIBywY z`U}veh2eJn+c~9tfozFj^^*>(jrd6^(1)fJLZupF9XcV)x4yV~pT9nX<#@*6Vv?7q zbS=>YMrTSWBQ{x8$YajD93ySbrFv_Y3!SaUQ5ELUD6f<8PSzmURj(hYQ?NE7w#4w# zw6eJOY3pnARIN$rhN2uaXc|+4uE)9HwW(ZT6)wNn^>nIOYaS}rGMGgS2zP5Fahwsr z)r>jk2}wbNcuf<^x{$YGNonBF!H1_1|M2)lq^{= zg2uM`Cr5i6>+Q8^CV6dfJ;mm1ZH92Vm1Tkb#_E~zFxIP-EDFZiDJb8Awoo^Ax6v3^ zf17jy3w6pRt6I!7`6luK8EJ!X~pW_8!^r)^_1=Doy& zc4lh8E#KO5K_kr})tXofW&c~%7_=r6W!bXe9TEr2a;W*fO?4JB;3uihtTOL_X=6(MvC0bMHYK{yIqcU`xG$`iqc64UG^6+XzuN)SS3(4Y>J_ z-vhw;A+ouN$2RoFg+p#pDtmVy@a1xQA7rVU5y=qUdeyKKt#Q7z{%~Eqk*z|D$jqV` z7R{~~BggWlmFrDu8_E#Kmo}}+xAUcWDa5$gh8aMYg(*|0QUR_T@z-BOYs;E23J};v zMw}FHsYKw8Enc$hWBX*0WL=yF0C}PisqmYzDTzvw8YICWA{~hHZGe2mo2PO;6GyDD zI>m3r7PQ#9CNfL|Rg_hlAONWabn9kiaZ@hA?F2zD97;$d=9Sd_+^qAnBD34O&zOeo znllyf0Nvvwzh*4A)_}}Vt;5&qTXG!W?D{&T$u>;RnNy_*-hmV!9E7gDLv$7^HtuHK z_GuWHO&{SFn4r3PH5FYo+yGBchD$>@<r&s_37W(ivDD@>=vm@uUgg@g#*hra8b0#l)m*NSpeg<=+GIlS$MUU?sg)et_rJER-G3U(&|G-h48^t(nD@8;x5Yn z_v2rE{Hx!5_Wp}6(x1!EZ@>HM{YSt4leE9i|D1m7H{ZVd^0W8lFQ32v;@x-kZQgzQ z&F{Z>`}Y0pC}OThjA#ZKB-b<@Vh(W82|IKc^d&=)PIU<$I#6qY2y6DpKms%c~7 z=}vV|82C)6eK_f-TJEuIVMa!dJIaXVaIXz?q1NT1Z*tjKgV-d(4v_+x&BVYSvWC{i zK#CaS`v63dhfOD&6j*K-T1|n~dlHE#oCBE$laX4BS~EF6R2h?F@XO_stRi1Y3rjWt zKISIx^1l8-j=ZT1Ph1#Sw<9i$Ns4XPSp!Idk$%|;OdsmuV0iWHgURnv^&;r}9!DTN z1#hI0riGI&N9ks0zBa0%y7awUdKOT(l^AP=@Yhz4cc>qBK=}G zeVP&h$Zl#dSl5tgFvnd*X;ob&$}Ov}CDW%uA;qHY#{8e1rlOO%uX`vq6d*TQ8$Nws zK?fDY^XWf-{_y^XKmO@&sMWrl@tV#cXOwQw;O1_!U0{}X(*wNVjQPh{waom}B_`u$ z?{mTGe#3;d)$p3)PAnoshxL{_dqAy|tRQtRaR{QKQtl*{wr^=O2<}591i41%oDN}> zeMwK&IU9GW54+c9Ix&(8M2&gUMVL@^rTqk)%*HpN{!Q-jH>=`MORz<40PZ8yA4Mc0 zYM`r0>WofSD@tF1HA64yqOx5NhZw>FD-=zIg4Iel4ooPl)*%Cy@`}6~2+||>4_iob zI!q`She_@AA54i{~VK0lD}4 zo+HyB)z*6(8(hzXonwTNzbHN|ZG@%$A8wB74c$*b9zl|9 zL>ngxwE&2*G`Ub_2GgTOn&7_p!#1*6*;*MLK5vqBZ=(U|g!Nh1%tyDW+n-o>q$~=b zLbzFisVb5q3Q&M4_X?Foa3Tkdk?l=Zg@Y6`j?wH5P)dljxeD-aBAXg98v(KHY@|4v zDeXu7yX~U)E0RN|EtbDBgm6{8lh=ii# z5tmCteYQJLWs%|FMCkngNl|}L&=d~CX{dOE&h%igCd;f)+ZTE4S+LXCwX#~<3|)dN zCpVnhI#r)1*;2C*``JykZxe)%83glmZq?6A@c-I|@Kvi3x*KYpqL&bKRRLxg0DKBu zh?@Z&$|nIb2pujlq1tgrdNV{SWLa|#A6IYDwNx5dsgk&%ykFoa;wQH{JaNPS`y1Q< zt($DzB%)`-*ABM0LrlqT+xo8|Y&w5Mt>bwnIhmAP|8s@y!E0S38Cn9Qz`nfV`OFrHRgfYwvdFwcmQ zk+3WJL2LEqrb#@)su)B1RDQ%%SR>D8KS1=3r)%%+!3?NXK~z?}4huWfjmPON@~a(D zOFxcwFI0GoJZAS30m>uuiq<&6Ld>25O><^}`}kT+UEk{b6bVc7 z28TvL%dwK;4|0O@lQS3*@Uk!w%6@2O!>R0iWpVC!K&QMl+dDE(nkYpH2&d`x==_;N(JdUTkRQTAPQ{)u*0kbB~K3t?Rq13`+x&$43Cia*8q z%2d4_RAhTTsw$BA^OCsT8Ftl4NRw8L6QC^EAgi7py6qox)F+9<=ud2J!h>xEWbs&s$z zu9Y53%rSlrqfzKi^104YEPW;c>qleRacJtYt9&l}kEA0m^yBpr;yz-!s|T1rb%a^W ztyvrSwQ2gHe`9JS?yI4W{n%z6 zJobt5h>x8JY7hl_Vq`V~ZCl6ih6ev9t}HX9+8SgJ^=!?G8@pvkca{hjlZDjK18?<5}^;Jm5~73EzEM z06i6a6llu_-wDTXm8Aw0z*dY3l|KjRUxKA&+>t9CfV-x8*!y=13SpXK^-PZIs#F)Y zbohpy;f$Txfsr#T8JZhwAtRlHYRpYScf^I*9n}GQ#&ic#gTEy2taqTQs~`iqlol#g zSN^!qB~4B0`u3@V@$%3p^hOG>W2#sq=!~|KNR45Zcz-#IMQzHd5f=r{osyrkQ9i(y z8|n0SjD9nJNI=n3pirO&Z5bw_&8}m$?whW{w#gbuinrXjmSgGuj^Ih&Sy)Ar5vk8W z^l?au$h9Kxca=XW|DL2N@3b$d_4yaID#-KL>Z#I{lvKU45yegf^cQZjkm{enE(z4n zw}#gU{RJ3_yRS}KIHQd?B}}u!k(O{;1d7NIa%8@`9r^AO#nsK3x)#AsvUP{;&}Kh! zy#QuwS&@^nZqeT%gJ4?hxUB&x;c8U)n^}lzX|8nBYs1_1H$v8!V?L&R1Q|0j2cx>> z4{2zORP&-=#*Odk9aGEH!+y&4x@%&T>X|KKD|nPDGZwym1?7gvMWmWYMmyjOVz3EW z{hkY{Lj$dY5}cZfLx%UOF!ueW*j=3Uv&gL2vrToBZ9KY1=8XD`>Is0yw-! z0<~r#o>FGz6Ji13@E!^-QFI}Bo0m3F14^vw`b>KSDouxGdL~!m@xV&m*$2AYBuhCL zP9}|eKKK}Y_IZVu8Y$&N5qKwXj`NlFX*(!0D=V&P!FCw*;NGl!VlpjZ7{ZXFjC>|? zU|}F}M=BpDq3Mxh9K?WJ)6fcN_p-bS_kv;g-kf*?O{udT$untn)WSa|JN~bPH?e*% z@s#B|@B*t|6M%)TanD$BdN9u%jdf{i+&NYX2C5tgka}r^!1k7f-h@k4!4#||`gM8L zDjwVl;&SXvdu@Vtd(LTTRd?4!jt*GhG|2u*uXoX1II6o~g=M%VISW<)MYFrBph{sI zkaz{UQbX6}*~o#uyU5%u3skbWl5PsYQj88#eDcZ8>bwOiK(Bo0?YrQ=rmHlFV%u zV#$j>Cw946o~zqMmsPrQ)NSQJP$PSI84LNki;B#rHGaROG>@f7<8mdS5XH>fm6a~3 z;J9%O=M*%b)CO?6J>|$On2`s%Kni8}MGe{4$Nc$M{Q&mFLl7)CB$~(J-oFtY)rQ8B zC3C=4hDv`=|}w zhJ8v~@%(~ zP65g&IFnCKp@=>MQ1#z(^M5f|9TQ4C39@GO+~}coDfw0&?zT7ES2=&iSvmg|n%w#8 zwbIaNbi7hKNi*|>>Na45#AJl3Aa>sh2+{mda+%h={1zK?#A~mQTt@%%K}!Fv9(aoj zDl90q(0~qq(%k;yN_@xzq1uT~UIawOmTycQl`fw_32_lKzovVxa4Q!2w1G;SPb*)3 z@)UJP*JTZC8eIuOP+xBFl&I^7$%XQkm5X%A=ri_ma_A|Sq}=M>pcSK5X-Vl0SCq9gj7B+VIuSLmZm325BIZs+L!+Ju>4sI!xh9H=OAtoY_ zn9Pfbc&8QQ6@jI^e4XqPQv2yKTil7}cH-k`-~3PZCRfZFO6$fJ?KhF~#s-zFE9&p=)!@JqL_5oQRQm4sk5(_Z`!#^1T zYYM^*OJ}aj$CMjgq7PC{!q zAltBH`^e5nXg-*3xNHUD0}?|$EeXYw1{K~m{O9VH(c}e8phrw1g2lJPZF8RbifQS1 zyPHvA5(GdYWKIm*5^|q)=E^qje)r2(w@rfGwCSQ5t@+=dGUq5gr$59~;Yw2Fjn3d9 z=y*)X#0ivKgmWdd#wZBcj{wgHNSaEGgNxdW=KRV)y^^c|V{g|$L*40f*^us>7|zII zdTEx}U4Smsv#6{~?$J0a*%;W{M8#F^Hkr5z?~*kG@y`$?4zgs!J^|J>DrmS-WfOU` z8YYI?8*ig18(LXpAbaj-*m4ax`aG!;80furG}#k*tTILE>=Az7V@h8zTG{=#y05 zaslIEt}?yX6>goS z=w<O1ZC7vI4Q*q0iZ^nC%YG0lKPcD&V#@_MQ!`yC}>n0OmTzi(M>|CnS7m`DEW z4+NGIm*H@m=nB<%bxUZ^lIJHf^O4?&43}iZ`W9xKDE;bg3Y$q1a>;UIv|1XS4EQzD z=*7Q{LrJKGP@r|wyUsan)d{09H3ePCj*t8!973-=QkBHoFr^0f2036A%&|h#vtP&} z6j>1!+AJ>bOoKeT71wzagLZcvxb}mzSy0nE^OF7+ZL_42cnRrBisi*K6`AuKFaS;t z@XK>)$joS{OKt$pnxU`O${@;I%sRp-K=r+fI%8j=69+~%b@0+@b+^0n3ceNMy{*H+ z@d{u)Y`Y*D0q}`D1PX-NGp|aEdp?u%lA4XdtOqZvn<`OFIU5Kh_$k(1V*wunX@+^! zl+;2bFhieMDHUdvl+G?yXH8m&6ft4L?n;h5Bb6d!-237N_?yY_81F56L)P074cv_<5n>$pf4AT{4WaQFH}>CTYmo;jYMRAxqQ5FJ z=m$2I)mt>OI`q25uT|#roYEyOJAhbm1VX6#*ox~R;Ww=rBFW3K8 zn^Q_OmOpfPgZ1Tj4nDMx7rQPZXrF5sa7}v3cX?Klv$HF* z^PA4APE>YUNNl1T05=qd7jar@tHRgv@`eAnl_Z(j6cjjw;!S%co0K#&%F_6Dfi$3E z?=$Qqp(nqo|Ksi)^SpyBL znFFhQwkwow=Kt>~lmcGMf_^qD@7s#RUxjd;xe?SBz6Mq zqV|lj5MA+=J=Kjo^QBe`P$gk>QgS$KJPk&mv%EYaT26|@(b@C${* z%}fb;CfcZW!phA2UXXrPPP-W61JE|!5yq7uw)!r9Ldbwm zP@BGEJzSLWi4wbG)WgBm{N3K#BYhVGd`41*eRTqPfSp`IKD~#u6_debpqf~;-S4`q zU@p7)h`^;~b3L~Cdu6$FUA~%V$ESF#22Vm_ZlYBpo=j{cW~0>k*@$UhZQb_jWX`K> z83Q|uTg7;W3o~-EqZA%DxoU!baEqSw`0hN5V=_n|ttC)hjICSAeMW1&9oFio7DON) z8EG1O6@Y807Tn5a#0E|0X4)0k=yq1mdDo0R&A_@P3oTo3?qiF-meMW-XWhgKmdXSb zfU%ZxE-&pId;SzO6V9oFySC&nR})G*lw49M+m=zKm(rF{Zczh>F;fE(=kE9^ZzZGL z&g%>)0`6lqs(aQvq=q32I@41MC``1VI2BkTLCBfSN@XG=AaU;KS*W)r40a&iG(lC$ zlO+lsJvR^TA`>B&Z7)&_OGQ~|hs82{6#h2V!iNjlA?b0PSk!B6P%bi)Rrame&H>#l zu%|2~O4T-yT7%LP&|ZUQ4S|^RSsRqDy1jjW(?7XM%&P4glApe)_>)|xpX!!(W&~TB zTN?h(3KbD!{%~5cP75IRVS?+Nf5}TyOxuvYM(QNf7W%jlj1po|>zqtbCoKgPd!Ve$ zzdNg`X&kxG`Gb?WBgACM4}U~2+DfyXG*zjCSjrvScQ;qaMYJH?NiQ?sG(@ekER6@c zU1+HCvOLc1775FxMcl~&Ti4MB=-{Ky*ewHL_?J*S6)Kq-G4PX4jl-LneqVs7Agmgw zgZJE703>coKU2Si<-lvXS-&hL#c7>W0)@wRbKHE69?o;yDb!0ms`Oln?Ij|!%)X>l z8i6x{KcbOpVlcIE|J?KEG?=A%$Ab%ai!FIH=-}#2{@;*ikj+BM<^PJ zta)^s+_`)}UY;LPbr4kLka3n zE>|{}J%oWc0$7p;s8vd~GAG&ClmJp^L!{SIJ~y?nENVO9TeE64Jw*qGYaynBXpjBf zP>Aw|ek|1(6D8nA>@+SHVnkFBW~r1L+gK8_mM=+kk@skCyCbT~P|euxiJF>2i+xOT zcjc{ICFUL1mpq$~_oHI(dffN=9$Iu4x-E>m{2tY?ki1cQFu#yy6=EO9G7P7PN=Yew zrHRs6((7j-YLW*GmsTtif@uzjO)BjiV1y$;nWrs>^R7F zKej{qT#2@+95DGeXA4 zc2xbc=r(|5N|3j)fm}nh1O2F%`_vB<7fR)>e(%x_6EnIY8;LqO)<%<_?|Ki}txL|Hf3r*XV1R0IbmrD;Ctoq10Oe*!Oo;0hlw?rUR_=wuBF2RoC78l*jy z+s&>*EM0`f$&7WwaC<|6HD|%$uJiDQkHqiZEz?vOcLK3|i{kO^zY}e;`^YyyX@L{S zb6JChBu38C$rxz_#^sQQKPkgh%%$wF(~Ql>wnLfzEi#}IIq(7-IHU6BfGrg)YVXJX|W`3_b~ST z-v5`whIc|Et=`gPp|XsL6sxAT-N>Hb9kHvGm%_$IQjKdADeA}sqz3RMosj4?-F&k& z!D3WP##oL!SoE86<<>cACQT7)-cUnXxb?rn&MA?Z*=E{#NT;|jdoAkZ(a^@`&Co8E zC&EzR25paF-FcrxKk~-0yAi0sZj4|JAXr{kCRT`7nmpq{rzUcSTr_#GQrSc?xOB#X z=8|Q|(QZ4HGH;s^H`Fz;(d=4>J-AdtxzSB7_Gs!)VvbxhaWtJkV(JfZ>XRwes5kFy z0j$fq=P(AoH*4HA$n(ni?+R?tS?*#`MN>pLqBj~)qBQf3?Ic^dfRB1!X>1(Lgs4w| zHX26OfzvbqjIBQ1tI_`XLetst>+u7kvcFX<8nfdZ`s#{)B^vuX7bFR3PEKAd1?8hT zyyGE_ym5p&q5x%9_9zucDTvy@O8*+wV1q>!$}kW35;=<#o&Z^g;p?powe9JA9om+p?d4y^5}kG3 zo&>BO=d^e3U3F#g(UHr1@rG+FOKfs|i)ds)f#@t_m)?avT#r7KG-$7Be662?wRq89 zJLV$RilpuMM+)Cq18eidQ2Kn9h?TTfSTwsiFWQLvBymx87H4+U%DJ~kgkX<0KL z#c0>QHm$-p&~M2&)re!SvIr3~E6yWW#B_|Ji(6%({?P%v+i}KO>hA9sGDiWk?Tg+m zC93t5_UNrW!)poQ+f*31spA3*bXKYYT|Thx$dA#}5es=mFBkZ6L183OXJFqvBjVSr zusy)%%c`Udl&L1 zsp?V3gOM5I=-&F`#7ifpmRk(RJn3Z&Bn`l1&B*V8E8rx~>> zUr)_#slOO6LSN!*DZqT>R?T`jJwJy@pRP8_yb&81sKvP0@>Cj6LL7y=1fDcJ&lmer zL!?cJEe+ET0U=U5u-;;rQ{_7patgosno$ZK8e()E+*{z)wFIy4%_18f9TKD_f_6FD zTG^rDo)`S_l*j+a<97)E_lbQeSO2fbwd>~!Trf*YsPZ$!dOUI6wMms5xL{0+_a^`b z1YPzsT~G_a)D-Q!i4w~eODgF>L53k1Fx3!Ou|mzOAwLJXH_l7X{$771=M?dZEMV5M z;w=w+kKcLjHC#(Cx4;UHTq?1WY>0%X((}m4hGwd}e_iEMr(+L(`z`y14Nxh*a{P~i zO0qz)XnOnBsDG4V{G0($e0@;-h(Y;sN90KHNU7e3neMDn91=)6k&HuCkyF?D-lBFv zruKa)##ONgil_!X9xH0ZoVtaJ-$MT2E&J7~wOThy0@A{YktK3vz(T1&{T<*!EreYV zkB&{dNd;*o+#>seErB?a@*4)+=iTfL638*(QQl!#{vTM8b`2+ylfP>aIVoFmRK~lxqDc;KZRU#i#*XhSVnu-^#+HomN=6dlvY*smGT{Yb6j9PN?OTwv131KCEd{yMwUp_EAEChnr z!v&<oM>RkDW!eSyQidD>R*M9{Nwc@tdl~{P0DU{eoMaM)> zT#&o{j}|dny6^1IS-O6FsEPjos!eV=qOt96t3}!gMKf)4`qZ&bt-K@?lohh9WCi+M zzyIa`exS)!UXPN#?p3Oey0X12&63O6z_P7c&BtXCq;Qz~S1;X8D(+@%B&1VY!YGnI zAB~6w8*7}1Z8jYM4l|;y4Teca8^kgdFaiSEW-`xhnq@R_bFSrlXE zi9|L-J65dMb%IM^3n+vt1c=#Hyt^q~39AG*`^l@rVV)&*vcR5DJ}_}=t`X~-TZ(aN z+k2T8z!5`WHGOTgZf_Z}4uizuxqE{rIO7z2cHT#ug40t5Qq#cW5&Z2r+fW)&*73RT zGSA`U-tDYuY7V$KV*V~C?%&H~8g#X+zOxo>U;X@mZQhz%>sR~8XgTaxZ;wQX-z+SL zT+$lZ;R=2SSo3h6s<5KB!5Oe@0?P)2G(dt85OF3{v!36aY2PL%xoStQEJC^!r&Y0^_)py z^dz`874`8*wB1eA}-DWJo%H$}1lAaqU?3(*P@e^+JT(b#gFEp@$2J7Jq zO{#vcXN^T}#P>o*DT)zd zwsQ0@EvEBu<@6AeF;|d#EA1$|G(?GywKJUi3^{HS`KpbCiOZN`H+D0eFJqJzQ8 zVNIu?0Zb%0J~f+(h)*Te-4F*Zuy4>kWpQ_EtZ^IpyQ*lF_?R(SnI*rJ$#yWB@&klx z80})bCx(P@tF7?z$rGUg_Od;Mb?R6m`HA|=4OAkaLt{t)$wFWWOg9+eao_a1gP5Dr z%1*oqEL%ww;M95`CTSJ9n}NvIJE+e`@Yb)+Lx4k5jP!0^QBQ zl94M8Zpdhrcb?D`yjG{{hTgjB^RHAaAh%HR>RjVwa}qsDuh)qsdwf(+6ia#|FRjf& zjKLLD%maCkdQOt(#BWGiJ$D9TAn}-vCswNKJh;F(_bn4;hM*=p6p{S7CkdwXh~dS2 z;p(Y8SHo_>q4f2rHofMG+Zis6Ol^WvP(yMO##b10`h9VG$$RCqD|Gss5hvQm#nHYJ{A0Ma1MIOCod9#9a-% zE(XY2!CYt~s(h4qtWP)XRasLnjChIvVukrxhT$_d%Kw*eym!+E5G&M%Cq0aF^8xc{ z!z14eGF6tv;kbl1Guk6BryzmP|YLn`b9c@#eWPW-Dk7uZN2$JHLRywptMBp3k8 z6m^g@3kUV;>JKF^B3+-eyC8s~mLR{a)KiNo%$CFKpsdt4y_>a-G)~aNY>QUu=NK<5 zal|zoTitB}w#{`YVBr8@Ww4w2IzlI~d9cK(U?aBAqPvA4wIQku#a)zyI&Rje!O6xp z@P)iBY1aRlv&sWKShlr>qm`!FQN`L|_uP=JG1RDgUTsH8d7ZqqfZhjg|s6tCumdjM*+KJlQx~wFs z=(tr@5Wfu}fg5r|`SDtlDfn}9F&+X(N~aJDke?&OpQ<6D8^LZ{d-SRHUUAhALTbQL z?$S%+BfP}~{y5>xK1F9xZy9k-ZrO^6%obc9vLe`h>Kc0+<#PtbyMdMk{+QfRq$ksl zmNYUFTXgXYfM+9V=th)@V%ynik%voi^INZ%gTcX_fs*k>&0-8Y%CCkg2a72yoa{!jBuTr z_GO`#_~j-Q?)FOei`SWPLTiF@4?IhoKXI~5Ryn|+1;_yeu#i^mS&ZpA?R-OE@pn!0 zTJ@D_g^W6=!N>iR(?cy@h{VSV)xrV?nI=#n?IGd`h$K971i=Is2&KMgj^XTCXe%i# zz%4_wLTLn`|0_(X>Su%DK=P)?^QO8LBHLuB%%Tk6qh#PI-%xt0Y+A8Kf0MZop`-PF}!Zv4|JdjO&;=7`uTR z{e{LcA4eXE8LP5#Zg|c&;{h)MRYITAfnt9#n-vg)zx~A<1F9Cg>Vk#33}$;-GcOx` zlV2KrwJ0AK_*vy}`=V~qy?>kSpQm7Ci8JDqS#)LB3Qa@1T;*)>p<6+A6C+p|;>szG zAqDO*wGSY}2i2G^L=ixz3$XiiLyu9efAd=T&s#{@XGQiq{6Y#6Sdp^Qwi!rG0YQsN ztC-lMpG*d*E>ofBS}vN71;0PzW;Wxa4XRP2oq6y0$YYt@K!RpAd1eF|&}lhU`J)VU zI1YB5QG?=mQL#4Uo>r8x;|@{;WR9Xx;bOgKYjiG`d+i_#VsQA&L4^IVQv(K=>;x*L zMIhz+ZPkGa^|~1b%55W=1Wogfgrobbiyh#h`w8(P`B3z4)%G8CBl3m?Fjr)93Q`W} z%hw{|sBWG%jY-gy-)^Ug$A}!C2wiw{suOZ z+gT7<8*;G+E3}c)WgsJQEnu3Vo+6y;XJvQyxWcQb6q_S2&BarDcRg%A^%Xt@&XT7_ zf6l6lJ|4V}HS7Y>Xnf0$`I(S6cCCKTK;yeh)MOpV*7)+c6qD88nb4%WSgcHNur+o3<~WEuGpa72{dMs z+6%Xu66HQyq4QZpJamQ&vc1Q^tDC+^#?TsR6Yv~5Bf{?xHfi+f*D zJu?gBQ43fHWdm+O9t+%RFtG#v21!Hz6FGvLSztuB(z0^En1x#dLgkU|u%!dQbA^Yg zx|HVE;?Yn{8%C5{X>m7@x;vRPeSn_24UcuASUT+K&oFGCH`f_Sa*Knb5rC95bKN3+ zmLr%X|2YSPyCTW=tyy2f=9EF@wIzm2>(mcVt5sVFT9`zvcTxLRg|C<1<})WVmeQ`3 z06nN>@r8$LnGrFfu^Om)O)f6inNfTX{M-nT)beHZ7$D@IOJFapERWmo4A|ZwM@R9& z-19m7{V41E8S7&TF>gC~JDwgW8@@1(x-@fc0q)C3edPan|JSto=lAoslA;8hFGsew zWBwAnck22@#zM2a4(v9oHY12wJUf1jGnQ{sorx#Td4!r@pL&Vh0_P%rsddg5UqE#V zrl`#`Ti;&*r!3@zc$jWAXyaO^w4r&M@Rz8cS_;}A0YBvhsRNIR#Vh? zutX%#)Z~oyJ{cR5QXz)4vH9*CiOJxv5mM|6n@6rkTa7&9j{N@jf^tt3&PX~AAL}JN zMde7ll+2LNaXZxeY-fQ;bDy0=G&A$sb;i0j;yZ&N#3SkeDS^Fy(R=MG>13OTwA?>32V`c;))HcjK*C z!w)HeU`!OmeTy33FeXkd7b2#7w`TKUopk(H+!;QJUxq(Oe&R5Z<#J8bU0nNv=)ctG z(}(-Un2Qkv++F@)gtyt%(6;GSObym?2RnMj;2R+lS|HH2G|?;77m_Aww@Kq(9md#X zg@sMHu%|a>JQ-Zc&WH5b9&S2i7L2nb2%M(#NH3itwF8*3 znC<+~HUp`-)+SfzB&Hx8K9RM#hZWyJ)jdFTrfY?Iwyt%7-Z<%k2^x#Va71F>>`>po z!+tF2p&hvwImoqM%yU$A(ag(uDJDysbHx&T3l3$Fq7pW-(O^9Yurj4r=QNChN-L~D zj#sB;i3%7bAzIgP<{aoHFrd?=ybthzTXDVu8McF?ScqJ=$|)1eDC=QBhdwEZ_dJZ& zYZo8Mt$ud1WH#x|eXPf_u3vjtV0dAl#w8rMVcu6uZnDyg3p^qlDiPermYS)x1~zY-;WA4_9mfr+e)pe_ zwFyxFs#tP=72%~o*9A8b+(C{l&_-jADo@Z%<|e|i64-fX6sAlet-xE!{M z`DRO{+Dk;tLkXGBq0bNdx{owLYRYdn0Z3k3Gk3cyIU*A+CZhqF#$YU>J<@aw*l9rn z_#OTt1PaGF$Y47oWW~kRN*-$Ghep~zk$D~sf4Z;o1F1tckb2>^%W?ui@Q`-3`4H*Vwbvj zeJF}X9=q4=9pd9~c2dTTI~RGIPwtpa?+T57))dk6xIBSstG4w~ zEeGA(VQe(&vio6n0d)phSydnmI>vpYfHB-@QGrGANo>U(_bAgIHRjAfv(^l!WX7MU zUx3L$f||UID#SXX>5-jLA_PZ~hN1!d!VQ9g^AgN?<-z{zdPmlRne;DNV|xm5!Jf)4 z=gn6;`o+4V`<&h`(vticB=|OXQoB#6D)30uF`jg!6et^!ss<=kOmf=AmQkR$sFXyg zL(I3?=_3+64@b}xXi1k==KO6`--}Z0uWDr(g(TSlM!QZ(uq&Le?nauLzn zly}jO%d6U(V&g}5`T_)ILPlsZkgmcqHLd?gl&JM|`)!>#fXLswq$AF`Y!-{Epa%#}%DackdZmqkgmpY{Sk z(q$}+Zj00q+;btVaphygr{Ihe#es#V8nYsXr={*J&s(FYZq|Jn7?MWzee2!3uz60~ zXS~$l#^E1B%P@fdLD&e^L^n{x!7=P9Q0*#A^*Ys6zrR#jI+B%-+PacuF5PEiKva56 z@$KGpz^5q{xojg$CC#*I{)Ms?po%1>f>MPG=zOhu$gR;2hT?_Pi=Z&_f5w$(1?nHr zmK|mLR~MeU=+;&8bd3COA$_(+=l2d{{!RZIsc{)ba7jlq74|gk?>cIW9q{@(Z+Igc zv@w=r>@RsO<^5))mJCRvSl5mzjW$|^a?oFh_|W4$nNx7Mz}hv7CJqUd$3q2^M&FM2 zz7x0nF~lLjKHBy^rC2ufJ?N%*zwkK^Q)=wqgTG0kg(sg-(ov_9S|+!dD8+O)JuZZt z&U&$K(%<15_}GQBx>We-78%8>lU>GWfv2{)uD_#_U1paKOK(vFZjI+M^zbJJe*!1y zs*AzP(VrQFwe>EU0&J(xij6ZISUSDJQTc>&=cGgpTF4^d%p&AFcTggt!+dM0#o{<( z1>Lg1DfA+tE)@d%3h@vToe~EQmmD1uMIIBqm7A7TvI&pD6($1}WP1$bp_zXKu0{9?J*PxlVf>|!kitnWNXYG)ee3fg#3&)2g z#%o31JFBv=(OR>7?}rlDH^cnx2_`rilY zeQ%$;e!oNT@3YUR^8CMtuYX>r_$^}H?0?ft%n z;Pv@^|HN_uve(5o)Al(Efj)+u3U5FMlGUjvnyFw4ymXzS9jAWKyF-NZ6POo)q+p zgJeloS1hfw9p(zMS-yncRUU?KElb@f6Ok0 z5ps#+ljoz>6fSadGz)m^yD+iz2v!8KphCM2!+V{LVNrw+E?i@s0hq8({54*;<;oO7 zWVPjr3O}vh#CSB6=b3ejJOYS}y!22RkOHW<0Kjm{ac@6ma%TAhdc=>cJwj;4NCQ{7 zjJy4U`-puZ<{Ld=cZlh5WVD0Y!j1D*LVNZ47^+<2W>F8x@VWC>n3P3qIS6#!VEe8jv+HfRJ_HG`)RQ4CaP7#=xH z2T@+>=n5n*yP_~$VZ_!!a)*XYKUR+vUAOIhOX@I*b3;Rw>^{<&g)k+P=PC*uRfJth zhCeJ&%NnV(BZM;=(rpmyHT=b#y8wlHfut&1rRi zRB3ep`7edtQ{@z~JcgCzE%Q=r_OD-)B9~3mKQ(ItfqCi4>Zy~`##I;iDZ04pn8}t~ zo$bqK6}U1Iu!dC9+Cc;ft@`$aSnMRcY1{%|9@ExiE8`fG=_E8JY7!a&7voFmRLEtn zcOKWFf~S=;fSX?0SDeqnG#4<`(cL~5(<8W}v;J8CA_r>QEzVO5o~M(UcE5%1Y`d>K z5b$v|-Knpz>^dqLV@Y4HE$I8%AmFo$0UgDW@j8_Bvm{BJy)rQ09crkj7HQk|Yv$*6 z6l-rBjmJ%Z(l!cyK7hG}?2uPFvdfEX0OfxsT!h+Ka0$xHpPCdaFP4}4!%QQ2o3hlEB zqpyER0bwTJ1X5rlWUjlG?Uepyk~Nq)=5@5>ipOY;BOlP?zr+Fx-hH# zrfoZ18q%?`mR(P6B`F~)0*VH;!$tR5I|DHg)YlkYol65}WpPi7xGM89XpaQUbdo&u zC#GdsrM-7HxqeVbpKO2T*&YsbyAO@S)YL%w^E;k5vp%|qV_6;oq?ZXxo4;F5m)Vn8 z=T}H8@U=0% zc$a8Bw%#1v+3R8yC`Pk7?Q)S>`+!q45&Zyo_`I~|GT<}^^uUfyb=jmD7I<^}^^l z+LVuzwThv`ceGQpDzL|xA@)SGA?Zf9Ih-K?2hF|1%nK7yEZ5mZoJ))x&(*e^rmCJS>_HWX8I8a87eN=|j~>7c^i z=m^p;3ejST)iOt$vG>b&N}86A&*6;P~97+jdGM7%C0?231Yg`_Ow2UkxQ)J4@l&vLuq(iuzCsF2|b8 z(rc5Gpz8W=^JKOcC=yO#cWk{yB zd`=bAT~|sc$Q4`GUN3`z?XNzw8mW$;lu{7DfXUi)_^cxJ);KFaPekKCOwGTX3UM{c z`xGN~-k`js{zd$a2wI?7yOeUiwF_ANKvwmi%N(6=v1T9*tc9o^LfWWE*GkcS!_#*b zz@F5$XwzcIVdj0FjrwUecmE`Iy0?>sAreuad8FBn;7c-CX4?4|Uvj8!*%k$>4ibD< zgryHnEU<85o|JT+M#lvMteL4a2US<{EQQ zM33xga+HM6Kj%xJF)B8og{3vJ|qrhx;o=@ET_>4;r%>0m;|>;%Z!lQ5M17Y{e-%0^3>}r%+Yq2$ z4ZE?ZXZqSVw3BgEXsD5i?%sXhDjZtHM(&!ni&Q9#b=HDtcEB!ew_?xOzz$2VUdyg= z7k{ya==7+}+Yp@*$X=O;KMyhGD67FiRT>|l|Jpg}ZLn;(2#>JYcf;>#^g z^g(vFxL)0^G8}P>Q>`AQ0ZBIb5!)6AS7_$^0Vv9@Ep@IwQvioirVBi!5Tmlvkj*eHDH+Y zThSEq-0%0W?Zi>LtK?^-0adSh3?aL-a|NBSzwiCT1F8jfC_#(y1kh}C3DiC%0y z#FZP3kInF<(vUWh3y4eg9P%BNT(~gJoSgF`k;4p&AxrZ6TN;FB9-qI$F#%&%PZv%u zh6wE&ytdm)dZbVjUIv=nY@XpP{tO6m0xB_KwEYe?l*ih%>TbSW_lh?)UAtE_dixdg zaN9;IQb}z;3uUFnw$tI)dtfDq#ty$GKZA!fzWj+e!Z)wU7=(&<>MZEq=D(o@~N{+s!%Ib>H+xH~n5$lzIqZiEGEOpbmAH7I&eo zU6^A2UF6ak()GekaiCS>D zXynMay+tgx-dX5p__zIv#o6~ZayyQTxz?pG2X$0-G(A$RtB>DSKR$a(tF~ulDTxQX z%kf0M4Ht$N7(Wa48P_4M=yCE<*sJGd0+|$pk@TT5vL%FPwGhn#U_zsT~!8j`&c5Bzo8osY4(*X4mWdEj@!59x_w*B zSf+Y~(XJ~b%?hS$Ab5$e3?%|HxQ%4UAeQ7U$f9KY?C8@ z06Y`04-iDG9I!I&sietHa0^_-NT56^BT*CJkRVg3^u2@EX9`(ivTb_YUej3&{HG6O`gSaql_v_@X zuSUk#&@_O{ErAX%-s-4!z!aS&0)LddKi{c-XkF&N&^7-g{7p~~$fBZdb zT<4P`i7p_<{1xJvXP`*=m&$PktWp)YTCwreLEuva{K=HT&!!r_99r4bg~%ml0IX*axRsslS6I0m)30Ou0dF8 z`Jt3TX~6Fi?5XPH3bwOEY90TV3VS)@#2yXiPFhh`vJ&oUeZ<2T@6r)w7|oI>R~*Md z;B-_#vznXHlss@Fl`p)tsOsPmX+kxa&er2Dfr6AF^W!6EsKa;MZ~V3{GL;OB9Un9= zjZW9>;11?4_oW=&qy|+pK5Z}ecRfK{lgWoY!8~r1Gr>3ld7_D|5XLN)pc$-*?^b*} zH|Ei0M12R2z3Hov<8srOLQ%^Gij83gU9;|V2@{3#Zr0QD1$fmM1=MB`0_#-ui$TQ4n!uMQ~vCpiY%f=a~zNL}utevKQ zR@?1_P zxzTB#Hv6ErX`SyVPxc|@iG?FPr9Sm17s=>Iz^-uXgqtVBN2X(TCqg6UPblc_8 z+9FjSi)2n~{d&)e^ut~H0C$m^2B06r2Mqj4AKy*G4I(EVz>4%!tgZvxY< zaCwA!DBx<3kC!x`ZwkRGk>FhTB_O=51LUta)fF9xL*H$Yynn`7Lu{VbWVIlw8+L*bM!AF1LSQ_s8GmrfeQm&tJT z!J}O$h+)lLA3Vxs6d3&qX^7@^FFzl#^p&Wip4xci81${=x`WZw*_JE&uE@Q^B!yT6 zx{Oxuq7*KQIXdhoA*JHkvc#yucEuAlc0@A0MXeo+!eXr|Zwpwn!@U45?V3hcX_tQo zh|m{7@_BL+tY{Jbw64Eii@h=YmFzqiJBE!1vxp~0Gu~FYi>4E65*w5;CR*fNJ%1=U zJ?>MGpp#1>F#_g{UxR(Vph+PP_j6{u!}V1OL1QV?I{|WAq;_YOcL#QRUoHwpRr`YMtjnSK z4A{Q3Pc(y{m&T1q6B13MVx3;qW)YLc18UL{E^$NVvsnQ(`dLiyEf&0=+~>;{CE6By z7Rfon$9}i1sXmUvzWhvI)5&{dchAm7n{_MFVs$P8ita*c1ITUUS;PHYbADoT6+sP#(# z3!(~va~i*0KATqx>K0o2+1j*?s5%3(qu5A?ImS$kTg687B-hi*5@oVRpiPI=9~Z#q z>Kt`qr5x8axMY6+&d1>BdKoLSAxn>jM;+P`~*0pnO$wY5=wRUxCPVwkxtVL`?S&HoL{lqXYJDYuexyy?$b(9aAIr@YyC|gQM21!UO8wq{!D%!ebTT!bE#TK=-AR! zw>orl-g7~<$Egsc!pLoH+KH*|tVNYpF}-NFwK9c3BYf0p(&Hcwjg zaxgxi#;wCwjdojIk=k`daBeQzIM)~FNxvbD;lV18Eo3}gPW~uGBi%6I3#)<(PTX{a z{oYuV$>Uufe$V6Jr}RwP67cv;@t8&A$@4_Uw8c|C8mgJ1ly9+l!zpNS#^o%N&MVZp zzhXyU6l$CUzg?&qvK)}wHhBXHMvn{_VBS#|%9;nNuz(Y&EL1c2shIMig;D?hp`ArJ z#y#Sgxq`}gkmdg0cG~AfD*YtqU)rU4QVD?0VnfbRLyqLP-GvjJ&;`k(N3KY#FHotE;%j#G zTVqD#KH+d@sRG`0SsG48_6w9dr)^lu`&nTg%!=L`)`nVW_=ms#N4@W3=^0;$5i=V< zN+a<$hYE8-Dr8X*34tql8ednl%&4VW`7$SrxW|UHoe7@nrEA^JFo=40OPIuqB4eF+ zW{K?3Z4Tv8BWP^+r){N{vxZ2`+&3E{2OQ(b_UfFG$;*-|SXdaf3m9A}C>*wdPKocX z9`q?tIp0w4Tc6B3)TodBrBlEzw!VX($H8-@xDj%tfQmuviboWQ z^OHanr5fzYb=flqD8O7n%2=1*#kI0>f_+vKP0qrC`)XzS+BlwWCvu<^!k@q4a665+ zi`%zDxWJGll?i0`6Mcd;>KxU)s>Uj}(ad9O>kqiw!(2-HWPj`jfa9*^6v07_-EqH0 zsIO2eh?=|*L&p8Oh`6=9+=1FxYL^kNcUT(VIIXa2~{d3c}$232Bw@t41c6QYAMUQ;f zJnih$!@kb!khz4JAP9ON5%}f(Y)tH{Jozp`)?p&!%Ibb7Ij@VX>FcCjsz*S_eLloj zPz_p`e|GlvH@zU=>v^P)^gN1PAA1zz%w^?!HZ8s!s#wK+XSACZa}A4UrK7#t;zx0d z9AY@)VTM(?n6?$u2!*N|r!M4oys!X?d|~es)Qs|j{~0_?VDhq|t$6kaUj0f=@I1lZ z=&L&{9D4u0n`r&9032%lciX5y8#m9SZujDnDF>@W5m*)D?Od44_lx_vlAk;?OquDO zLd;F3Z2w$ljwZZzN1KIDwP$*b#-wHw#H1e6rA*%8UX@-lm%xdlL#=ost|YW!UMnZX zFvI+cmEYr(*%b91HxGeSBou~MU5|$@T#|`%^z~{cd|!}EXSGZ)W}2mxV=V${T*c&^ za-0X@8>kzhV8DFmaM=Go#W-W25fi-X;2zJ(C*CZOY?F#hlB-hl(J+OaiLbj`^lTEc z`k&eDExNcY!yGoHxV>f2shdO3JPvJ$I(XP!+3qiJ6}3b}is*CP=f+zd-; zo0O=Z=U6`v;j4@^(~dN&uUdoTOX!jd^F|!p_NMlJ3PkhKOo;*y!OX?b;X>G_70lqh z#L*{DV0X0|-`8K%N5KVk{{XA;J`$V-=cd1w46(X&_REs#IYYZlo|X=3QqOWzDa;f- zt=SG3xVe(0EwNUguCl}VOPMd_e+eVmc%oX4G;>&TuMa~EAXQaW(aPGleF!EKXxzj8j@ z_}E>!hGD8KVN9Yai>_oIvWD|0Lgw4J^ggk%?(msApDp^TjvFz7FPz=^+$$|-JtMNb z!&cq26*)1()`WUUdfPLhAvCGDR^|xi;+P(Z zw)Yl=ot$_dO395oq7<~P*Kg%?F)pJ0Z5wR!5rd^_D_z3G+(&!l68dhJ^sgRUd3%=}<&GO{TzS1MqkJN; zcdCo#A1LhQJ;dV?7bJqZD+_!mhn_xX*e{EYkPtdU<%-|ln<>Ax;+#|?UZFx^3Pf3( zK%L%5B&YF?(qt#@A9I$?HO96~`s&@+p)~WQZG6(`?KKRfAj~x~qL^kP``$&**%NQV z#ekcAcd&O7%Xr~h%Sg!D!@=J1d^S$@roZEy#PA{>K)F6$SVVO4T&906yAMf5j}TI3 za7W86|LPpiZ(AYv6>xv(CU*W+_o*Jv+2hj|O(OHaw({*h?H}yZq}2w+d#y=7ID4E^ zVCGY0<=Y8%*6;T&9J*GYlC+0>LFr{MX7)ShtL^!Z<3#CLwp7PupFg6DEi4JCF=9iW z3%KG$gX}f}gX=7+7egtQnnbcO1bw5uMN{c_QMsW_aM-22-j?b(t=Z(a7H0kJO3kbZ z_w%_e&Ax8@`NV>>oO8e;RVa|669Xl#b~)uP(!}tIexH;d?%QcFkkUFcb*WAEJ}C@#*2eaJ zA9YN?(BxlwHe${m1n&D+Fk!3->!^38iOJ329pBAasdy~kg)z{xg^jM@Js&p`?9 z^{i0I@>WYtoBVnFy67q%t2ot-Q*(H7o;P$_{z=Nx*hdIX?H1gI{KibAaG#%M8>O(? zJL7(p8!N_YIlgS%#Z6eAWqjev#c%eC>TfNfC6*tIr-Lq%p3cQ7ViPUq_>onQu|g-X zFYAS2y0r(iEn7(`7PurAE%@?+lyl|EYr) z9E9>IKw3HICcL_sj9Y3@TB|iZX==QHcl*Ohc}%L&t)XFIvd*d zZ)|O_8(Vc21l?rW1r3ZKywpJv%znU0_!ZKgX{rxSNxc;ieHb)J5Mu2*EK>RbmM+4o z#LutIHCzjGRh(<2tAI~6A#bNTL!i6v3r!Md3>;L4=(}41=LTYN#c3f9eHYu8SQUcH zJSmKk$LK;b8x;ab$0^@{Vw0+>litea*==HgAI z^x>@Yv%gB+l*T=2LmuB~R2T8$>&~%==R&Q&T!lsIx4|%7-zL3@KRhdV{;}S3-w?eI z_nQ4^ACT#^-_$(;b*>g%=Xk-}5to|j!jce|*8+&9VrQdyzxbVWZ%}0RO*1~A-Wcjw z1kY(50irDaK1UIb#l*lZ`*D3Q-vl_*&TxZnGZs)vksf+VA$je#p!osVRPM)fOm}0p#Qs~f+{eE=;TD`P~^&4cWj<-hmO8YCq#C;vjWcJOF8D|YXpcH zDun7t#h?}YN2}I3FG8xjyxja>YlC+Y*#2FxMd<+?=78yP`59Z-@jkB$UH$+pb7s5F zg?gsI)r_`Ub*FkrNM^;&Q3Bds_4i1GEZD;+6ObQl%@tGg&orG0vHegS|}| zDsbG|#bvO_Gu7=w6YnQ?AJ$$?Oa6e}O_ExaueQ%}L27vVhaZ zeiKW9JSrCN$1oj|&;t4n7Na3p@oxR0-tJ6?#=1{m*7TOZGUkhKmZKA9JZ$EoPWmc*USs30e(S=w#?m9 zPZ2;TfQ`h;uExrbYBI@Cl!ij6MH!kAnbb=?u%eeWilZBvg0Ss|)^y)bUi$&f7V;OI z+V(*)t=i)&MmjY-=0I_=wlE*yjw2aB3?dd5%kw}G7>k4?Sb~SIp%AWWK|fP255yO7nnI!Lx7wap`nF=jJF<;&h0UycHWCulZ z1uKqvP_1<9#ogq-Jv>B>dqX@X;`io9Esx+I_iGF!umGs zL@$b^>vFN~eI{=jOLUJ2cKZ=qh(Wohtq0th_w{2dkzjJ<*90V;7bS$-Ma%Nq3hxrP zGXwlGYnL=_)FH_F6hdAeu{EN--$xSkr@2XR{$2KCr7s`m6lU-hC0!yl=hds1&JT$% zT|fhy`_1_){&7Kn+(pdg^FlYpuqw^;T-_c5zI&-q%+9PZt7k1l=33Y#R9=h2DVlEg zmF8N%YF%5b+5kAsBMEuZG$&cDtA-VA9ll|4+sINS&(LPZI4+E_8Os|nOFkQ+@Y35*bd4WkD{9{6sCl<4iZ+8<>VH3|aDU~*u?2|Q zszbc#W<~G?3^vCTxbNz&@Gk@hTo}O60zDHW=G`|LH%R2YoF#!g_8&|G)al&xC z2Tsw$s!E{rj5*LEXw0ipD&qugx>jD+1;o^*v*!%aAU4cKIWoB6nK22i(ZRE?k}Vl~#mF^qGK3e_2}ghL(a zPk_U{E9&jfbQHNg`*7-s-0dk3p~<_}OpZ4;asi4YstIU-5f|+-@;T7uP;8lMX-RJL z4?4}fNnO)MPU&XVd8SZZarfPfVbh@_pJ8=~>N&(lgG+rNO-M}gOADP#&!*OKXKHx% z)zlMn!v_B)we-e*!e5(|c_nHaJA|c1jq}T)4tcy>6i)M<;ZQ(>=J?ovJsv~FK%dZK zOdPIouSKf|Gr<uFYKulMoxC%$T;BChoVTeUM|x>4%fiaP3TBl?L` z26%hy^Wj%b0)wIGQyh`$7hYKdk$$biRz^=dxhvD3KRxo4LzI(D9iql`x#YT2CZDsj zXrK2Teg3Y;w+nLwHJVY{4YF>A|BwW^Q^P{EOyEhe_jZk+6=_C(Vh0h$y_n`js_5q9km&vaf>rRohzS`FfSst%C6Zx$R3oVHdew z{%hQFo!>T}pzcOKDR>1uaI|fCup!V={sOjLSnHD4 za)-*dH>UO4jOS80V38syMI+dTG=~H??w6k4&#E$(Z3EV#H1^Hrj6kby&zV75u+{qV z{n8O(!Fw8AScez~yjzDR3XZ(8Ju^Yb=8^(vBHb)8(+9^>D4<*Fg#+#sL`z65I?#53 zg*?As+`iux8`IgSk4e*qY)o32M&&yLetRJh0p8Ek(gfr+`djx)+xNrdn@%*BMp%}4 zK~&#ByfS|#8|R{3w04_me$X1X7$L;C9nIvx(6qi-Fkx5t_H z7v2PL6WjfdoZeek)Jv&mLZx@=S2AmS!Kr&+?)%6;zz8r-6E=bbG!aE|36?NAvfC`o z*5tA4q+(IYFpx1&DgRnb_P`6XdY>2eb~rv#leS&XA@;@N+w7DAA$va8om*~-G{_OJivZ?Au2Mr0K-7%qt_fHvc%&n$<LG7^md;) z?XG0=dja&%o*-_EA5w}>+c47V0Foe2z_?|~1*jjRJ+-jk$QS5gW9%SJ zcQs4@x#{E^#w|BTDQ7w<9pWj_Hfew^ymd=4pu@bA_Ah!8BI$eNAUN zDI5{cI|^6$%jJPhHJWKTxSy%GhWoaRP!VhghEYoieHW+O<%wpudk1*|VCUO7yB#-? ze4Dc`gynQ7liQu-g71yO}d7z#5jwql}u*c))cQC@%nnXNQ$9w==QDTqf>&A|AwP7oD43 zZQ3!|9Oik!O$0yl5GB#23oGk{P=)ej6WfQg9WV=>v&@5?u?}nix^GB0+lBK6xc{-Y z9w6vu<$#0TUEIc-OUYp1b-Wq=WhGXc%TIPZJ(g(0puLW&R1<-Hg&f z4Z}CzKVOl@>V?@gRCl3F&Tud)3iO$SVL9zybrpbiffuh zcyRM%eMg0p9W%vvJ)=hr5$yEXCO>wa3tVZThjqw33=|qU z+?~S}r)cf2=Rm7%cfC^MozW`6bf!Hw3A8i-ITW-GdJn8l^fzFoH4#!F;AWZ@0Wm>F zeafMIL+QkL0(|(8NAlJp7iNpxHi(e|_~@}Zxo8#U;5fAH#A>&VCK!-7EsKQ^_Pe9> zC29km{t~4#PsJla;7Dlq?)gwN!55|{s~wOfHA_w5TwDOa*~`F8XwAGyghPGuQ^(U@ zWGY&gV&}5G%XljT2Tue!B>rh>wC+gX|TE=NDU6=*JZg%J( zJedKtEBE&WCbWi^5phGRG8xTTyAT>K-_#aij9Db2p4Pk6t@~A!cRxnaNQfj)lh5)t+U=z>lvJQ*Pu&QF{mh{_zc(g96bJa*h0CGGZgFy_ zeOE-@89Jx3yyYk^{McQrcN@q+3NYRy^(|__L!dT*BcUol_^OLnQsTCGqUKwfZy+>c zUXWnYyvkSN;m!6 zOI62!cIN$}1I64gBxT0_+&Q$+1zff+f?*9Tg&9u+Y9D5PRM{EkmK7lYOlyJ64-K9u zu&Cfr=_%xNpyIeC^l(FRpfNcx6j`BF_(E$0iP&YTwm)in*h3v#2TCsfu6yccYZ&i3 zv5nj0W)~0jeb-?a?iTXiCG_T*eHV5V9oMpehu3tq?}KXurYH-Ms;@kM8W+oI|KQa- zzuGiA*t<@Z=-B;bAeNbJqcs-2hx3ET{>d;`Y`r0oM75VdaI(NiIer2`;XA$)) zN(}{!s(tsyXxjelZQcZ)K`tCJ+*_ip2ac!(o;SBSq90Jq@&|`~iWwcE30@d8TGtte z-V_4CY}W-}N+MIQ!hz6Xh@;M#0vSGC)kuZp%7%1kek%*_E|E4CzzlXF1WxCUyWUjM;q`K0_koJg%w>2gHLDwdcJ0j(p8p)$NT zf%mRCl2qaRUC1So$-IA6{hWTAjMD7W7-(@vH)2_Kp=@`+UOPM{lG{HfKqs7;orU1t z1xQj8GLWj^qojty2p#T=(-BFJRsR34e(|ec{QYmg|KW%H=lb)fpa1duzx(B%wEJcG z=lnZ={OP;j|MvU(m*0K=!*@ToXZh|oKmOr|ub;lZ`n35|L_G^CNBy8%1{UjqC555} z23B<}uR+pixuPGFVx9L*ZV94Eaz{*|sM)~4@}K&;QER7^b%9GR zgr-)FjiUW|8)QqnO01Gn(~8iYH*15OZV1i=mn${Jynx&(+$zno{truhg)7V1;4D_(C)D<=@C_byx}T@}1cui0u#v zsCy~$CRNaX`0Ibv=;%QO6!*v?{8uB&e|Y`(KOjhkz?vvJqlm~7Tjrf#eubrnfM-;1Lk#Y`F28`qS=S+4sdUOrN?LS_BfIEBwHye9ZNe~5+ER@r+~=AnQqf2TZ`IXa zDP&Mnxx zzN7A_X*9iiCq+V3JbHKS5??rt!X+yRvOUx8@G;XIX9_u?x@U9YY)+f)~}Ry zCN6J2(A84{NtI&5_JnK5=p?`zz)e&z+jt`T6?-UebWsXULB*G$GTjD}$)O`r{%QA9 z`aEqF-X(3{g=8X%+xVAhir(eE^Zjg^_TwvY{~Usl&=(|pQ}4IY?d_ffbPh{twGL(> zC?w{wT{pMKNTaF{oc@Z~#T?D&Kr2!v4++=bf-6UrHk*%P6orSbAAeVWmMYW*t*;#3 zE^HUP^EXO3pQ6ksB!+476j8Xt>Ln8anmSlT%I?Ae(3q6F4<7IMn8-o3D}{CPl)6S3 zLJ4WAv)K8f5ZfE3S7vwWnT0b?^i41>8+?uM|HG$ZhaosedObE0A}5wBMVW)jC3Ff5 zcSo?44u^f(mEM|v)?q3I3(7o!(^0DhiBGtDE-jKlxWTh}r5|15%Sj``bj$5N#ks$a zoq#p@irPN0Mugy8#?#$1-}Mn|)2J>V{9|X~antdkeVNExBfc?-zRu542XNSuS?1Z8P&BW}; z5%X9xSilM18&8Ihq%^AJJZ{=ydIY|3F=ISUndm=ax@+P8StM9ELIqo(`oXHzb~wa` z52ha+-U3JxY0VtqVNBxmuIN=8q{OAI8C8`R4|i7xK|^MGQjuGMdrh1nimnUzHx=ZJ z%Cqm~kARI(!600#NEp#D3p8Ol{{t)d#pE!b&Dl;FpYZ7%dN4EOy%DEQYW^CqFCkyj zBsXqOUK_XFxE<8T;Bag>yL}*)+HgZqKw>D?hG|hkImOha?6-}EAjW`q5oh(ghC381 zd;2o=gl#2du7QX)HM@jTH<@c8*Q8mKqwq#JhSWRX-h9x(_2V;j5-kN*sU^*U_9FQn zR01_=EyxuP7jC<)4;ydo{SR0Ce%*SeK8%FAq>cp*V3a(?Pggp3iB+l_@_rZ*qXZ^E z78|VcM-J5=Q8&%0eycW*1YEzM8875XDELu=)>u9DL{>JA-z;_z9uCI}p7BG(fcub) zK1`qZO<3p}rTidC14&~Z!%cV{DbKi?G^E_w+~;Y^6vw--{S+yGO=CY9Xd!x&OFD}$ z5*TLjD~8GK)I8lGZEpZ&RBGapK&{8aX=17%Zw-2DRmxk zIE2!Grwc2?(k@u5m0Z@Dcwolly@mO^_^vEd}$2uH0~Wb;@+Wg%gp)N+vkPm{y02u6jx8gjROH~ zjVBM1w}cW5xW_xk?d8-AQ!09icag3f3(|(hQv>)LzJ1VS<_GOFNY~LlcZ<;TL~5rB;1%edi?Z4}Kj|srUs21;OfbVtF#Cr(*SsS>TkXc~Ro6(K)~Zrb zX#0u?x!nfuF&NzW7rBtT#C#v?$*7l#Nf;`*k2uZCj?kl`QlXFxJBoc(+tt}_l_f^$ z5L|!_2LXUM6&qY}UD&l8nX(j($xFWvRA>85WcOn6dsuG_;?lOpXsk?`AvNepPW+_- zyQ36b*Hdlg%HL$eBGo0$LOckgSe)MHZ#q{2h5<6$dCNQ6gQ{elXCy(EyQ=DBJVf17 z)4ZTn$r_k%QcHD!rj{>t3>_V>Q|X zDACSFzt?Rwn~KkEzz>{dygv!n_QjT`HUXN!i zSG>vlVUf_cdn@+D8xl~LZ*54SiXj%Hw#w?Q*QmXaqYL*6CsJp+?h#B8N3^0Qp+1ej zQNtqVIKAB;tJ2WEJsTpnJh_$W!@lXvw&Yzqo@`nkPqKb)cevjLFlBt~g9zrZzn_%r z{iACA!asVJjq*lyYY8_M&jlGfhLs0fH1sK zED@y5>Blh-5I6iCjPJjS5!JIJ)|DxsEAo)*6st-tAjqhEShU$P@ewEG@ z_HIM&lOyMeR=^2a)G5_TMB}oe!2zsc1-I+lWj|_$856d{+yN#le{*tda!+Os#}{_B zt6GR%e)1qvpLZoqagnbhn2zQ`P!WQtYV5_CwLw>n&uz#vrvbv9sFE@ig|q5FknStd z?oqqA+|g}^Z~V24q<&>I^fC2gv%l-qZy5!yhF2)Ax6_EPqW#m>kkQ+{l>A>?x=Y+f z;(oz_wrZ5X)Brz(C$cS|xSwB4%f%WEI0(*^0oC>88HvlYjF7nJD{_z|F;bevtzSQ_%(k!}*L)BaD7F@$ z#Dx(07tvWI);3a*_u3q4ut!wt;v{bBXh;;$JvwoZ%s@6pA+l0=0uq=qYFF-LR3<2o zd)D0DPrmzS_bN8ZvNviQDRW+iXyig)?4fogSKErG+GB%6<&#ceN4)um`7B-@M93#p z>1ZTfc9UyB@gsM?rht)hw0u zN1fF6H?tkiFKSkEiJ({Ul@cG5BMxMrQLX+eIF^f)^U;EDeUv5HeZyG#?of}&4J0#og1)Gm;hIM9VF4-c|_%Ja(b6tP0U zAQMW)QDSOo7I*E0n0wVSr3;Xj zcQ(=-oJ{XmDb;CPT5f)djPH8MMvZ{+vfp)p@!M<=uK~YC zksrnh80>Tx4t^KV;E~5#ZYDqu)~A}a^A<+3W6c0w%_s;hJgC&cmQRM=Nnvow*>rh8mYDq2oXJ7iPIdz)BHfNB;)2%L3c{q*o@!#x!88>I zV48&2`iM(Ht*f%R`-ECFYl{fe7NSLgMNie^C8>G5HbO;Q95f*{%{DURD-TB&l$lOxV?C zEdG#~;?*&y&>DWpz5XQ~AUpa?Jul&5TA}#1Y%j1+{&EovABevx+x2hif@e?&^@lovv=` zfP{B+3!+?+dZtiR)*gu@)ZD_Kx4nOTX~p{D1Q}8c%U*#MSN0&*qA4rbu~iB%Jgt-Fv()M z3=5?0<+4nM{aWwCws-|CT^sTwuBp{|1xo5RsC$@5+cve&nX|Lc$R6zrodOL67kFr5 z&*ig4R`SlfEu?+#wtmx4W->T#ro8(|_DU1T>8);R%r|DblFBjy8h^z_7!ZB&B3-GEo#sUD-vmtr#*iu43qT?va}cQL0zTt*8&yV)k-TW^s~6SRooE+ ztlfA@t_Wf77s(a+O3pes9=i4rJtA*?ROVPr(bgXa=888JY99jM^R`|)0WP4mIBIB5 z^OC}0`Ye=nFXH3o^2uI9KI&Iuu|N`+jNCgQ+3~9?G#jWj5o%v~Iym8z)STM|Jn>C9 z|G@O79^W+j?Kl0O|MOp8|M};?{n!5?^NO?*TJKP{oE$nktx$KVu_yH0c6b`yab*bp ze;@)bYAjVYxLMfb8yzj!KkroPCTk2nr0tmNu;aKRZxCubW4~eoBPF&3=J6=15W@83 z7nA4=LgJ-Dy9XheIJV`Eo=$H-)h$OgU%<)g76nQ=bKJl~@Y^*DtcP$`s`Zd_xICWT zZC9Z3G-|C8pN>t3PYt@Ti;7{IA4@jntnoo)Kk00x5B?d-H=lF0=eJ^TwAIwrq)Cun=OzJ2;sPH#Wepk(OrcHy`?n0a@2gAp&{I*G;VC(A4J1VOO9 zq69cJ)rEXvQReE+oQ~eZoN^8huFr_O;c=9j$dG;)KpG#wiBDAo5OvqZrR0jwr^pvBmRVFq3=?x#6L~WM#G?m-vH=3o{9;m}6uQCWHAgk= z1j(5=?e~eeC)}<_r_Yt!Go?XA!UR3Ef)e!MU8|I0zc-h>quGbzkf~ZZFI$TgYOX$E zs)3nN+AUTn}{VisK;b0ty2~d4HGN~hQQlp z;tN-C8x$$yW@rN})ExF6?4Z*f44#sO&_5hH+Ec7LK(!|qSZ zjg01@7uK;Ni5_}BPIBL_WpHXz7XjPVSUBuwHB1SJF81xo2EZxtAX2+N0F0QeMIrf{ zJnUUHz&^V(vrw)Fdy?mV7|Fh!T%Q>a_-*M=?s!%B3J;c*CN#OGu+Aw^3VWrHa&-L* zaleXm-a0bMZ8tb3bI^p3*p-VZa^R{d5m~P!IwGq#`B?;E`F*KM6kuAMc)YEM9AovD zKb`c`w4-TF$Zp~-EVS>wT%40zh!4O=#ZVTbDd}$k$}@U;Pr%x~UqqlK;A~UK=*KXU zGdUxjSG8uE4!UG&j~1yKNm%*93L9`xkNfd&|MIs#8-j&xYsM9a<$wC$|N6Io6M&hR zF01DnhiRBNPGU6LVL5~hbYc04bZg_4G-me`kr*NIzmok(?I_7EK)6(fwbOyOU>@=k z8U~^hMZK%fePkT|rEezeQw}>!LH;^(N@3m6e*O-mb_RV}LFM0XN4MfU+i+Oith=uK zUEnG9S`y_XGD+)cbq?;X%^1;r`j&% z@FYLTNEktLXh&QNhH%m0vEG~h+THid#|{v7_w>yFwV5m;b1VQ{R;A{xWAQr5X_sZy zu18cyuyep64{a`5AY<8Fq3xscq`!6SX4+nJ_I?)u-#-7;0>-7jt()(%Kw}qs@)pOK z!d=9>5ORQOQmo>!NIh}nf(4v4AV0FC-mh}u+HM@<`vjB#rE1xjIk&$NHf)kl-w^6if{_0-GF+(Ey{&H6>x zb<^&Yl^O8xl)VNmq^ia9R8%hHt+&mD@8B z2B87i^J97v`SpOl3Uv5*z(saZ{UKF=PWFOB&D-1}RxT{g?{M~o3`rQ4m5&P^9cC02 zyVu81Xf($d_KUOwoEwc7gX@5kbUZKyi;B{hwueER4R`Vt*wxNz&{AyH zTi@|JD4Syu6Op>RxDmdp@45y=g=63rAvWK14a%z?&?q4tx3?F z(B#>Nch`Pfd8mNI8t}^ahlq?E>60Zcp#EBcPcw)VqjD-&0e0j46$yYFCyZe%mBwZitGoz!U-0+gR9O z5#B2At4$ohMNxR--m{&BPZwZ#!t7HYGvY)MtvQ2ds3lCe!K#2=AyUV734V_UdL=^x zO-;6{-s$5y`IG_3hA|7HJ_T9dlFU)jhH?9!EG8hQtqLS7Un?I_+vV&NKSR+1@()Z5SAsA_xsmrehw)jY zTB?hr>;HDC8n5Q=w{so-?WPp>xi~@TLQ3VAbZ%Kf6$?$PUVT|ehwY>Th1?BX+A}6P zA!EhMv@sQx8B3~OwBhG76)&vd8P?97R?zzbWWy3|C~s%;H{UhzZA}~&jI}fBF+c1! z|BLZvHjipJ3JjUSn<+2YHk6SLfQbCUwAc*4@+MW%KKwnJ`YjFbZNP*?uQ8ziL zEAY3btu@E(LGDdrlFiWAHh88X!c9J*Y`d^D2d<*Bzb1KE>7U$HQRYK$CjC3tA-5>v zwA1ojfKw=D%0V_Z7Ay$RpB5&Q&vElNSLE}2B(l`A=7l86dqQI2#u1f*R}6HEXb>yH zWVaW2FXCP6(}Sw_1J+l=7dqbSXRr^l@s(0C{$S$050T9*pbj_a`jf-k_NsI?ddSO23&bQ-X zVcNq|g$D#p-r3_ba|CqW|amb04{!p8-`~lk1-4rgPR;6)>vL(q+_lYv02h^M_d%p3s67iuXm> zXQ+L7JA2xA)oXm;blO2-jYN3M)_O^Sk;Eit7l`NPFaiz>*O9y}!E83-bllGLzPyJv zJ3#5}qA_b-md1_4+jWJ$o5uI~B~jKi`lHX)ryp~KAskk`?`VBDt>eGIR!AKJ?L4Jj ze1;)Dv_sKiA#1U^y8cue(TV0k&_r$W@G^k=NZ?c^Vh5TjJrUuVZ+tAZ4=%mVoZ{># zXd1CV8A(t*daNHWx^@FP&AAbfVjfWB#y%77VjZV%o5)r$gfm+(U$IinBLx@6B9IQ? zwVhy&bzapCu^Rv*h4_{ZX@20O(Z6AxfXpU*5R>6nUJF5T^hxjzhpxThQpF6w`24;@ ziQna`NeC!d;}$fWp#bUv=al1X7Km+aF_4n0B^06O5&@Rs7G0i(w;6`gZU?fI3y1vT zO>PCOkq#7A1cjf3o}^~sX2|uA`jXCW>$+b}9N3>YW1bP_@nmy)1* zj_0@Ua@7asIgVWai=;?Zy7Q|b6Ne}WTlC;Z}3 zK%~qBGvJ$hQHI&rqDr}Qo7$NS>J%_E^@me4sE zZa1$(e%TT8TQ+6APv4^!a}{ig^Q#L@+oCj#qs`soY;_COy;4;P^BnbRdydkk=cCrI z3P?KD6Yh(ksm->$cCoamEIQUNHdbjU)WD4Y+QntJJFy4#!F-5xCaDHLd?HjesR^gW zOc?7|tu~N4Tg$F!H$~DPsG!YkMW?#J`M#2QNl|0Iey&gWfNOw7*N*zRQXdm}C*%1}W2G%nwrpFY$gy zDGjj@r)c@@k>r~O&FP{HPeU-BpeQMy(B_)?Q5J`-6`jyasZ?m_wY%v-La@wn$Ccn zLJ1?EDIgT!GX>-ta&GcE#i}(3Na4N=h67|*fLIvi6t(?Fex}{|%W^usutLUnN)p-Q zq!gP_`W=hL-;i_GQ?zM%*{;ZP)2Hxk=!E`pd+653yeq2Ld}G3tIq7*uys+%XCB&(> zKZflSzF&&YSiU2~?NR*lKFq$gV2`Y@Pmi?rK((NZe|%^%FdKg1T}3CBJcqak5w82h zi)Il(7ku7V9jH?gOdh-$$dc##!4&bR!3mq_U6!zr@c<~SS{eZ9HHaGQrjYwS}) za@L3k=T5ZiK5XzH171_nxSh!$ZZG%QV>RpE%7la<(&svmaJQ#?#OyYCJ@yzZEtmXp2<_wVwR-f^o!EyF$& zgf%28>>+$KQv?=u8@u-Y=AY5mwc$REV4J88M@l7&Hto6mdnl{AnP^jqzm$7h>}N4< zbp$H2xG%d^n${79Va}?2FRXU=2*TtiS@+V7Cgq@Wf4H4WZ9J#KZe76LEYNB*rXwqO| z@k#MO;Q}5Jlr*NGW?7Iom25F=k$yWLWSqR2X!&`D%G9|_%O4$?)cpFAsohqB&!JpA zg7xy#zxH$D)0(JmETsfNdM9{_NA8^@zOc85)ko?)I&`t-K}wK%9p`)VFipaY88C6b zKiZdwyq^00%^?oAIvgd&&L#@MuOhfHfgX#V+gTg7oQYBnA03U7U*nq?Pd?}>T&`7; z7mLOFRnMzH6x%G;C?VqUV3DZ-3w5irmShrf4(e2S3UL#u3F&M7U+^j)ujZpknT}S4 zca4fotozJozmEA6!D7SJ;AjPupN560WIr$4x%uUte%hCrT~tSYLWj#o@B)c%8;qvx zs&@o1CPcQ#qf7dNeKN!9)A;yiA#MHT)qyYS#ZrOsS!M=oEsDj9Xs@-JoprlK=&2Cj zVyIe|qR=?bVJUUPKfq+Vi7}AZvMBK(Ox|!3!Q03c!y%9qke>~Ta$+IUC&50Yyb?VvA*Mr7kx1r`4I@R6sm3;wp6eYljMK|7Vp0-gU71YcY0+A<1(fKsvVXf&q|E)vI z+?5ZlYJk7_QTwLo`+Lk%$WNeXkbO7oemXLaLTHuOSQM9z&ZwVaQCO7)ah^`hgKpbr zebnW8Qb*%o;C%kxX*{m;7R`@N_$o&gB#YHXw+O{XO;_E2{4STjyTbaJu3_G_H26dL z#xVuH}*-vEyezgqYdGP}20?KVeAQqE0p4nT{zjdIKo9gt~ zR8ky3UaXtAKV!a}jT67K&dJ@p4gKxH`e9}NC(%-)I5#Kvz$-MTp5;EgvfIU?;XWtD zeqTABo0D&Ze)L5O0)xyM8@sQQ-uYs4qQk}I>>l-|l*E;Cj*=@OIX}Lqql4v&Q{`#) z)CYpda<5T9w5yoy!cWo?0I)%JhJ9bOB-y9v{M2H+SZHc}Dw%C@0_uFVA{dW=IiZr> zbICHL<*ZlkJY`4-KO7N1Ou!1N%B;)_zbZH7=laueJ{hP8Mae4m5=&L;UFXEjb-H{- zj{Z2KW@vx7)}+e$w>B?-tjhY=;E0}Z14wN8(#}?W>5g|WL3P6By4}is*ZtGF?g-oM zzx;HKdXs?%%q?r)X zuD5l&J4aZXF$U|L>Azyn0qrE~+1xqMo<}I(1;u7ie`0$;{m>r}yg)}kYV7w$o@fnW zc$HGdcIAt?@f*8(DF$GM>!ozzvRh$tSgOa3V-?5;{5@7-$oKv71NctZ^`@%W8_7NWNOEte?WPja(RmiatJv2)1+Ns+m-(pY z+pA;VHT27Ya_u(qF6bU5IVaz?asI9ZZD?CMhZAQ#r{Q)xgj>~S)^(c>LZ&J0rTm|{ zk1HP=vMW)xgF~-Kt;@NuEPg2)wh1YRfL@$rG(6G!R*1}1U=JDir^a>I!2rcv!-;H> z1F*QiX|E*2jeejZK5iy}d&%(35?k-OXr9m#^sAYPZn6*0yZ+jq)dJhU!M+*L0F!Z3 zDmJ=|-h|!{8tF4EBIkJ0TE}8HYXKYV3&Vo-yc!_A93Xd8TDjE<;QBF?>R??7xe|QNRpB>R{0wYflNJg{74q3>`X` zBS+WU^>n?|tP%V$5x9QU>PVqZorew=@i&;t%t zQQ{(HN9{h(qb#~xw^=^@n$WhpWv4BSpgz_sKwO4hRK^y|Bc6pTh$s@89Mz4P-A%H- ze%1F-=(nU&SGKw7ipd1&qRZo3OW&8WUi5I1*~NXn$QmhEQZReIFEb#v&HC2B2`2n*OlVrD`n4(t+)Y zRBjH32$*q&ZVgmmNgnT*4#FsU*8X{K4BH=EXHjqaCs7|qhl_3lX8hijw1aM|qWsZ( z6Cqk-%mmNK$NaH)?s<%9rhYmBPI8$N89$f{rr804gKwfiod=xmM->cX$rgpgw@Dg) zQHVO-3j=KLh3yL`{?@SX7SU$C!)-N;xH(f0;~mgbPo*t;&9`nHsARZii7}BF*f0_& zF`tw$nJbYzEaX*lw_TJ8zBD~p>sPd)6s{{;#tQP@XgZ`qlnSgMoM2|vbL|9YdmkHuV4wV+MxY9b!Vd!N;WlH}()rM= z#gt0hW$BUb-xLtFWoD{LlzQoLj=(}FVwUdfT6;zb?%Sf^m?wy$MY5S+egl5GvNhXr z57CSsCuHW8Qs2p{5MKW6Rq+A86WV7oUN#-7Cms}4&!^1UNf!}%rQK6Lh4Huwk8}zX z*rUgwWUw_HAk&4nb4$BQ_`&|SK6FPdFCD6ht#EDEC<0e;58EID!Fx8Gqwe%%?gC-a z?TO#o?CV8+@YYY5)7&HnTei;j=7PyKNV^5nE-;=-2N#O@nkYKS%a`w5r8n}FRK4x_ zUJ~!#C90xOfhBYczH;RC$vHO6=$3cdZXFonk5${Z;}81rjo)c%p1YyrIB?o(vAE(W zvET;4m3DyEKxW21joY-Yl#eIP`at|!uh8tQ7>qNMkAgHxPB?D3tOevi=Vj2mvFP0F zYM>sqWmQPD#U&tvV2oi>qY&i_*LM@MWUr4n{7*4b6a?m<@hG<=ywmHm;)KjnZkRa! zNy6D{7XVdL7d4%BYD#r-ic|9$(IHZ(sZ8+)Q&}%jOVh(9wf(SXNWfa>Zwn`M?g-TK zpm>#PU1`L;fm`-EzZet6nD<-n}%}^9KInnKuAqaFC8HYn9iaSLlbA}AS`jUd} z4Hds)F!`i!J`~PF=L&Tb>TyJEo%wJ-!EY_9E9(lI7QC)b^g1+g7*xD+cUQH<#9ny& z$ma_$Qdih8aIk5{0Mp}%meOSmkXO~K0L&hD?v(`H zUVK3`s5paCQvc_~;g`eW$ZK_t01FI%#VIX4p4(eHP3dTjdetNMWITLtU2ahDGm?2d zg1%N{V|->(U!t#=ZzMICFeTGK+4V)bbU*?7xPQX2ZDKipZNDbmen*?Mt)qH1$({Vx zT9QO6L%=NaaplTOy#fdg#Nw0L_PfGBj&#hLG*P+bv4rrk-$RZw4yQ%hKZ`p5Ni)$v zn&mCkY^f z%?SETv!8qOmlb`moWM1BgAd~TeA_yf81VULFkk_EG_o%SF2&agn+EE#CbU0lq%e6aVrZP!?6_48-WMzFwl_G0B}7UQ9M*Q?a%W7H zZZF;ZVvP6z-P~A!Q^C3Dw(kmrEY-wFaeUL^;^)ymBFF?!La&B_? zLN7jx(83L~v9F%#Vo-n4#`%LU)XcD^KfiP5h{kO6LuhcGt8to9!;FSFqa?tGT{j$> z+aVP@*7n*R)bTE6tr+XJ$fJ{55`Zp`rkl>-@W@|Mb7~=Din=57ND)A3Lv)r z?V`-vmt$cT_sv)Kl|qX<1k9tK_;?m#(*d4!{-pfwc6fIyFu%J*t@Ez4QAd;6j{!x_ z_<(m2W-FH7#ps0k!s&|ncXaOyQa1(!(};T?_Feo!V5=p@p6psoOdnZ81bx7$1a8TY zox>Z&!Z3WVu4%@+MHY%B9?e^PYku!3D2Mm}8kD~miYL?p6O45nH!F`Wny(b5fO<9d zM7~=%tW2?brwea1y>q@~^i;OZSM~`rl#9g*AIdkbdbx(Gx0Es}6uk-f`VnygYUNkq zLk)8!+21c$^K_}j2l-paZy|!%v~{j3F8p!)E8(Y>64>pJgUiVg>%o7rn9{zG)G?Kk z3Ugp;jE(z#lLvQfQ9nqpeTVG9x(H??OY2~}w@)9m?1ir?)G^coza3VsiCkDUpN)|% z%?-*1Sz-$rr!J>Y={DHmi61%5w<4f>B|O1ghb+7eBpVeaMwY5ECq0r6D?mdBbf&K5 znIQYFp4yIVd40*}=hc|QOE*8aJz4-T>5ih@l|WoA1P7{C+K^ghBnr^$_G$bDHqIc0 zVxx|+5g>rm6FdiSbMp(PU5jKKM>4I6ZNyB+IFcwQB~=QR7VY)?Uso8#xbfI0DzbUV zu-;Wo$C2zno*~T5V9$^h;k|v{{D6@u)AV|vrmz& z6|M{}zq3HaYSTRI#62KkEFL-|So;f$?#w8cqsG}a4Ndi4U1EH5^9?-x5O!AX)$ zIR~D)2c~Oq8Jw<`x(A{~ez~=ZdKm4j;em8m4U5XRv0@IzPHchc5O`dz%H0r<{0aXq|7ma#aC=NEo~PyHQCy9&1ky6>!&mP$Vx9=a-yDjg7;I) zo<7E6KRUCYpiVaLNNmc$(ecd7T9`7_-456#!L)P4+Rn3BUU^KI7Ms%y5FgJ{KL0BsJ zijzW~w^=GLzO@0QMYGOcui-(jz4X0%aFby0}LB|n6IUH#>5fv`_i(`<#7 zuN+NX1c@oyiCXcJC!;>vNonVLCxlg&sI&9Tls)=(CrdnJ+S`LU0?hcE ze83HewB4JCLg(>;9?I~RSj>oSUs2}ECo^?Tz3X!1yXrerKHJaj@PLoH8c89~-5yCs z8^i2Dk~#w{AoCq+Ja9K})fR!d=HOi~cDyV2R_1xq^E^-LQTla48U7>rRdry+n=;Qe zy5&4MpUrdmHw6hwDYB!!6H{WYk&x2P;_s;aem4sbjV>~q?8Uq@`ycU~>1(B_x1nWW zX?IThh2*k;4vXI%Av>Q&H>h@5c4Pdbu%jJ$h0#JH#EV}wX|086-axNybTg(qQ!*+H zGAj<$w;5z-wTf{ofzI0U?%m(%5}AjVP5?DbXkQALvx8`_PPl`7p5LBGF5jw6ZJV~c zWoXgkJy#ch%`(08^?iem=l>OMNggX!*XujaIXanOUfxbhE~tBE$&HVTK`R9cXOYKl zL8vBVJxr!(f}ptej)wvj23bQQ*GFccz}!&0B`i>5Ex(FX`6KatE0SicQ%!2j)S-bz zb-m!i%UuS<_bJ(s=xDNIQ(p2ne6CxQRonUT4J(<3hr21q*13 zTftOwERdSSi5Ho9k?ClCisAkinNFr_0M+X zMtT{mX&2{d_YK?y3(x~v=OIGZ4Zf)$Hx!*`hK5(7!_ATbW_H+G6x|CEptYA%_y&OE zs&O!t#Hz39?leS|bINf;<34y0_OHcH3zpOfu^zM3*7Y74prHI=;I#hmoC56dLX=?S z_J=L5Qqbx@HJP^|2V^XzK}S^N@)SPg0^s+TUi7}Qq*IZXm_Ff$9@zzO03)RAK}Z8l zY`Gw!k47k;N)Wv@bhXb^Ny{ty@s+;;f39xuvrc*Gnn?G2bgwhOGuNH#be9Z_;O zs*wYo;a%0@x)o{k*org{n(a*rvVQzzwm<%tcQAAvY&inHK@q9!so2wj2X)PZ8PiwZ zfH-`&Sg{AnpDZOA@53jC zE5!GY9SaVZHv8m}L&Ktfn;!yZCCq5|9T(qI)EYhslG;7aQ5J%_*sQz8n zs%QNXRke2SHg>ODNvtaFbM+~VYg{f|2>>3k2}z)cx3LLdwVn3!#7Eoo2E{sgqzUi>^^wHGZQBey~S7C^is%lW$98liU5usCh6)Ac>!%M1fz-EW#l*uw| zEfUECuB$-CJ%G*gu&6t*5l-D(4)xYh%dPwFs@?wJ=cb01ormeEz&u-mS6=`dOmyrA zH5-?&T+?_<^0+txEp(6ghp@1!9_0eVuSex48;sxOr5EeXSz<=_P|huF=9wr9Vx4@O zD9gs*C5Ar$AxZ_1fy)7Ri9knDz|vDr!Y;}dw9SPNKO}V8us3y@#PT&+2Q3PvE6!Gv z);1xq#TW_S?6F}US9D^Csa3&~5vYkGkp&uSyvvn9-SxY>kAxU>=STk_8TU=IByKm zf_OqMXhHPImRUTJGf~GR9hN_?gTJUPG))4-@*%pcY&6`sWTP{-x&_9I<1M@Up?htKxE=(d}S<3Xn8Zwj6I zg`2hwB6wT}69MwZ`U<_9y6%j%G(MmvdRC6LNnrM^?bu>C(r2TVjs=lV5mc0end&(^ z51L~%GGqL?I7U$Kg?jG$r=CIC&Z~WU?NT>usGrmshTP3aOLJ)m?QL0Dk}9yIF#~_7 zE&X2T*3SX1^U%?)iFCvVj^+y&-_0hcUhOFR@?GTxuuSVCgU7KDxu9gwwi>Dx{rsTL z%aBq{o#p|H(p*`)8Z9+Vn~wp_@Q&MDq5PgE&JXDIx1eu1g~Hw-0kz2bu|_|gsY^Ja znk(uazKspbA)wAz;&ui``op(v4-4e&j*+tm&>=}zetnvww=Tv z=ar68t9m_gp4R2^l`YT^s$>s~dUSpFFw@d1wlVW(!6?VqZ_J4x~5 z8L0UDVjqk`(%d};~tlr zSKs;6I59VE_1Yebk{-;xQwHZ^rU=M2{}BT_-tFkZQbW|uZVR;-io;Ha6Sv3c!ex8x z?c9!fJNd!b^vu7KZvI4Y+(tJKhugO#Xtf&pil*V}7LP4wfziaxW?MPjw?Ap;$7vmb zR~;9ClA!~&=`t_^XF_~c3C+{<;E^{EOUKlf)u?SJMIxf(l5h+r>Q#CBs*)8DoUXdS z^R9{eC~hP21V>kr;OALyNP;`T$}3Ux~b%CimxFOLW!zukotqM z0rV?ixil}_`uz=g&1gitn^mmQd|Re{(V6WueC{L9cMjX+>(enkND1jjs(kB(*ahSH z+=#Qxmf=8vOvjgmY=;^PPDI6Sd*WV5nUtQ&ww4L)=W5u_J%>FMtg*ugUsw{WnlxGd zxo>wd1Nr%r|Brti+&*`4{gM@U-oDZxGjg}|x(5sC%rp%iA)vVX+a3WH*?Asebgy6hNH7jpCr2%xEl$&^;H5Ep5(}ACGMSnfwNp=+{jPDuoQwMfcwQUe z`jrCkY_B8rlAy-hc9myJEoMse3aDWd+G8kfSWw}cCko<>UgHD*;!s>FTY-gW0J5-T9?P9AKAJUE{DjN17m`ypN zzA|*%p&rMOce3l+NiY6SD=a;M6;T=nsz_*e$OhAy3AKDk^KqRtiq)?K#ht>yO1Zdw z=p&0{QiAnHY^`pCQWnHnIIAwUe*@Uwjq%7-40-BOP==D!9m|+DIjuzyR8Go6yKuos zs5MTt16V{fUYX|FY58?BPta*SoM zg5osurl<#hXA22uwRrp|yS;;~c>Zbq%cSK=qs}v*1Mc;U)%nTJNJx|55L%g#=R z;aetbZ5#Youa~n+#Gw^!3jRm;TV2@aMzjS6vV=R2+Pi5|XKiR&=LK8`%%Zl4pShol zlydH4trk)0GO(KpX49E(8ymK2H|YIFBAB4Zkl!fZopE?-q*-7-UPApubN8$P4G+8| zE0L`(P1qMSUfF=SJfU`NKzMOm+=;@m?)T{)*K&9v>S+Gdy>{JA^~bUK>g1L@>y0Mw z3>JvP`t(x$u|Z?X&wXNU{;$y+O!wfc9h3$E1ao3JBS4JldL3BSq}6Ra8Un8hMxb4o zS4j$o9rshN2*Y!l_F{hjhsyCMJ=Kn&dAp~2vS_Qbbm>pmYU17rJ5>$QDJReA9=UhZ z(c%k~otT69TgC1CVXA$HX=Ph}5y93&D-T6)nD=qxCK#N@Va{0xFXmEO^=U29AoNgc{=Z3ZXMe zDgCkygRQz&1cDeOa^URcs=#^}vQmEB9I^x8%gu^jCLGHBkI9;ja z{R&VLW%=8xy>EE1O*nlw*6WF)UZFab7V#5}0jP1*Wx&nHT;^=a6Zy2ZrVymXkwl(n z^(Kib#~w#JE>6JrWAK0ryqIaSTN<4CmJ?URp4!#EQQx;N0{o-iZVk1ki}ZNbdn>n< zBp8dOls4rH)RJ7oV`~@EG}eHQetUPz`DTrs2H!-`>VO;kR90goFi~PI+`jF`>6i2E zeH&3}6Nk{2x+BVE2og9RCB6gKP!b=xS9u2`teOI{*QIQ~eMp2>#RU~QmsM&U9t^`S zH}+)Wt3*(B^h6^1wipRTc}1D?fp{&1R*R_mp1az?XAzhe$GW7cpk>@H*)>0?!q-h| z`$3O_`sIFMTSgzzRgodlfctcDo)DN1!Ro@a`7e~OxcXoxhI9T{CoaFd15#1#P7Teh zOK2rq+I2bU`;oy;^&bc}Y_qX zfjZS7>)aj($ua^`QR>VcJ!+YqpUkJfqa)u0;U@cCt3rY_dw3<>-2A?8J7XgAUb*YkMXj}`Gg~CR~Ub^r4Ikz>Z{^!5G{`1d& z`>+2)4cWM;Q+1-*JPBEh&1n9$zBF@#R z8?$2Vh313qbeDE;JVNW6BnHBzRUR#{W`ykg0}<^J5{qG2+ULHO6u8|*i*UkStY$k1 z^YO%jp|jqN^C6^3?Z@!eLVIB~4J z4Q9I+T{KSy+?Fd-E$mX*2GS?mLkIC2W-exAE+$z!Ei+IQ?bLzmiT6c2oo?x2zDp1JpUJjQGLx9cPMr2 zkbf$+Uuj)MN0v+`ab-x8iJ~tN;>Nw!ujD4cR&EQf5ZdRefd3d2e`<1cQWh!?X_Vr& zEbk&*$hw|i%NLJ+`^B4C85Oh}3jZvZhKFndupBnmT>^QLURa_Of;wyvMuqdiITB-B zxt&KG+>jU=OoD0QS8-96TvZ{PB-9u9zUX?}m#=;2^3!kMg{cGs?`)rT_Kr0Ruioi=hc(;2 zhb_tx?d@{_fT<8*3EtCr0@&#Ei_SOQE*8b6*_q2r0>F_L4J*@eZ`g!DdIA`Js+`+(h^rU)+r*=PK`gCc=l+L`D<846^_NqVW{pMAhV~)}KpTQf^9Q~NJo3^Y8B(S7{QAB#O``&A znD(D*WPen}kefoU#C=@Ab2bpF05E&?>9XQI-7jt5kK12{>f+vJ`XVU3Di6U3>d@W@GTC0b1@f04gR(Y5*tglDdycamZR^v z$9UM`qJ383G{G{15A-LaELyo%Q-Ot~9x!OO??g#;A@!2$gM$Xq?~!Xq0+(?A^Ix3V<$OD$+DZ~#lk$KU$SNv zo61)n(rSQ-2o;y#@vI(H(Rq>9V^jVnB?Ibi5hjUS0bykxY;0>(8NfRlDPmg^ksf>lM&$Ml0Ha^|-O?ZE1jCr|q@XM%Am z^J;y}{Aq-V$3PpZf5LT_eA417E3h-(?#gWzpNi0a(V+6c0y1%~U1809=5-0gZ8vv_ ze&}7`*|(`Z^3M(NWw`2%+Iq$b_4zK2>{@3#nKEjJ zF*=j|saMi&CgJ(#)AkCdlc>=0U-jdR1t?p)gF@bqIQg*=rm;AhAM#27$kqUQ#2OTZ za}J(pA`vp0dN(VT4DUuImok?A2uJ<^f+y&aKYHBe!123mgWs}=U9 zr7dPE`5rIqftx5shwLX2rZ{d9?;llU&ycX{iHK}|T`Ype?!+MA90#1_$ar0FlZ{xm zxCi{|BM`Xr_}fPR<~K!3Z0v|?cT?tZ)tOh5y8nWp#q5K^Z0<|o*IwiStr@8pUpM8T zI&>E8l)Z38lvdCpU>Vhc+uQftUF!9x>FpN<(Tnf>;NTs{G-4%vyqYQ|VmEFZ`7+${ zCh62*fk7`f4FIP$0FmatDmI?ZOZ@(t&r1}lS%@}vv1+pf_tBee;J`v4H9sNP-6=ad zfZ4-ol6ieZKJVJ|zmGrG{*FkA3tvM$B<$-Eq{ywR--(#|eK!ICA ze6TO%L`ZxRI&u+#z`!viy)z>jVoV1{#<#$zMKwmTf zH$U~XJ{_;m;upOOOzoab=vu}T^KjeGdyvv=8ou6k?lHI=E%OtI^1qN8Isg$ozI(@? zqxwc*jaEYHuL5orX4M7gZ2sub<7|j3fQxLX15@Xh1!+_<9pccQyYw@2PL+eGHfW3y zt|n%|hCDk^F|A<7SHU&n1gz^M)#*r|$zvbL4VlK6hf-W}8Iv<8vUEA&yU;*UZX7LQm3fjqN)4U>J< zZivAqAu+skXfWLh0B`A!#cARcGn)Y~d;&Ht$OYPPI#>Gpi>`V9O*e1QqNj(TE?#KC$stQB}Bf z+5`lukXn>kfCYd7frqrHXJ2$kvJo2ujo4I6c^W_4QR}%=W_) z$Zf+Q;NchznNY>1oH0@8^x#pfU=sONT@O`;!jTXq_6 zkmO$bYK{Z4v0BbsEto}xwa=;yDx1rlvpd!9MuDkSvSxv({&RGgMSg>-PczY z8l(lc-L-$m%`%k*yU)!Uor>YtOeG4$%_xwn@5W<|y=yp?`e5>hnFZP<4 zXaQ5{X5l(h+f8iATg^QDF4Tki;Na5Bc>K;*2rMns*AU5509%8wXxdJNnlLSvzEooz za(~Io%OKu&g+#?JgAy9y%zunsFimhD?u$^1Y(X(aSn0e46vd z9Ko6GbotmEIcO3UvPTQ|5Z)I^f!kr?oMVQIMPOZEl5MMEfnwkWVE4h(7Xz%v_yuH8 zU|uAJn9H~%Ob~pOLzHcif(rUxnI5`i_Qr*DXC*D%fSe9Uouq=uD$W=V{!nk}DbmlWj5nYXWu|i%k*iQyly25x77RzYbtjag+ z;)RQ6&btn;od$C(WixgpCxb%Pyu%9NJCD9%<4kk3E0|DLO5_>|7cUpQF}@6Ld%P&% zJofc-)BbVk;7KqCj~aUUmXh6C!o*WelvIZoQWHa!2-z@6sbkZgYxQ(tiT6)a_TAh+ z9~gHwW3-l-)_i+Yrg}hiHDVyx&J%&%vuL)kLj4LR6Tr>ys#(&&U{C~P62t2J3#qXj zbU1amU)L_W$rF*?9mn9Ta!x{nTe~6q+P{wYR-cj+3Dta5e{d0^PVP zNI=qKmh0d6pza`<`MGFQUgLUR1uh+s8~42F#)@ryM$ei}fO9KQc?Pgh78b|le+{;x zf2?=wJWD9egyD`(n(y*8$*Kx z0Els^Bg_zmaI{l|_hxM-gn39c0kZ)EeIId$0F0ab0tAH5X`zgt;*an3cC^W2HK7z| z+MBzM3`>MNmUzbdFOJ3Ina~%Wje2qx5C**{gp|!Florc&(!I+JMb~CB??(RSmPliW49mdghMpBWJz<+VD+ycR`CMH8&9Wy?j8iFqWC!OcGsUMl7T>@A4rD)%+GF>&3_ zGNq5#a0(SzvDt^{%P4+Uq|8_LrC3`}2bfC-t?KVgSEY~BmW~)s9ar^4b&%9AQsBEb z+9y&K0Ra|HI(piW!7?NGh;eq&&B}2)ce7gT0=nyWP#K5Y&6+Q~IRH#6=nNr@%qOX2 zObiOOXbfXbl~!Y_mLDu)@qlf8tw>tFoKG`a*b)%TV1FAH-MvC6#mVnFv(q?ze{L(? z(I?XB%!~;CO?8zmtXyKW+_$VOI`)Ndx+8Ipv&)@G_*Jx{Ee zLnE~>aRneN4@<4yHZ#%!=eTw%Xy?BYuWR1l?plXZqM7%Xm!12G)pd}B?lb7T2HwTaYQ*G;G#CUWKFDw_{0(#2f5Y%Of9LFLNYQ`i@L_-_ev15YD9++TJTs z{brLh!n$xha}grSF=&4MbN9DSC>akyrfQLLvVYqr^sU>m5^UaV77s#ph8|n4=)=_g zlnlse8LLUlT|0GS%Bq?2jbZaroTqeg>xb&x1t}r~j^#C9xC%Bz2y%tmdZGmB4$%8j z_Sq#J3<-nvk)uNsl4nK~$1R4O5h(*^`;SYEXQKF`d4D-)Wb%>{HHXalx&pE~JZFuD zS{0eNJA$?geBtAYfQYV&5U!eo0!&<2^ns(4Q63Ddwgrq1ap6}EcREc0WIc&lu!M*2 znt^$u%_HjK(@se!{ft*Q=6FTv&~`|4j36|L9BPd8HC*dK=K$Kgj5^!VA84GC*mUqE z)oEW1_R$KnRCfnD?Scow|8j=P4cL{aQv;3nC=e%f^xK}8S2`H^E68qpm%^9_&o@Ap zbNhJUwu`V>P>SkRz0+De+u&WA>4nIa(e`r1PrhdF9d3jkXA!gEsOfC|b*ejnb!sK= zgI^a8wP-1y(${>W!mbp&!XWi(%?qTsoecey#nf6L+lXJ@>narPpv zqq^E`B*(DupA#3Yt&so5< z?svR8QIxwLkMHM~^B+w^cMt()$w$7h=o9ACq8%;DcFKY?h@O(&^=382?|&IsBm04S zdA=r7SQt}$no9787bvk^30pUzOg``Six&g(bEH9;SMNf5JFOfdb3K*uO|f4mS~?(x zg&+|rp&`i9UpySdCW6-cA8{)?dc|`Zt96zbOVl>A@3m$f=;_6C zaw}bRPjE(x3q8RZ-!9nxk^R|L)c(zNiV8RV9`b=~Fe(W`dR21|jtU}+=#1gps%Sd3 zj<44pdm5u0LM<2^Tv$MSjuPiunpwo{w=9T*r@N)ohWjE5X5_30LtXlWMX2P`yw!cG zcaG5yJ)~_I$`go-?%XLDZcQCCs&OqchqK#}CW$xdA!NprW?tg>q!NZZpTSLde?j@a zY9T96J0;N>JHc@!$MA*4ew{E{NcsQ{|JbxD|JQgbr4GGv)0?_=FB7ZQ%v_)D2{nc< zok=A%Nv^&}qFdK9wCMy2B1}6furbFLXH&YWhmuZ6jxR)g&`LptmK5tah3hI{{-B7# zcAcK{U5fEX&8N2KiA%S|{tyr!f^t+?NRLyevOw-%Rq93Pr-PbWgCZ0QE$q6`5qQIM z5>;XA_;$$;wJQ^kFHVI8s-`#`G&FXF?%`zhRG*{C>f1_M!ORBfz0f{<1^3OcPwayt0vGM%%qwNV5PBy z>@o>p9s*Xfyl;Tlw6M4m*wjYrr;=URDmp}|c7Trz3&HTA=az!pvSV`)S#U-~UM2g} z4%KrXl^Fl>Mny72%rRb|EzeFlHyNGkDuz7CManTs=jzobbSz)&C#?uwLL){9n`xUR zSkgkbgG(t24`!|4&@29w9?dt?e6zHDGj1YvrW0apB_xKMt`kE$utpBOlCSqp?}plK zH3SwWLX{RR0j7Z8VV<`u7OhWB-NtuV0D^6^{t3PELjUAU8@CW0T<0Ks*B9d`Y1Qd> z7$wu);pQJGp>p#xG$wbx?uKa^f6?-H=Di zpJH1ct(=f8yQ@G&;+x>RP#e-jLSnu39Dp>7)b>WC3=-q=5*fcP^I9`=hn%q;6ZfY0 zKJwOB_sun!kp@Nt^9jrgj_D3p#rCO$J8X=dUVhhU!wa4-tUHf^MPAx6)UIPsP(y}u ze5p5mUQR>9BxTJ370jy2)GSztmNmGQ#jLRTU!qJW<9-ZDzA1(zCZ1|nMM}zvOkU_3 zXmdm~M*d_Zsb`5gwAjgPO8BO*?|_X_oZ%OIfR8y=MzDF4*s+o_cdJQGg21^{DOl7- z@>|Z%-+8smdwVJnb3jb+u2tO`($O2YxH5hNE50J>nfQzy3_HIY=N}(9>CLePp}u|| zhCUv?DHfjp>tOLNfjWKO5bFx3jK@NATy6hA1bBSiR8+7|Sn6D-ri1Six|B?O>E_w% zyy8OVZEp$&7@~?@Ddq3#oRtA<>>(8xDC02zj3lq;ksBKq9D}y7A}=v<#IdNd0d&S$ zQd3+O)IGAry%x)WyL$AcVjJ2{)C8!VDv<$I)o`~2qJElmO~Y=hoDK~WTe+gXsy}fo z`H(=|xN3v7xHG{WMRe$;Swv^`Eko-xL0>!C(%UycZWu;hH7G&5sOhHc>9ACQZaz2c zEFnPwp?J--2VKi;7M~Zbn?i$^Ci(699ZuNUZOW=neTBjRhUMWCrYqE6FmfoQ!`-ZT|vWHN=9qZD{ozV^Gx9l6(P?6mgD1q&|_2vOk zcy!1(V+P=ZcMPN35pPaaaJTAgBd}Cum|w?;@wXgV?OhnRgyx=1K>!VG`YZ?-TKnn@ zPe5HGCkbboKOX(k_prv0W@DakQpWyN<7A-6`QgqcFCtL`m#R7&K~o{^?cBH?mmcPN zTT;HWATv_|20{?;jk{VcM-^*cFYbwk_4yc_@)C(#3fpUb)eH0&zwL;S{WJudoe6&) z;B*gx_)S7(c3$gzdvD7;u6C;R*m!|a+;#oJ97X||Ka8&ed9ngy)G1|RNlm`+W);}H zVrQ?8d__MamK%;#T(Z5aO(iYtBeJmc;0aqlitUzNSyZ7c9^sB-Tx@c>MOIIWl~4q7 z>giDgq$##KoF1Np>aGK#e0FL?8flQNkxno!(35uDyG_@=Oxga%o}cbyWjXOaR3_hK z&t>zT4~l$c-{Rn8K!vq`dq9y^p(yB?70dFhb=!?-dQ@FNYWS?aBb%8i7et)1xkmmE z@PwfJHavl&>y)GGhEd6H@_aItb9NRzh9dtxtMoB`p7VnDV&pL$VuLOvrci+8WH?v( zpqJ!lXub)4yPkRW?c@HpFN)-IPrgd^AUX$+M9X4hcxc*%GSHygRn;_3`c!6Ld&8;T>{2-;9Ul=QDKBBkyA z^2eeaR1lCf=OYqm@Ql@%!)m*R!uD$IV?o<@PI=sx^>C#^{?J`shSwH|fCy(X_7D9Kkn}9u17bk9# zhsKJmslcYX_|W;P)$+47yC33C3Zp*6rvmWD*N=Yt^^g963#;XQA3;Il2Al#NJeqN{ z3@VT#VZ8+s`oT5mus52QsKGx&G|Qh|6EPtrC`+o%rDVBZ-C!;numzPfwN`@a09)rSSceVmjI_l)uGV)9O9C90Qm z;b~zsg`rrAFMSlgK(sf}&(ME~)d?boIcZVD8p=)WGy2*un$<@a{Cn(N)AsK7K}cWDCv*B`_S@pbgEYlHx~6qO3GDQ)p9$5q*kH|0 zO+sDvWPX3j$eE5NXub;oj3Mw^P`Doi+UIjzOKX#4GpN(D&{@mxi*4HV2PxM0`qgXWL&yF*Jzv@&n2AQz}oQ%MMrSRe!`r3)X(FhrC@g#;l%o5LC;IE1IE#^Av`6w92S6@_kzU$p9~&!EB7Y# zHGgoAdz2SY6^(e9ZI~9?USB?;xJD4BqR6N6vjBhDcSojG^O0#)d|C+4S$3hl>rcki zF%-diXUq#>fiFgta9p_kAPLIJy2cx>qgFYz|V6jAC0MM!8uynbqv+)4@XIXl0=nq%aMb z+WE+k7c@U#$Z}yr20Xjdf21E1L_UVzf^1+cilD=yurSES)XbVcS=Xr}d6hUHnakMI zV4P$`U+%U)QQm{-=;~T#T#V$yL<&EPXF;dP!oGUs%HpCG5!R0ulB92}@(@|Rf^6L` zYw0FvcvV%hAOH3*fBUn+81I>w$%sLc+OPTsAS6>DEOYxCyg4+JSmB201}k4d{(#0k z`+#}yc@MWAX(c5&{E(7knc<3Yu3d}>?}Wof*vXwzk&5YR#32qVv@W2;fsszq{Z$1W zpnJgZ6SZg7C&BP-rB70Dxs&f6SiA4LmtPN+CX96VZv$g5Y}y~Vv=hu$r5Ak)2g1?7 zc01jTRg)K||2ffo2$4dkk9L!!F=kbkiVDv7qdVuPY2jd&Gk4(f0V1?kiZ6k;X_CP_ z&wyBwQ?=$pR3i7ql@6Bs(!6rszd4mt8iF4|G||9rVY`G6`0d5O=XUt;POaWn?bv;_ zdeCV`b3j*30fLH5jB~R{f(eP2H;mMr2aQEaIQ^Ds7$UXaAu0YZcPAwm1&f9+sqIriuv9T;Gfa)u!#6bq!{E zH0bsrs>AzfAG%Vw&Xb?;+Rz9hMW1`O!}`yy|6r+ORUKd3#k{CldG>+#=_NEGRd@)@ZfU~{SYC%J&@vhAMb0XxA;`eFTksU^@fz%Hjf|$ZWY#@J_(7NC;?Ds$M!+3D%Wf~$M zf+KSUje3>Zqu6xL+%#TT751)=q4eq{A8#K+@}~pJ|dTglCe*1gq)AdXa+js z+4h+TLZ4U)RRU9pS*=vnDhZ&zcX_8#Z1MiLqNfM6a1b-2=(PmH(d`(HsOuBRmxnd`Sj2 z{lJ>?ig2o9JHQJTm!=;gTTJrfEzP30h=!0}qy%tEd+sQ(JT0U6iT=J&pUex-9gjl| zPug_6X}O$8&xUr8l;0La&hyf>W`C#fa66-TcP^H<4-XE;p$Qs&-&`#X4T`w}DmXH- zDOiHcuC^c3JdE;BICPXhmR0kyNiVpl1YJx)x|@9q-?V}fZ6w>M<2FF|oDrMvDZX|NcZooLAb`Jemdx>fX*+ajL9wEkxB}MNaCZHkyI>j=+*Ryh7iSKcvwRX8glYo0#fTEp2Vkyf z=k=NPHL;5PRT?Jfz7{t&TD0Z9y5gaQ4q|zeJA0ZVRNNWfno~DJ>M)70k;qVjv^Gj; z<|Gs737|JFI4DIszHo8$lZsKA@Rk6bSdS*h&omFlD)MY0z`Wdcg8^iaAbb;AaWns& zchLKI(^i1x5UN#p>+&G6+9VZz?B$7@{59M(KU(vj&Oi6vT!@(9{i|}*2bJrNh^BEj zW<+(nZ;n?#vDE38D2TFw*!I#Vx1z@R9+_iWbu+2XcbfELco3=1d+DdR;^dfToE&E{ z!nZw^{AOZ!UeP>U)%$kuh4>JsZy%mOwrk_g!z(rc7aL`}ziT$r#Ac^6FGpD2c;0k` zK$WDKeGIXaK*v`;lBM24QFK&OJesd`*om7qr8GZd)nuC2XJ<64F#8Oe!lzaaPzxE1 z{yx=`vcHh-IKh_x|Epj8>KA|i+wXt)A^*Al{ORX^{QmEL`6umuS^hcy>K}jl?)Sg_ zzW(KR-~aI4&+U=E`^}Gk_~GlP@7K}tg6?5o0v)W)YAL=g|>vr^YCza2Jo zo!HWvQl|5`slu%Y6;&yrNf4xrac7VWE$a6;y9zL#M0-JLF=VF*e%1nh7vG|`MBRjY zyw%MW*@7h>iIt(Icu`lX%XGj=Fz!Bk*m9rQYb0NRnN^_(?m`>$l2ri;n+(yZ2X(&= zeABAZ`F`@xWBz}2K;oKN{lZ5-$CBox@k5oTri6Uww?FK-mr{56N@t7P87})l&1gK zlt=)CICIyy<*3OvLVNaA*;Y=Xd0kTAm4l0-FrIc%I0d_>=QRc4X}%V#icmX!tWX^+ zmN$VCDvOwJSdf7mM3Ho3{A^m7)9J7s9}SFcL+Ew6(g6oIPjDBf(FrutGZZlG$8z!s$x)GU{ZU6`k=Xfsh2 z1SkSCq&vJ|#vdcmo^ji4;fOfvZNINIu)Z7_vlnRaeo-}4ru~2W%YXgnzm?haOohp4 zDt5*B+q+JgnvmNJ#U}vkMdvAfb|S@<$)`Pl38DhZuE^!pv&w^sd(_qBIEt?zFN9j9hjc zbv&WxS9P1`z6u3o`|E1rmFn@yfICvArt|~1%N1;~_c8u`8PzTcNptDrP%c}}9i!YF zD5|(GS>Il>3h&?_k3u9jMZ~o+FWW#DsZ)VmYAi1iz_L1YeP`~tnfm0G!Pk^OGuS;|J0GjP=V91Q`nkYCx1645lB$Ue%X?P@vLzv(EK{SR~(8*ujrQekQ z8sE2<9Z~ZqR-dh9UqXQ4jCSS9zM#pMw`O({&?1|7+f$rnYl@p);w9L{e~}Q}Gy`$_ zwF@3#LvE6CO_dd@!wG3SE+>tF$dFh*Wi{IunaJ|gxJ@!VmhrOh#afh~4UeDkyZOry^Z|N1aftAH?=eblzs9Q@4-2&uY)K+*1 z5)T1jm%Sh#aR`V4u9f#B zE-WpoYIGcx_)W);1PgR`-rw!wbBi2aXdqk;gWZNEn!sRDIn6dT;CdPGn>rI48YS8= zV8#V>uBCx-2r1T!yphfy>t0$lNGCiHU8V@LNF+8fhnhLfXAV1ok{{iIy>a$*$!tFz z#ut>>xY^obF}$`@E5jY0?0AfoiFmmmL8sT+{ zZBmipbL3sZr<3VSA`mK0BIFi-YOx!j*g1#$@%h>BSsgN)#IIR&fYIS zk&{inU$4YWbWA@z@KQ9i@$qu3Z(Z#+vS3U{!wV=>mPjNw;8B+ryIu=p@-fPWm$QF- z>eL{~Z< z8Y&a|eIShY6Bhra>>42&Dnp<(W-)aL(mA{>#N-_^rd_n#I~6l6prL_^=Hjl<_?g-G zDxE;ug1lv+FSI35%Rp!L?=0n*i#waQMvWK&;b7^t}Hkh@y7WoVMFT3@- zJAG(se(D#Ag%oytw#NjlIjMgRK|e+UI9~;9b9-=y+*st?0OR9=KqUAgDQ&yyP+IvS z*OgFtfxHr2+Fl7G8awvwG`sI8)*jNU{vo|kEW^CK@UXHAl>n+e6qT!&ht)3C*$EZ8 zdc9>J$FhJMZCYS!WiWAHb^AQv3u&f*`VYYhst58+s|f4NPMQ8qmNYi>bY%PxF{c(j z@~!KQBh%pk?%LX)KU#qGQzv(<^xFwqv1k<&dDwz2Wr6naf%9@h=tj*)8IeaX3p1U5 z)41{YD($l`GMWrIgPz8$fGa(%)15_FF(yTf?=^^*o!?- zeS?g41^b&VKb?RxII4+^-J%_Mse0^jAy^5PNTY)g>KaGPE|&fw#QU;ED1s?w)RSlZ zU;Sxqv>Q{iPKUd1OYxHC`Y!b!F3O3lS;gk#b>g}GI+>^zY4bV}P4r{!{&pv0=#AMK zwon}Hd9ojvSz>|tSw)EhHT9sPv`WdB-}C`arf;};n7Bgu%0925%@%8^{OKFmwTN3F zMgmZMn!UgQSK!JE{QT|RdSE(^3g*a$5OVDAx=^F}KuhN%`FhV7ypR=V-7Rf>%78n*9x8pw>|1CXbv)LL$bVF>Rh7>~o< zU2JQ2ktGh)E7IMJ2~;~Rxw@Eh8)R@n~CMBps+sj#l!sx z(7`G5QHR}hI9c{I)^mulLRtrnK~dcId0}g4rQlV~q6l%U-IM%ym7c9PMD`H9ZMoJe zNN!z05?-0H1a%|DNlayzB9=`To90(}yTonm?D>4Tn59Wm zh@i2^M;icjhTl6I8q@Ul1k1_sh(?GHM zDQ~uGqi4-pGO_pSVO*Hs1zUHPGRCIdzu(%)aXmVI)Y9QIWMi*`?~48hiLBxj4s_5s zoRDDwtQy5tn><{ev#r8G=K`j}Og-jv;iA}M6>Ezbx#_+E!1-dfdb!R!!>PM3ASrVG z40Qr5kO7n}TiYft2=*`Hg{w&hlxTX@G^)He(u=$+q+005u3w@1WOVA2Ku%qB6SxJZ z1Oh}B6M6L|tRIec zjk8-vRCjp*Zav(5!s6?-f9GtzCXX!42}YM6^yhBKg4*`bWtc^t>h@@y-dcCJmi>Z) zZx(Z;O70@6!_z8~AW(8VFGSMzc9Z8EV27~<_dy63XK<)3S*eTv!6)7nimfSJuj(pl zfp~#z7T;DWbQ3n|uQ)go$f-6gSc{cXk_!#H>D<08>e>DiCRtBi+d!1^!L)O74tP=g zooy+)TC*vqG<`6PzA0`qMrbt`ahpv}<)?0keWA4C{IaMR>WC$15iH{tEWz{prS1Eb zsnbwz9ni7&HXF0C1?sJv#shM#c7-a`7GJnjL-2*!nK#Z`)2eV4Yp62u zkJ?^gF~XF!7#v@?KxQ?Vg)Te#UcF*=3>Xzb%!%URT}i!mx)1wr5Cs!1&hjy#cxt|b zkTo|K)CJ>3?;VdRlC7xvX+4hVVoJU(xHo5XP_{ci==ljI^v0-bP2g*FXl=IZ!R-dM z^^W&CLF!Q~g-t@cXaJumB<1%8wXKUZ@If`;{e%~KfLrl6eoln6GK^*)q&V&z%|We% zP0DqSB2*sYqBR9KZ>oYSzRZb6Frr8|?Zr=4K;0EOIUg!6vxg)$Xw5=Jgf-ErT2|;3 zn`oByMXEg={!u+^94~asni2q0EinLf1OhR*RGq%a(h^~2U8{0cAh?v#DcPZDnSmkDq&_?O_fGGk1c9h+6B3x7ig^lGRGlcK@Qr&lU!$FR&u)x~@h*rn#p1gpkg#qro z5Rrjfq68CUH_7YRjJB(?TeT1aDhzR3eLPi6Rm+_m0cYH{d2X+N=|(OuFhV8)|KG2~)fFXh?=-EI^AiHT(m*8-RJy$0oc}I_tJXW6;$>` zoobf4Y`(*zJyF@#r`p>J6dX|2On06aOu}XW0Bv>Wt3h;FtGu38-2+iX$Sp-GwY{m< z^#adzU!SDYF}X>ED8xs#_^2z0VSYN@j+}1!3qx@}#}{Ck@?U$r%Om~OdcL?~`)vDl z?)RhMewCO}a@dqB;&wrEj{B)Z+Q@MWlg&UE9ZJ#1dN2o$T#$fx>-<;YiY4gyj~MiB17cmyoS2*Z2M zN|yObx7cnr=P~!I-3Xt?&-4 zwv&#P(fg#fvj&*u=O3HUo7vamiT`-|4^np5-tY&+3v>!C(P>pj{LI{jDUz{OUdjCe2YaghCN+^wx=*1@A-z^>?8|7mi@%#xUhYsXxQaB z{t9JX|JQ(XXEvy^sE??)Jlj$vyI9wM>0y^j@|Vc#u(e6-~K)slzHC7)kB;s8Vm@$RagqB5Jp&USM#D7R$v zJK*{1$(0!pz~dLm+o6&UN7o|g%ZeLzkkPbacPLx39RpCt=K$e~R(ee2&uMhr?(FoE zHr~xrNgnon z8Ip3680mIt`}z6#X0KM1|-kB%*DGo3Z?10IKQ8aG_ez$BDr(xhFh5n7TjT+aGs)7w32 zdJm#U7T-SYzdf?L9dPYv)~WMJxO$fOw~Wmo+0#!#A@l^U6W~FbFa45NJ3U;`=74)} z1o;5Ea1j7T&Hj%Dx8{CE-F(3OKVZ6m_uww3;`@8+DH8FZIs_&4ZOjyj zEH;(l^Uvtf-l3N<-o&z#NN)E; zS8qqbeZ*idNzo?vmRm*@-p#5ET59fwNUs$5SmFdPTY@O%5xkcQDIM$_giG1^-MQ3| z=YRb_e|!BK78U}ST`j)%L;jIgxC`4s%!*BUSd{pNPJAM#3vcq593?m2;;?JjxXlAS zSkVsaK#0<0aqI{zXtBQQ-milmdjaDjZa&>WVJ)yg8cgYpfZ26zN-6WU1UE+_dj%I16m2Z1D0!^h0Rt_vh0Lz3gLXF$iN zyeSqr7!ad~meI`eH&{Nlbi5fy7p_~2;EL`j-tK5D^RbzkPv~kHQs?}4Q(qJ_Y_dbw zN`2%LAvvQ(R60=Q(BkF?R}MBVT2GK2|IyJawMvIn8%H>JN0Lm78sHIoB#zDM3HYeD zO|&7*E61CoyrrHZ;diGyl>5w{aNxgzc2>y*Ygg^Zzx~VK{%pu}e3#RocQQAO?6+aq zMriwEX~XU=ywZf6qsd~z$#p?2nlPLP16Q+YB%dfG#qC;1B^l%$+7RI)H3{8lVLRd-N5U|PI%wD(i2*j(O3M-U zEijgEEeFHHw@%EgD1Fl1wgMzKx1*$7UXaK@8ACX{lEXqpZ-Ep3+;4{N5{F3an_Z~HEL^vH0Qb1 z%8*s2`L06msK(R&+vs904B~3e(6qwYN;rjGuJh=^ew4yb-=v>V+MKP|3po%yO6S>W zajwveKK1+(?ja+;L?drD61%^_Ve^G+r`c5#t+C!7W9Cc3*g`jN%V`Oi^^oKUMe2!Y zqnG@)oH-TO*S74Fp}T=Ll#}`JGI*?6*BMY#Lc^6$iHP6j z$!Ye_bs#Ep0@PO1ro0erStw?WRR0b>#szO4WuoX@yYGxP#(6Zn%umX1VP;G&<~z~a zp)5+kiQu^jVG(o;WDsOE3MVZD&EK>P;Ze)*pIgSFxFg%*x_TI63Q6+3v^iNzSd7c6 z1f)V;h)sLMIEHAc#wgQZ2#C^1OF2QmA-N=QM);f{~fwE^@$4Wz|LYHsYs` zuwDD@kg~uJh+xN3K-%oe6gfUzrKzK}+xg+r<-;A7dWLmt_BDIf3eDz;Kr>og8`eQe zt#}fJ2au!2_GDCo1;mxRh3`)TAP}|GL^NlKMjROMsRY@Hhr3~LDdyUo*E^qtN zQ|3*Wwh-}yds~e2Wt>qUJoIFHm#C9#pse(R^U?(+8ENvjr=X5qk?ZA2qyS@#}Sb zU!0`^!id*!S`^446jBb4s}4uiYwp;-*iRC%R$>b+I#}%H(ww668+IJa9kHpCw~l`M zt=s$6a|Hhg+qry+Y!6f1scoFamut3%aTa*_uHSMuTeCKiJq00{Jy4w z|iDT96 z8x_>e0XD{T6V`^mvRs->bcXHEh%Txobxxvrp~&b;aFs)xIOHQ`3++>>o6RB|2<%CR z+Hq~;ARyk`4z7LP;_4cEVm@w0t0F{zS%s|@Y8M{Vm+Lu*>B}K?QqzDcBR%kuG zyj4*h#vg7sS_02Qq_jEykf*n?AnVCynoe@asuV; zX5?SaV}H}e^wcz`pj!-jbeBzaSN8Y|Fa*gz!=VuVDLd+YO*c-cFI~IO6a`QfN=@7U zwOgvqA-{grw&$=`dvo0sajgPL@}MB9S=@WQ zfAx!B{o?O``~43;^!@t=t9do7=qXL$k!UM-1)Hw~M6=)K7{G3GEm3!Q`g%GnFpdYQ zY6-duXQ~~zhW#`-xt^2ZT|dpq9#9u~I&4-D;v@NKXS?Qlt!8$3GP8-JLa~B6Ja^~F zVaR2f5@*Wv-wAINh1Qu^QN_LwrMHdC#_nQtez&{Wblh8J z=t1pghIk`~HwxP~`U2{8R6@gKza%D}R8M(xX50Mv{NaO^?1*wg0{b%{^jdsXM6n5? zQvuGKqSy?T%OU@(D!z2t*MPlj;5e12oRS(LDWBJ?@=Oe^$Q^}dCEK2vx4orqjG1(3 z>NI|+es%u7`r-Q;eBbD{=S>l%w1{TpgoWSTV5;R%D%@3hXH+Sr<$mTG0 z>dxG~x^=s+o@Y>mdBwoK$2!4Db3U(iL$}$^srqhr#qG&5er)UG5VOcixw3JobqG;q~AD&=~&@dPGz)$a|WxFh8%|S8DFFXCG!h zPfjU2Kqj#nXQ}`7OgmU+KxDahzWrbpUFu*$gbY_UK0^y1))Qbou&AVvGdFqCsDRbrZRxh#78+F#15m;WXN3d9THD` zh42FPT2||lD38f^B31~6rV{~Bmd-Dt^$EAGetqt)Qxba)zFG#FV_`=tsFf?#V)D?6 z*X3xC1&`&+?=Tr?0UVQiB1xEB30jPD@YS;{Rk-x72j4%_kxuSpt+SB20}Z1)Ll3Gw zUAQtAT1bkQ85L#cxGO2%9yci&1<#{kL~N44?A*qq`uqDf9(e<~l*~3xrTo{p!FBFZ zj?e>iaG;Q;zS6LpbE63e(hd*oQ0o}l8JFXufEO;38Bdib*Zctcc3qbc-*z2up92(s z8T$~*&N_anQkBOIuM>t{{;sPWm80woK8iH!p*tpM`yAV?-V%Ej~O zl|8k_j=jrk$olPb^UGT^^HvMVh>tem65$0xzb((}DH_RYQCmf&xXX6d8j`2<3ayln!~?g%`M{A5T7)$I^>A&2D; zx;Lh?pjP0H^@HJLKsM9`&khdCorb)(JU0TBvL~b=}ju*y$9nOr=~ivfs~$B=*gn7 z6dXz|kNqQPm*Yk(?o;oC^~(I6QNucQ(&?J$HS8FRhvCAet$0edMo}_$`}qa~HBDCF zaz$;&IHQo&jBn0q<+fb$Nm@220{RE(SRPH=+9=7o!|8O(s*Xjfga2$-mgA698`gsi zbYTxugD8V-P|f>?59XtH4Eo8+MDcG`R?fVX1dtd4sc7R=4X8H!raA;gy5Lp2hQoY{ zPta3sDiCrI%&{#XKtJpVG1L(=M_43gAK-S}M;|42vPw6NHQMVDQ7eaIpZ!8^SOA9M zK;kMux*PuOOZUZVB#1e+UA#WwFLo6u;@Wt^Oj;5^*H`|Jkl5e-vyth9nsI#?5>C>N zY%#7ZzSi3=TDch*De!rZLGNpCd;w~9K@!cb+mXTf5@|BA z-ocX<(gey>ab)|oxHjnySLtx?rMxg{Y(6hqT7BGR?QBBrg`g=c7dJib!pFF6Ot%CJ^w`un~VA*%;rKi@5+J?4Ybqx zX$3qfn`M}S>;;<{r4!E_$>`=1!SguN&55YE82ER3=<4-SPvp?Qd@G!npYG7plCAn0 z9f5Yrs{dSKwev)K(OXZ5r89e|Tj?qD4JzM;p6Es)u`L5K?xzG)&qK z%)$)~?r>nvwV-sj`XALgJ!Nl|VkNbVyS5gKDH`;8`br&!H)SCM1-|g<*B#GvJ)dRKQ0+ zD@(FA%Lj2hOL_kS@ysj454(nws*#_a6^VMYz^)#I9_`B&e`n&{VdstxQ@L;j$>#M} zF?90KU5D|e&+>Ows2Ec!Y#=*EAG;+V_;36@Qw5aI0+qeIin@Jf0NtQTUL9Du|1 z4tZFVj85H2C7N8;o!%%3w6974tUPyGrlv$US(MQ^uA?n!RluamlF703kzMAi2ocRg zhjCk=xXa;^3~Q~_Lt?3--PXKdBUw#?Ywa|YC$7y&f#C8kamEy zPT9s1hkDU+JZwcaQz2@eXWB2$yx`eY90Zb%i3K|&thAxt`s@L>!o@k@1}Txnk8>-{=oZL0>-ipJiFUJ2~6fTCTtab zOwi!EC?^?5LkbUUa=h4dnnV%>Y$>*;wG+rC7YYI^8fnfpK7Z_+XgL-=Hu@oKqXef<9p=TkgSKlw7|s8i2Mxa>5ws($!pu#@yf@V=vHH^^z^;}7iY^81FP)+mY3FoI?w&fKGk zjGOu{`HG;_f^>kV3s;>_7Pa}zgwDooumyiiBe7GeC;gl?k*^)$nN|uqa*tu@x|2L7 z&1b<<0lfwTjP8e5GP|0{UoHnyby+AAx1qSLDPh)C-L4f)CLJsogac?6Q(zSjt=lUp zNTG(pdkT!GayXLVfRMthyZsbPf;)6Ao`WcgHs;g z({+;SH|aox?SO(jn_A4F)P{EHe@>xopz6$=?)qBxVl6rGZH3tCt-aahMW)a6ra7@l z$6$8G`7Fq>tEF{Tdu{*Lam%*r7&)spG{E92a~oDs2RINgCTV1w=+-eNPBxAyv7xw4 zcHxt8V1m_U)b8xJ+r`5-J3al|2z#^r@UuwPnV`4Y7t3nh-o|k7Uex?p(UsYsT-0B0pW2|R3m^$@g)jod_?Arn zJzQEPGw%yYA9-iAFEH|k}S1m`>?Qh+lep5vBJdBJDBITXgtsbvh`uxmZZG-LG z$M7=0UE1!yPysf)49tw1x>dCBN{ek4UWj;M6*^SWiu#1*PqNDz3wrHMyVsWf1qi)8SvC10RXj}=i z0yrL#Nm?r3JZ7liChkS|>gc3I_c+&+$NX{DJTvZzUcAw>x?LeV@76D81@`Gl zJ3Yg@)o8Bq9XI3vhpwyCcPQ~(IiqQGgwoDPY-6XlX5p{PTuLjYU#%_&r9MaBoxooF zQK*=#&4T$z&XPa=`YtC=-gNINH7@G@;O;v(eawt}s|s*z>EX^cheVrKTa1J=br zL6?q^SS{P8T}w)qP(9*Z(z7HVt0)?RylICbxsSx_7RF3D%U9%`@2Zzh^gM+&o5#y` zUj&$8Dy~!1O%!~vGyubrA`6a_70Lz5476t#jL<$_K7KLH)qxs)Y1)ad7{mvgdBn~0 zDQrA(!$|22M;YGHgi8fi>0w7o<;qbR^wSU6z*>eZ4$(l`E58kbAme+wBOY?eY+Ifc zC&?CcDdAlL)`|j6BfuyuyhFW|qqiCiA7|;l2V)*H-70)u?>mc}VG>JlRAEGVTt3XH zWjCQKN=Q>nYkxG`C*x7Y()msg@@`+8kDQqIcGJQukTR1-CY=UE0umT%fiv#vZXl*qq*FEeaWiFT(Jg6mf{y7A&~Gw+Kav(j&NStpU?hgrKyfowA#C6a=lQ3H zNh>?wuMUKCm!eWJw$;7}S5VI~S0!BWH z@%UL(L`O0LZB2aTp#V#stHgu}Y2H~y?8!Qm*+4_6LJesb7F^-7(pn6sK~$J6)$^!c zi+5So^LR@t{GzFjoudTDh9_e3j2Ea=a2XqRQn!7+UZ|QFBw`mS@6sp)Y0&Z4FC33c z?Y5~;YbPyTGH4^EN_H1p3Cp?A62|K1zB_uuHtN)TRD=_k0Z#1b!b(@kpg(5Of7+#p zl+xNPS7wADa(ij#r$Os29pF&q{#2vBiFS;%1(UiY_@+2N_lQcS8gvKH!7*kcEg_Q@ zEYdF*k2_)5hst)7Ebf2A%1AN9PSOXncTwG9pJaUBLNr4bc!&JD2rq=0$z>@*XGoGdpkTw@whh8~5 zDn0jlzZ!QF@tv?nB)H@WYjl~574fEGL0Zda`2i`=!YYGy2msW`49*u;71wL-9#luq zZSLL|gl4u5TB+?CAF`E^j@Go`p+g+pZbnm4GjH-H0NyTbKOg0NZeRrnmHIBB5>qK^ zQJ{XAH-eDistHkqv?EI2N_osDoGl5q8($2m8PO0vb$GlzQI@ER;cu&Rc>`=32e^g< zRTTjXUw&bXSQ-$`tFKheuu0@G1&yS1hm%hf%n?0(H6Z!N#kqG(x(jvD@(F;R(+&?kjgu>f*jH9}#$vn+ z9v?CCeht{43ei)cV}S@Aw}O)F8415Ib3e{Z zcRpbsRExPZU%JX_Q{}Z>*y>Qts#NFyD@X#drj@~EK7Y9~a@y8B0bTGCcA(5!H~ezjcc@j1OxPeC zL!Q}OC!=bl*%XU#>63ABYS*0Y?XA83=mA8{iCxmuJOuCCe_-eKAJ~0M$j0lTgBE5~ zXfp)fEZlH6{`H~KxkNg*@m?&qQ4eDIo8Fe3{by9OCx0?%($6kLE@c6B6g`Pq00M*j zLT#S>E%Q&s>6&&VXEQ5_FBVv-0V#YIDR5Kg*UiVEy9Oy4U!Zm$Z7snZItV^Zfyg^? z6fphw0V#~#1%Hk)fx*gX9$Bpqrz(erRDE+xov(x&R!6$KE2b;%h9U7m!*hj-vw(;Z ze-&m~|Np1$PkL?1tuq01u3uqjWR1jTu^Xo3TR;I>veVLmk)CW=w(7Y6J=xDr4eH-N zHme~S!Pq+(Sp)0jiR&9Tg284rUqcW^6F=MjPx3_$W3f(V)-z&qaQH;772nUb^j`DU z<1oitb4M=>v!B$=yF9^zpD|K!1{35DYx%<4ZP?GoYtS)g?gHpr#6{oQf96JzIy4Nq zfgXsHSFk-zP>gX=3Rhkj_e}p1C!qI>(!LrQvut~Ie^G)lbDU+}5$Wa_&rTPvI(sQ# z#kxuQW1>WsFj4QKuk*X2VO6cjZ31R57snGb`J*tL5#I@8$Q+YlNrV_VKXp$!CUNLb zl}{DIA@n;2q;IJVIcRU8sSzCeeY&>yde#NBf@~)1D|saAc_sty@uW*i`n! zTLc0)u=ClKRVZcGFalIX+u1yJ2%Rt?Y)2ndON%yzS2%W)Y%Ma0XEjEkks1RL zxru3IoaNNqob@2(p4(Uh#O-TBC^y`J5mTMp2<5Zx*$>!T+ur1SM6Z&9KNJg!GW7@33==%FCOf+NIESumrE?0$#2-%wo8j^wu$nrt!wGqgjefI8 zhfXCl?6leEa6{n56oQD-9~rQ&>z{}Id*T7g59WDVGduxL07LC+-p*EhmK=Xi`LhmI zZ3Dl~Fav`gfj*S6ZqWk=mx4~3K}d6VvEaCjLvhf1g=6m~4g0so;~=Nc8excrxGIY- z7PJZlofDzZ5b4Zv2=C)Yn z)|g^wyGESMW_2Z{zz-f<7_3=aIJToWU${EPsKeH3s#8k@>Z;ua&^L{~7Jw9+uY*<^ z8D2sD+tDmwHt$etOeHQp~Usjm@!G*HPh(Ii*BT(;76(}9VAWQ<&3j^2ePq^1naHm zd1n-%L`i)EclQ! zl+-Y~<+@;yr(&x)LZ>((kQlC_!{uG5CY*z$^g6?JK!qiU>N0-ZND490qZHi=%jqqe zo>Z<2;h!)t1U_msU-%TzXFM|}REsI{zCdseWKO_>Q_utmsSD!ha|nXLApOg5>_&=y z?=%97)P|ju2_TuHu#}l?6PLyeGxUZTR1W|*eU?yReI-YZdf%t}%cu5XTr{vftH;)A z>RuMM0jqr@IJvp7m^#ff_B*A$mphlM>QYp#FB8E?UxtoQKWz!`%?cJZ_aT3A#gayx zV*}BCXNQ*sk}Jo4vk<9w8nz3Uc{|76RCH1LWNo$h#HWtM3va)>cPh@$XF6ezo0OOW z)iInF8%0O2C4qX9&sK@x9X4U@m6SJRouNeLI%VIH&6hBO?1BjurIPzg* z+B0?%TO@wrdz%jyn87;b$k`PN&o61`u6;Gp-CQAO6^+1omuDoIR=asu8$#=NH0^$M zw|6TYYsSu|Z8Sj>6mc^lJf#jkk1x$-7v`TYxOkkzj$+=rQ}ZTxCtHCs^kxAqr$t8t z2?C(FOUWG+XRXY1-~{Zp(KTY!NIf;C`LKmP(NXiZmM*t7ba&)pr=RJZAX+~fSGuv> z#zcnKiYMbkGV^?LVf#!U9mxiYRDN=i$&+LOZ%Y~J6}nRq6E9RDNECMwd0M<{=bMSS z)U^Yt%S*k*>aHfFM7qPf(RRnGM{l9xWKj5BXL8+><8GT1)&x4g7O^y=!pHS`|8f80 zKYx1tJKiuf-7}w*h{{rRmHE_UMx|CThij<3c}5n(svBW(TWiAEu+MP73POrG+dDjM ztf*x2{6bD`V%>3E25FHM^7Xn6y-6vNOtgt+!O0e5El(L4=5H$m$RC>c85z z0+rixUOw(y#&&i$cfqMeh^=L;QH&#Ra~OLpd{oo%pRaTWD&3i?W|Uhma^Vx?!LVTA z8Teq3weN47?#S7f|NYf3e)WsL{q48!zt8_&fBxaefB5z{zx<8|K;ER;k)1c z_FMhs@4kKi-H+{wzWdGZ|Ni^0KYY6=f2_RPwS8#TK6v;vD85g{bgu1pNY{<@tsEu@ zaY2?oeY!)1%CFv5!%qoM`EtkclYrLQ|pTf3jv4YYrx zpcF}CqGBOcoLl@Dq{D(bl~*Y;C1P)$ev{*P{19jK8~ccUGYgI9Cw2^wiGUN7I4BV- zVQ+R)4=%lor~7e@8=GwT;iwVfunD$0qU%(+xwFnpDp1to*-jBJ?V$l zsKXdP=PP~}RsL0Z5OwYZad*=EFnFj_NpTU`wDyP+b=>xqrTAk>AA~f(_$x0Ur$sdp zpDP}tlc?b z-SC#pb_P^lS>PfFH)nj|br0|W^I-GtDn&ly{f?Pc`tzQK`5v z8`|Rq?02)Ad$1G@uUN9~AZPEwzNqkN1!!0RLPRx@G((8y7fCbZ?MHHLd(I0?>FtM| zEwul~pa1&b|6Z5Cu7UO$-e%koq!`c2hiqI>6$g-3#Up8!Gwiw`@k z(c6Nf0~2Lt13Tr8eGrc3f`oUMm20Dh%5|rGcDr)TVlt4|gIUcj*teG#4ptI(Ex=Xl zX%_%wMEOfv&a8EI`m9a{gJfm&Y)`)^xrkO+i&|U~O2%z3%?1J{Ya8$5tSHiJuHynT zBnYap3U3zl{PPvt8HaQWbX>vHZ=j}&i%5LL5 zPW4}Y7;q(3Ly|H|0&Q?c={Yu8;G~LGNNpLm{}k&iMF42pdnnLf>^%d^@Q1O2UbD+F zT6CQ8)55#V_=O%J8)euhMRecCfS%TJz9PjbhHC0*qgcQh+eYD7xxe*J!0h)<@}6rF z-X$=)8OXkdguE#n7N)rCE%+tYe94q#0bx)m8a}Lx->M z#t%pjE=kbxTSDLjVn&j zk*~nf!koXfzWmbfr=|HwL_`~gkFhC-j5MZaOFMg~@f?hCn<3lq9hxF=lvYfgVGSuW zFr3&^+PK(u-9hV7bkN9q_pc-&4wtw>@bKT6mUKsQP!?H2UuO&f;|Q z$QujPsEuXv(?Z8~-zBV+SUk*_J{>yeM>|zo2m`uk1`MI-4-FIYM?8-$8yG-2S+z4@;M)^uQkkCGL ziY^VNS>C<+DU$gPl8Z=dkARK#irMH4ZRn|=I4DlLTV@{SAHX~#;jtB#hwy6hDPO*p zQ)oJ){x?Gz1QBs=sy4Ydc-MG{xeZG>34^qkSA5CH*6#{lgfJ(2R$$j#CzP(pt zROYj1*k{F@V5^)4mt8DwkwC3gPWcuL1T1_z?ypsH zCsv`X<*S5XH5l5;(juRjCH+LjiQeIScZA;EshZe!bFB2P>nq~q&}3l46Lw`HX(^5(7E#{Um3g$9xUkfTiE@G#$R$p#NF?#6l9bsVm{);Qp7 zbD-_=KuNsgyr-`qCD5>~`7UX@<~67ZU`G?4SR?!B=H|HXcE!7z1WhP&I=Rw*xq_1a zd<>P?X+rpziJiw1(yurA61$yacI4FuDH__ZMsKsB8L3sb&P;=&`T8eCUBBpU$A zAgG+UVAl*0vuzkDrT7b*L<(1QKU}wVQH^7j#6pW`;!ZBw2gfMNSovb1yeq6ZmO$dF zCuMpSy~fANsSG}8XmdTs{41;!jOl&eru4q;*%`%lAm|JBlL!ljcyh!BzZ9fCxKq#i zmfvBNKxQQP;DAImf5|hUla7^ME6GeMvVo-e-5i}=7P+HIFFiwo@|n%MHz_qcz1-0 zg@4*!I!d6huK8b1Q*b84S6E@V3RspUJra|;2+l~G#lm)U(5tj9w;7FNI|Slwbpn-| zWS{DB?l+S{6o+BJ279yDLtGl(8aoumQwx(UJQjHJ^Lpjs>htbhktico4yU`YV~^R! zY{3&DDg9`{9(!K(kvp-oyKsPjUqs;TR0>SvVPNfcW z8$l(TYfjZH9YC9SsujOoI9Ps5kE_)`(Ul?7ap&E3cRk+){UMwe{xa?yVsM2IXBM?} z7KHMHw_@jIwi zGLaZcd7|@C5a9*&yjW~j;aw!>LwiGkr(>|Rf~Ob9$iust4m)C|cYA}&I(l&qn+pT* zL`j`Q-tdbDy_zNpQK`z>?Ph=PjiA(2O3ha~jSYgB&-A3Fq`;M{`vVz_?!}?|E;q@a z?2;WozbfXE_r5-#k4@EIB_jEzcAjeWMi)E2^2XVo(sc%uwDj%tdHhN)Usb#WKU><) zV(9z?m3OGJ+E`V|$m!{@SV1)Gr14uU_WCb~rtcQyXw|YF6E-h|$d4G{p7W~MiSQq;ID8<=sFi}o82zoi;}{mrE90BNLc!}zRu zkB!@i6ZZa4#dEyX@V#MEZj0?OZiN_};;)(>XkS%IE`I=ClUiMTxl z$F#?xH}fhu#;zLjrDAP}HWh2Rse}SBg#uS%6_mj!)DCgI{P675#-Y?(>bh^{lV{({ zY@bCd3g{0w7Q!cD$23-bMS7vRVS_T`Z)>@DduDscerl{MVY$^cJ_I!?A zGyrolPb@eHbm3BhAqQK<9{_LX57{Ii2#o#ud@bk0XlFK%Saf?^3HLitShRQ(~bEN%x=6*OlR)eSE}<4WLBEjH93+sa+AWd7R?6q@fMvD;mDk8u^9Xy>UX zYajQc7CmUkbRwcJQ-44%yeDg!0P~mWtX%HC|LNNvR3p_RRZlW$8{R_7JhvM;c_=;3 zci-uw5w2BDN9sa$8!;2{}gS%>QcYttj ziqH^1B9%Sk^v(Sp5$m&g8ab_NU@vd>@P;7(kgfY#t zi*1g}sd;=2-ELp9pO&U8Wa4%=0rT9JHTVij%{K8Nn(g-R`4@2)AM1eGaI{c6Tq?ds}SuJ!0nZ7%GJmsVX^Gnrz82|VX$+cuazT5?a7wXzb=@SzQeR@`Sk z@me9l#T6gQH8+ramrekmph!%6rcCyNO+<2nDb65C-FepBhdnilL;1-?GNw+kN&+=b zu8tv3P_KO8w$6!90v^NF1O&e(p&eL6^+ZL^e?z|p6Tbpb+iz4ChsIef#GZtopElHc z+`!SI%X+uHBnSL$5N)8Y;jvl6ZBYY7p!qAi^`0W0Fx;OEb5G&|eJYWTeYO59i+F~Y zkyoIj(J~thx9-KEz3eF3C!n}`Vp$fqYiB}%uk12@zA9g+-Nhd&d!I@wBMp)XuLIfF z=2>Pt3^Hk|azPsS!Xo;qQB>QLq&70S16Wk7tn#fk?*yqoyy)9TQZy-+xS!BZfUJ`1B&S;U(l~sGAoR*zLNx(-FT+ z$En_s);@gbxc{Mhl!%RbUZ5h^zr)6_F>n5+`A%@&ls28XW!_D2E>RX4aB$KB54-9d z1c7t@9G!!+=QdBs=AI0@19W=*wBNDJB{3RSSgzE9e;?ewUurLArDqpFQ&bdod6$LC z)I6Wc+j25SxfkC>P-2U_7<@}F6%rCV>W!WC zB<1dNrGII;bzQK7XZ5;P?!;QM>E(!TYWKIy2oYO}ZN{aPORc} zVwJy%P3>7a;Kki*93fhkiYN$GGjiwy=G(%RK-6WpGJDChEfULf(u2_6 zbB<^5aU=6SiMo3&ag-OREl9BT{s8<%8w?<)z3qm@gaa?!MKJbVSKnbIBXPi)4U0SC zqM))G;o!GN_E(YS6>sGGi)#m;4P1`q;P5hNS#>nsU{ZM((oS{XeDj0zdxY*_C$W_qbJ1QWDz-bE1>}3-(4`lJI9`_=M zg4dT|mrvVpdE=p-sb=My=sQ*$&d8%u^(~F4$VKz~NUUFC{bTXrJcAClD!#W>xl6ct z|2>WV%kp!AkOQ6wmVccj}^VLw`QGpMx4lratz&R>o1VeD{6!D4d#aJB(kY?H0w zZ)PP9F!6At0cXwEQ}gY>x{p+T-M&B6`+KL4o*-_gzNJ^$Fa{y0{WK>iHM`KnuKabk z3qB;Raqh3j6c6evoH{b;?=1&7uiZ&NwXSal3sY4B=Gk;Dm8ProxnSo6hwVt9bb=pG?%iF%8#vSQVM|qu$%UMQ`U1 zXQX3EE=pYXVglg#65tO9!-wm_4o4!Dl=zZN#KNr-Pai6++kouoAf!S-IDn`2Es2!- zMU|8(%`PW*Ekrb0z*;ANl=6-s_AKbO2r;{J{I3xiQn4HhuZ-Zivwf z26Z1$5?yote1On&GV`mZ!&$vAa1CAEjO zCtYHq0KVzT)e5Mace}zaq8(_mO?{`}eLyy}c7-#YzSw;vj&EK#t`^$1wV+_$5y3u) z3WvRRZVP)zowy!_8{Cn4qwwyGJu30XW;!FF4sbJ7Q!crLISt9naCXN9 z8?E%PQL{kdo@)^o;?%0wL+!XI=(62*oM>CfGIS5bEu3lPeP_Cc+1I3Li1<1E&Lf{MFPtS?An@Zq8+^b~_SsSGCi{8z3b2F@f z>~B==3!aENEUrbFfD=6jGHpwQd(D8D_psetj+<&aRdh~I6`e=8YZq`o0K9%d6F;-}lOo zH=|2jU)8)TsW?3zGz!v7K_*`3D>Yan3CGXwI%EVk#tF3!05zle}8>Wi7h zZb^3>fItNmF#cqF3e%bC7S-;$S$n2}HKhtGiY)>*SFV(@tbmlKW zQu}SBjuueys#fIyfk<$GrD0Db1HgId*C3x78+)4b_X$=wV>0M>El)v;`{&LD~3+|rm*1!zLJdwzj)9=dP ze0iAZsNQL}O_*rgNif_$O0D$}g@Ehu83T!y0B-r=9ctd>XESDgTC=|n29Lme;>^Un z&Z*)LlhEd<9&1FMX|qt2ALh$J+M*mo{MG0q@y`1W&lXgxCq%^w zfSLmV7J^(kh{vWZm4C+V^R%X-dFL>cjJK+*>vBly^jm`I8cUF{<J z2rqziShG-U8Mc$ryS_}ubc`X^a-`*dfAx!B{o-$b`|bPh^MBW$fB5kqzWvQF|ES$B z%OB@|{P%zO?svcaR)6`sZ{L6SV|%FYe)Idk|NiR_-#$tek9AG;AZf%cwm?~$%Qi$q z?S(vzv7}C|m59B771L?Z5D!OyLwQ=g-^0$qS7Uc3*BQ@H%tOO-ZhD?dn}eM`@b%c!M>L$4}$QYt;Y4@z69;f_JrV@kS1B6pk%i9($r0U+QgvEz)f` zOrA|XW4oQ^Qg3W71KKP=G_7xo<%kMFIDX2`1B9lonw@+W?dyO2kN^DX_3xwrsc~A1 zq>&V4xgA5e^|!qUql}2Ikkn#|rts57+ z2I=_lYZr^Eb>It0jV^~kEgBVDH)1iq?7bV~tSO-H*JFehy5 zGhbK%3sRFxa6>EX=0jm81<2#L=B@wZ&wu^ze=pyMp*Ym{5A0b@(dwG0#p~LId8K#W zAnXmrS{CFr^EMTB&aS)`^nUiRYT+c!MQFg~=|~3vups9a31m}XA-e|9&d&2H@y2>v z1X2nbqVB1w2T__joArzj=$11MM|I68HsCwNy;lH393f~2xD|Ef+h(n^W5|!=Ks}iq zIz*AY*Q+VmA=xMh_A_~NQNR^YD4I4G^(`jO;5Y&kXWZq$4#~DktH*T@$HbC?Mt-n{ zAWx354q{t|`x2n$Ojx8XU8wF6YO;E zg{-`@z>VPeSeZ#bml60W?ovO(UFLOKtL`)pDBJYh5VF+bq+4?Exv&JxAE$+|`R56I zfVLEd4_rn?6EcJAi$IB_0n$XFp0OpbimMr)6wP>5Tb4NsOqFs?A-8h#TO-10DTGXN zswqiBJ7E8s_HB+l7scYm!|~?V2_AWh;3&)_vj0kc=h6_pOnfWhA+AFcSa}97tlAK6 zDs7v5KfNzri9)p`>(kaen6M4yd{<))ufe-`x5R403pFypJ`h^Ze>>n^BnIZ4d?I(L zg+tnc*lA{-V3)gyp(LG8Z%7p-&Tf@{=xhKSX}HiPL>Crl{iBjwTaM0egA(!sArToM zZAaMe#qwgBXFb@%@gar+fiJwp6Tr+A)q0;>G2A&G>p!6$B2OXBCO@RH^JANRALUD@ zFH`I>M7f%vLe!fys0eJAw)caNc`Fx8*5)m}gBD;I3IX%!@7jgMp*lR`%*)MJ;859e ztllgjxGTVuZ_U}fiA!snHPPLC^j{@5iR~*;CLhASrhVQH46eFP5E#F@;k_E?lUc0Y z_p2_=Kmi9w9^I!Bl_*L@PB=gr?;Dj&rde3jsRSx=T1OP-B?|)cQiySz2;HwSlnR6- zf;A)Uq^gaa zzuEyD?zP`9ynHZ0huRyI3EJVjZ&GB3?L<%FdA{iHb9yP~%XnvavvZeBEzIa&-OKV3 z2yE^xT~mqj-U+XENWLF5!P(x9m_|^siFz9Ud^%XErlSE9eQqW%EU~JoAub__{N=Wp zeRWqeq_!ki^!A;L&|jP&Dbww}|hYC|8VgV4T zYK0L&%$)~9?}d5wcBh%c(~KH#EReInUzQ5_lp5s+ly(WD+^IZkXye{2?dkZDyiF00 z+cl9u_drL>t+pD5=`2yO#mvkxW*V!DZpCE;z;0|U#Y{T_^#Fxfdzq09x&mF%$l)gJ ziO)e*0DeG$zb=2>jn#P$z1sHv!70FBIaqWJrz%AN(M??^z=kYQSaM4I8AZLzkzgHF zm4K|XSHua2&3>o%!P$8@14bR92zoo^VH!GqaT9j?_(O^_SM{9lPRYBQ5jA~Mj+ISQ zU4eqEK{hq+*VxdNzd0=;hi&2Vn?-9bi4n}M@MY6>mvpxJeo z!m|2{*$($PYlKr9ntioC!Vjg6u5h34G~7=)n_EmwvC zFMJG^=-)k@nMTr|v8{v^BR{-=xu!lp+B#hu;jmEgu|$=d26rr=lLz`0bU&m2>AzlO zmnBxuzKvRQvKbCzr#nsEyPi`cR2@I0XZ;S7s8B<#T|Ba2K}8$_>U8XK_-D)nr&`ve zdTHOA!kT@nDAd@x_GN*L=@`d+o5(Y$Ml0GmOTJiYa9?^~qHmBPYx$2?VkKyi{Qy*s zwTP&KMZtY?&Rcn98G$Xu?^Ys{gP@i|Q~G}4i+ zh?U8y3+6u3yJEV@f%x2SFwTVSenWmc+fW70{=e*6G}w+!oq`M@0gpM&Tb2I-bg+)( zy6?u)`4nO>_vuQ~(a$4orQeij=CVW+HH9zNfy)_6Y%Mw``S|U1fYJ(cN8G5R5+Rx1 z3FqdrHq#yR98#Sh?fBgSzIa-Iwh%c>(Pr`z%4=V;dAAGlKG|ACOzb`>)TMf`_d4jC z`v}{uCrPAIiS4#CsZ*$MeqV7o+vuqKMu^FGaoZjB_F#^Ezwu=BX@6?>khC982#w#3 z(-|1?ND%R>8lfRfyH;u_5<;FwQh~5I^>TE8kq7opV2KKH0q0=nyLwwZM7~Li((6JLR16_D$Fk5G}{908s|K}8GaJxr5OWu^@V8SKYas{N2M$SvLIZ$P7 z=`5&1Lrp5h0hYu5MIv5-!&Q@({e744gOu|d@i63d#5Guw_kDMM*R(SBTkC#cbs`MX zeQ5BqF<>!`q%T=Xc2P`g6%w~TSA(b)VPN1GFM*;GZZV$kU6?*;e;Iq67i<*Az~?2d zFySVZ_E|gaP{RsDlO*7wcl(u%F90=%cdEBMz3J&u!cSZT5)ARqDW-MQ4OnFviSHua zYUEpLZiTa%)!Y0uALui5O&K_Z$9Ifu&l(VFIfGLt40{{Qq*_tkDbbF&O>3b>SkA>u z0RL+Op(`?EhKk8-H9`D5IncsQ3lqGsFn2##jC5+^4=hIVi#O=70@$A6C*JnF7sdyM z_Vahn;d`9}XO*`0XLh?Dl1HE^UpwW*itVn`RsGT+N;-e>a^eXTN(M=gvK|jHMQs9W@y-*a=*xIUm&<3 zyN=+CugaV*Rq+N{ko)|qQ7vlt7%qQ|jyBB{KQ`W?GWL~nJOEIn%sXk5_2=0BHUQ@+ zhIGdMRZm2b_%Ts*!yA3zIUGO#l@ey8bKa#|E8#`z_8Sc+uibc>{P@<%DBmOuo$q(2 zO$YoV!S>#@eM4FiY04$D`lwx3hWia1IpAQ$H#=I191_ftL+T`)Zn8lUH^QXerrZcl z>+K~j^AGw(BeL8EdufKPiZo#e-`Y6pCgC7=4cm7;p9!ZR6#S#Oy%{eKXVVleJosH? z77qk_jJDr8e?6Q4%(3P9d{y`>cWeJ8GJyu8P)og9gW0`ZD0-ZB9)ygYk9^JhO5Bwy zo{d~cd{HEnZqE$7fs=c|_HgDbJT?uuu6D{e2gh7x$w=OJ--J}E@r0`W$HM^x)Xg#J zT1NN4cy&!Z-N6wB@Hrz;uu2YQjWE|)%H2msx{8p_KTrH}KUKFnqd?{x`U7a`Q&;o8 zzG3SNpPc~v_GA71p%ut{p_DMtnLHx>v}oQV`oII-?>Ij{#o_eFk#6KbC{$2(X_l07 zOga}ObH1O?(`9CP>00#NY&-TrTz%`Lo<&FbT;zV44tP(ll;zc5Z{exa1?c?b7jND+ zUF-2i9r6OdXrw905S7aW+vLUj(j+A~Pf4pqm@1;xA7w+SRmrqoILQvYyQ)t`O%3PL zE9zY}vNozYvm`!xqfU7(r58i3Cf?EU)7ga=!3VG;_v%bdZ^M$>XLIfQYs(N8vIrnW z6sZxdiYrZ9i2Fl%x&s4ODC}T917A3wptWKM-Gf1A(tHyINkP9AiEEdrjFDI(j{A(W zoa7>a!JJxg_&lMlwF@9h?6hkz4U^i&YiE$dl;_7Mdyl+s1sX(wI2E=LgH-(G*JV)V z{kLUX*;do}rl)xBz2#7zMkflO>PdT_@19Ckbr|VbuGmMFkw996ULkT!doch^q@qoM z6UiPdG7}_)7^iZe*dnL`L?ey9fSVIly7o<*aV)9^hohq2C)^tDy)qfeQjg>O z(@h`Bun&!rQ1rGB&s+W4hNj#%J@GoW<6n19(NxT3kOv_X7M)ZKMyYTM___RkAMik- zM^7Ww%_xp>*q4DCA8YFk;rRS|W>sw`cYSD*_W;hE%prdE+`6L+3+bx%_buOQKlA*8 zO?G7)d{*=u+Hz>gpc#jeRJV22u&rl3IOlwdt${8B6ndD z-cyRcIC~9CGE)wGCCQw}nN>JzmRh>=xn>xxo73}T^ySbv?x$cL#UuPGsKtqFhnh7&R9XRAEQWW1+5zXx<$LL68JWi z?FOm~%+80^bH{@rf8SUfHdvHHdG5!W!3^U4OdoJZxmh|vZ>bNBC~3}dI{S)#w;euxuWL=y*7N| zZOg&8!d6kf-z}EscZ~S19dmlaM!l7qiop=x?PvJRkSAa~EXD__{wFA}1>)fd`0}3t zzOYEpDs4dkp(6Cl(gxI0y-b*;Q*idA=4WXXfK!cX8#>L9yZ)x{(Xkcu)hwK;Hd{eZ z_4fPrC}kzYNsGbg&gSYaNwc*&XyfvJR_(|)-_~qFv_C;R0B{L=-oYV~P7h8Lz{-kW zl+({)QD?KI0|rs(q37I7zvtqG%I%{!p@2LxR)AnLo)2FVn|Mo}b73|(c2>i-i^U1= z@@!oE1KQ=H#%>gB*Vw;&r2QiXDGnlBo`XE97A|&CZu>!jCmo7oFcb$9dh5{5Qxmq( zOF59dC|dt7B(ZX&1kBA++>81pMV=9j7eivi4ege!L(a6kH(X$NX+MWC`7JsJ#+&*n zgTf0;c*eYb_LZRM3+3R%=Ed3i^Eo7>nke@Bt72BW00A$oWR`qu8$gFbxE%|XnzY4d z;m(f3r56Y~>(EsqERL_v`@N%@2}6Tp0M+Viw^kt*}^E@Rx9B zTE4!isX)=5z&B^;q360P8I-<-K6zcy$Y))yeYHZ|cr6xgp<%wg5o!$}+a=KM4k%m` zRx&c68Y~rbN7}thD(=-7p;_gWO8=wsOeBJ)R%6N6mUYe)O)4ca>lJH(X8FRww5q(e ze!D79D6*lD?)z(NB|` zIcnFm{HRpkT=5h%L4Z5BSOVos&%oe)kx_yfO8 zT8*akfTwy3#Llh{-`x=l)*@2NEq$@xPgMWRouc-!ijvN&=5G{_*j_XsqL64(ICWF& z>g4gbuoNLXB^$y=y0aZQk!LP#+Z@)u6|h=SPG{2uP;OhR=e=OYTy=x!;jmqODk}J% zb^DR(tWEnkj2zca&Z>D{yGJJDTKblU?A(&w z*BjA@lBIQbltBj(VZ#fGFI+VXicYbf`}kl4N<>&M#+V=u&|Evd-cc-eTH41J6B@F~ z!x~ArAO~LFJL!*}fYrYk)tDGeEDX8x__MJc^F0E8V2gp9r$(*CIpkM;ypy(il=9i_ zw)&y#Ki)VVTfnqk1kD$gn=kpDdJl8A8oC~75^N7%Fnr;1-PA3If3t%0rZkX|-UP2% zVTOG|cZtwd*6%(S)d-9);82(s3-D+%ID<4H4My5_p_@VVWnVC+?vt4sI$IklAxt&a zi$H~sO$hUsGtwm`_7bb@gzG*F>*Cm9e}ZlsA;=OP3UG}`Y*AGt;ABH-JEMhebl=`8 zq}Kil$vumktvAfgP9L2nQQY{*6@V&KMhOIGYm1BVqbJ}hCZlzg8NY>D0FwB7R&Id& zCV*hNf#%d-9Jm+j($262@J6x?>eFx$lajDItkyL23_@|x?w~_AO%Ypt!BD|R*NV^Y zfBKi7{$$$Gyw713an70;cUqkfoS5@?&hU-SV~K0KcCL!NS7WS>c;ks;-O)7pQzN9j zsu5pfx|r)O#FI*s;9j`OYb|aQD4S=xpF>jHJ)I{20u^fB7}gEtNKo^4NwV`2DOu5z z8d{rgt5smboEX@^fej6yN}P8Zyl@%FS(RSUMCpu}7imwj?d(UA_`=m0imGnYV@C08 zOcRks+?}sT=7(lp6qws)GY@a*s^q$?Nw*JXMs0I~&p8~`5ckhCA5p+IYJ1q)K9$2- zXrPSMp!{L=Zeu8KMlsCGsq;m@i}<>mk(*M+jN3h;!mVhP`O+EXhnAr6qw4R_3#)<) zLmM8deiDWVH^I{c^DJ}e6&5&!LTKcoOTx4+ag{3}NoXPTEDNAfgYt~CupLp4g(kxs zmY}!031?Qqbzulu`}RiAy|l-d?hci^y_E5#6CvDd7i9CLdwxxvF;2SP_=y71*>F0y zJ)HfsH5Z>*p}!)SIg?H>X)N$D~1BnDyoa<&S^HN;T?%4KcC|UCLo>56S@kpK<-yspa90t z&nI$mY{rXTQ-1K=C z4WWJx>DeR@lHMnS53o&ip|TOSYs3e02PEnnVgB4Emp7GeO)_xJC8Q9S7`;H`8B1Tu z;9_5qu9;1hZ|huJrg$ZWe8n&{n2vCmB8&yZ_MZ{oh6`ylCpN_bLvL3Q&k$&ifjb@} zZ7Un|1HCV3diBMEnkR$7@Em9`j3@o8%bJbV@m0g%4o--e)qu2uNQGLDxV-od7Gm=w z`k9oGTs$mXX`54FXqBYHY;WnE;$%gL&7?+6t(is3b%w5vmN_npBFNor{ma8la~v#D zH;5m#w5Ii|vD{r0zA!I%&M4SVqK2g`&zx#hDN4IwOc*{xaYkn1Nx zYfEfvuf{bEVL~g@<+_X_QE8ETH(y*N>5k2YT zMDGf6kZKCwO4=&7l}Vj-ZL_ih?%4Bz$dNZLemgZ(0CYz|#pF?ORz{rEr7jKzcs0k} zgu_yR7fNqD&7U`PxEmyjkRO-EhL2tP0R#j^RWX9i#~V>4gRO?Gt^D>ZcZKOrpvgWd zepx`cyeDIOaC9iGs#VU;c>?%-f-J{#%Enj4dX8CHtU7n1f*z4KhDV3SKDKI#ozw++xOq+ z|E@p(@Z&#x`QM+H3KhFR9@Bi@K?|%EO{_=Otv<@w{OiB|+v~so^wVGe6BFY=8?!}J7&zRREJk$TmL*}; z!q_~&_eoJ72-=p9^vGyCVSzb?eWu9((FO1Ncp{kgk$d0R2IwP&?mF-9;B!G;{h0m*K&ZS#jjqEp||-QY7ebDDe?zdbEP z`R>buQPNnToQi9fRJp!J^Q#A6@WRS3EdOoX;G*A7C>c#w z_zR245qgdh7MNK$EqYYqT!Ioqt@-`a>mOUo`GLi8JI7qk_?up2lsOh#m9xOt=(#jr z_*B7j*x5zh(&0f9hzA7N_*lhmXPOL=&tXfDe_OIOf`L&lfm`lx!p(ps$m0GS1Na$`eI?fIu!E>6ErO0%c9*j>R#7U3p~F-4Ten4>3#uQ- zCg`tMO{yN(=iJ0VX}-6Zr9KiN^@z~wKbq3}AMMLQnpSM{nWQ+BRKsRs=w*F@jelLv zlYTAoblMdX+ktjq8Lfs5mX%l0C{1JzcNXP4M=nTHT5lJ5-KpMq4PFSxsPkC^diN`y zOuYZ`=fD2N!OfDPs9SQREw2ww8xv*;qqE+SEf; z5t&MRD`4x}FIoUC4mbdml&}cJWBlNhd$nC#lDV->=rKR0H}aUfh+0!N>FH_fwmoSQ zDx$Ua75nqA-9S_a4=nq@V&hFlSFxAd3Ee_$jS5Lv4~T+<&L2-}UjtM-}+Pj)C!FzwYjjObVjlr!63jZePVRVkrx@ujhy(RI^qlgb9Q zSgYs73LQ6*2JoQau+Y1t;j6e~{6O0(U+wSL;vW8Irx^ag0K}ndN4=#ORy{SRYyg!x z7Y649PKnA}BD6@D^#%Tz4=Gnn)>`ZYz*Q8BWM;OuwdSq0ZR<_vZQA4Ao)DQ`B^{G6 zjEB-IqGmUePc3D<2HnwC1(~*MkmDcFrr~%t-gX=qWhxKzJUk+KHb7dDxIUJ3!%LuP z_hTqj98DB6!=!S(5MxnhJL&G(bzrBX#S}BcT?>g;+yq7iH2RwBx{^kdl;u267G2ZR z>MI?tm}n%nw!2+;c|XwFeDpoE+D7Z-0YYDJ=n%wr6Px_E5$RMr=$p+?#Dp5|Td~}0 zu#O{0H|5BNPYhNWxaNb{pb8Y_qmdPal|}xuqkqogG2j;PZ(O)3=6}uN;D|W&h6#yI ztrVRE;b}2H1j3-$Ko>4|li{I~-F~Q16J%-!H{-iaB1>rnw9;|vS-v+>ZX3cpohjmN zWggsu2&i`=i)MP#5jP@W)~#Jse~;*Z0aglu`#4C<2y}iqJifyx@YFC%dleD8-Q;(0 zRWtMn_=@fr(d07AxP2PdyBL(xp1~DnJ0q&dGi;o4@vZEEj&OKi zbF8J3aE{PZFUu$*%--V}N)oZF$o_s!gpUMY^aG)u_cxE9E#DyeJ9jk!PRlZ0WJU>c z9DI6=!*Z`2{miZx-NWCG6H(lfi1q$Zy$;*Zz?Q6&Ag)h-p40$Ocj$q_R%ReqJj`V)Kj%!Z z*8KqJ4n*sC@5CjVcy~R2Iv7J0$dkz&QBmn1%^jRf zON9nuDQMcNJ+41(TD4xU^%r5*)^)zyAo*;gV!zdwljXb?n;$W4*J3}2)+f$JPLlL9 zv2sJKO&4j91#Zs1q~e84y|1@~dFN-_x@tG~O#dc7EVQjyDK&RKK6Ybb$Wz`ob-+z2 zGMLvQ{?w5|m&N+3TR?VNBIHTt2faxOQw#5Cu&8ROH)F6oY1jVWtxaBO&65om+d|I14KNG?+$o;ky&Y}b(WdY^5le5T?J{q%h8L+;5)pNj7HgwzTgI^u z)7-JsowhLHFjV-uB7GBqeM z0z$l5Y(c;h^XtQ5WMSX(mjOw$;D_%EeWFJ(hGka*75U>*iqphSYxcCuHex5*C^k{H ziOWx+s-_Ml=IXHMcaEmHR4~`)sXpbtI`t_})zkjiWzNb?#1_~+RIpnVM7CF0X%<+5=!DifE5`D+S!z}*bZQ3PMAq8jlE$yp>tRTb?LdzEx$Par0%F|Co z3%iZQ!PAY!n9@%EwMYeNvybF#Am&Il6g3>9kk4i&x)~t-C_12kJV{qrR_Af*_Zy8Odr=$Qya^s6^YV^;RJI3wC zUCYl11Pi)R%5pBOsb6aY)l(>L!vS4yB6q&=8MIaNS`|CTyzDXgW`zdI##cM) zfrTJk&9YTLr@s_@m4fA7-F4=u=)rq6U0Br7TO3159~EZu2?MiImw|3d zO~C4&{CF96p-dSkw-0p6ib+TsKbhX&V!`SGpiqvQPEPQy_{RkNt6xT#f43c*hHk0( ztZ`>LQ=Yr^j^W?SQ_3u7aA((n--|VTbR-R*H??M)6Hh%&w|u43+PtZZ{pA$8(I{iL zGviVLcKt!Q??p@H;FP0!6Ycz}hD{d{y{jE67HTvJ%NJG;Y5i>QWV*`dT~x{YY2`iw zT^e@~uN9~?U3e@0;vGz)y(YiGw!Hh%?(na&c|7qu97aFRc%*`TVp|eo5K;@$2fpz3 zBpEeqpperZ*SKS0^5GVxoRadFnA72fYh(nH^-0^S_KtecJEc8T(A6_$Z&6J-%2{wR zq*I0t7&aw}(*sVjw0Qe-Lk$gQXLb8CaJ%}vt3J9HWaRBW`WcmmYC{%GrP$4%k^}%4 z=)3escOzCGH|Xu=QVl4{kgk?SDIidJ`wNt?THID04Xnk@%3Z@lEvUTbm|-~!JuNxJ zm(l5wGzM>r$L&b#B5H+ap^w`lH>jq!o?xDjDx;`FGZ~QC1tjih2i+sLyx7+ORvqYk zDai_6xPsizW@`VHgR@qML&yu(_<^9I7LyKuYvK2kRqYd{}@L{D_DZ0eD4D{q z*Zt1AKTrkuRvxJBubSAVkvVM)e5E@A?g)MXxhXLQ4wN$wQN%~H_#!yJYZgxp(O8Xn z7kjjq@IqnDG~l9**f&xOBM3Q;nt)5uyW0gq2`Lw7Q;yxVOFUP{dANxC2KH;MCpBb= zXesb985ogyZ7gum*r_XRyy{*rwNElR_>>tzz>^5IEhfmb-2kJPXwJQDnK@%;c&)J= zx%{U1EKdX!TJoJ##|9#9vX?sps66wRJ6i`9r=9M^8t?8?^sPuFrx?rsSnnzLJ4e<4 z6c8iw+^$8@E-_55&Xb22CYuvxdgdlt>9v)!^0s`7_r}fhOU_==@=8;5u;^}~U=-_* zqxDKl~7Gl1I$aS4ca2QRt3v`rxyUr`?$SbjVb`B zEuIG02?Lc6v;D2lqSLA)-{%!zUQrxdNCAxMT5mLA7HvB>3{GHbi(??W51ymPf9b(a$V!+o6yVU5Itu z)0x!aO$c7X&`sK@SD`}X=G7rccDo{hI?|4SA4yMO`@H2HJi8SeT(nW}3qPU7OINbp z>d#=e<@)7eHdXM}yKNld0Gys(+)b@iY(^}LSC1EGLg+Bn)O{cX1VMaPWmo4>Xi9h8 zu)7QHL>`ce%vL>62gHRE%AshQfu9CZ^K**d4MR%oaa>7kfiwf@jvcjQ$GBqJ3ps!b zc_Of(St23}g<1(u%te&meHf{S-~KRXr~owyWP6)BhCPKXblkpaVt0?})r0xdoqMyp zBou(u_PGZ(xp{SZ78)`bm03cy7+jrpfol13Y8DiQVodZXRZotW&f(pYm$;hhG5l@d zvOqKJQSq=xg>(p3Er&uMN%=TXFT_D>$fCQEy>#x2!e!Ao2+ zJg6;a4k9kC@iUpk){VGxtd5VW^*I91wBM&!3o56T`b!z(X{leR^f(>uf{<5S1$YYf_L~c1!A6A@!2oG@CyjoW5;9^w9}i2Tla-~R0r3y|=jLFWw~kOusAnW}GIOjLj}1HH5#7->#}_(`m~BKO&{ zEceNMK#oYd15j*!pFv@&q_xDQK%Y#9b5G+Ip7Y4_S>!Uj0>6hF1S>r*bY=z@|tP&WtA!IpZIDWMba z0^)wu^oAjyxA}3M+0}fb+J(t0s8m|&W|SoQBfDN&k$^ZH|G^hG^u^Q9^~JT5N#E(q zaGpG4xfi+ueX?MaA9uC9V~QuyU3K#DaV-K{$`q3#)@>iTcO6al%w+S+89y5U#NP6c zQYcpMp>KFzF=4h`*XYrn3d+vzHhPNPV=M9p+l7@ksV)6mg0iQhxli*OM5yf~cnz_` zxZ^~!)XOu$@`X1Tq$(LWeJ|e$Ui)do;>z|L2&ob$Ny3a->o|wGX(ZVfcR){lIp15p z+(m7?tq8zJ^w`dKk3espRot+AxgaQV+E^>f{G0ANSQLuWHfP(^M;R0$89%)`@b!@LOl4W#FI+Y?XW&C_~`uM&S^WWmr=0&D2UVzt2%2! zg|bP?dl73jKdT3@hn2>K1p=U6%JO)Iu&U$D{dU8*|9E!xk;_*)>3dR94b!w-^0iVQ?LCq0ewdj`lg%Ok#x3n1g-d&Y zdYHaab~i@uGZ$rNbOzY)sB3W|vZaO`(U*M59G?E@UjBx{>vEXbdP}Bj+}st)n2P3Q zG=Bfnzx?zkLkww(lL5tNyuqy=@B{j#+V+K4vi^CjlAY6slfSb$x@$2tH$kTj&c#Da z;bOr%;#l=BTX%kac?7`_n;mUErJ@V{XG}Tlq=|*LLrgqr0 z{+?KsA9^d5^`FNvr0t}=sxz4YY#Evw_aMMZ7rTp%liSFHZr$(42N@5hh)VrOqQIoW_q@&r-Vh-yND~Lg{5EprhSq^@& z$hl}xUz%LyqYQRyM~!#IDO*&^jS1}Bk&FcSdIu3a{xkv!j%+%&Y#h` zX!J9;`P2$so=Qt!W$rgS}%wGdgOiMTg*uaII{!s@JE7 z<$CWhn6jpe-|tF8c;ECQp@+O|gQ81Cs><2W9<$&7?>=f2^IBHUvb*X_$T-0gt8+7r zx3GmMkMVRc6G+t2g^zr90W}DYm+b>?Q|r^QZ&s62&HH9J0AU2x`OdRcL2H_uZYtev zOE)1>Qung-T*5dqh?X;qVR4fPfZQ*O?%`oXZ_(6i~CO6h1Jpy z3j<>4L^gn=^2bDo1qrO_yDLlcrWP1_stag|eJxe_CK1{dkgPG6o8XPFUGuq~pr-?9 z+2lNS%?+qh79jDa`S!WUPvL#JQ2`8 zk+R7rBu6bxJW>P3=Q%Y?c;S45K4@Op0Xtw`wP>)39cViePc4fnZe1CWTQU23-jy*EBI_yuxEe^ z*(O}6R?)<>9;F~+QR<))Hx)p*lSd`)iyS3UijtJ&Ar&aJL0d9QomlR=tU9q>@hquf z<-2lj35)M8_+e#1V2#`UTx|j*ngCiGyd@v6w@q2UJixU38*BCrrAf5O`6wBJP8d{NH}Wfx|H;u%_Ob)-?4|*_NBO@ukjEGxW)C zrFWCn@|PXnBp!Cs8~lw*a>^;BR=AZ)_9%1%3UQcja0zA)rTkpueXJcOYQjdw$$K&( zVBN#O7cM_X-kTxKgm6gmIo?Zdl>hzJFMjolzy0mE@4wIgU4Q=J$A9?tH^2O&cE2ot zod5CP|KYpe{q|e^`RglJla5Xf>CR z6cR76wv`_gWwe%c{INKE_Q2)=&CNB>4WGO~p}T_Zw4zp&zF4*M%TIQvU<4NDoK!O0 zlS(ZwdhYk^h6amDD}6YKRfsbGJVB9sT4$y{dtp;Gv{1ess|v=p>y^$aK2%%cdL@K{ z(q>*btF6pjNCCU$tCC~QB)PIr?rPXTt6=4yy$ZN=Rj0(|Eko5zf}psW?c;iy*7@+d zlB!XQ#%MpjuUS~#oPA+#+1~%cc)PqFV&1~&%;U~p-VoZ~xAb{azzd7_ZPo6!;5_t# z$2Y6pU+f7CT^_;=3l90N<$Vt_8hN8~AXxUhG8#F;PYALZ3S3btn?%v!$|k|d76lCI z2)htowSE3iuYY{~rR*vX`oJAp(uMi`MXLO^_*GBkj>*o$kj%avnGdEh;sLtNo`m@H zXGccMz%Zf)II-jTu;|+6aRb}t<5EiOiQbE`kpxD+DuH;GhYYAN4dPO2aT9<<#xU4c zgGHSRCCDN-71X@L2n>^V7{$#nQi!@x@64-G^miul5FI-McuJB)Zi;9SE3F{V6Fld$fT6C1j>)Jrd_d6Z_lWYhfQ!mj`<>$^xzLmVTwD1Jx}s*z&5-@`-n zoe4&a=3C~UB!qzmhRF{`sVtUhT)aqS^8t+oxK!i8VHjk;FnFKUtq;K~0k!EvZ-4QeBmVB)dRq02Kj`ok%VrZ#@a2)w=UI zYX++u+$n*)FG=ek7O@V7p9DL|>D0V&0B=Op+X1R0^QO8$3XkNQ7TCIE)V8)w4mF~} zyYLzVT)&>;SwP;HU&ONm;iZ9jt6JL(G-fWZy5{m8g|I|rRzH!y8urEt9-K0wiW}a{ z{Dx=zVU(9Aa1bR1fmzL@o^v@8*2g@{gITSBK{P1h3IJLG7FWOpO#X03p$t=zB{2$- zjj$pWSy&$TqS9w{WWh#I^P-5%A28TlF%($nL>I134;%0}&4*2+OC)a|f|V^vSzBIf zUJUoP1hkyf)K_vxB&BXhvbJ4%JlO(~{X9;Vu<{}7yqAB#s9$%LN-T*J8QLKJ-7bIt zv38KnrIwsyZF1T@p_3Vi)MyV9#0av`?NY*gsK>04UtzWR}9-LQB{$YGvu-Ox<2hZ664EO?Rz)vCPVjb3XQjeGR zpJ}^KN90%+iwtcWO}k%6e+#^*P_Nqljfv`KcDtECPY=c2+4697Xcue|Ir8jcvhBNa zeM#KCY)Vf0myVDLlYqTl+hF!NStyP)HjbYa0*W6h zXY^Dap!$`)7Ld-LB(%5}{L!0Dsc|n>3&+pW)jIYMLgfKG^OLvEmKyf_##T$mTl3PJ z7U%h<^mgfMO&Q^v|2MzgB|nWc#nn%C93}&h9xL0JQOjdtV@4$k8;T;o{^*4ahe#G* z$Wiah;tRRO>GZ+P{b$c00`^@obE+<_9XuIdNzuA4pk0K^;b{egskaysz*4=?2N)w3 z1J>+sgB3S4HdbBS$66F9*6gUE#lhr$ga$D-M0V2qh>`(yc~CPMB&KhJQs3x=nTN!q zoE^2UYOMj_F&gIg_vBoDX;gkk@JDx4k}aJN;sK@oG9D*`otHz7ai{o()( zk1ei1INIVPEYcvH^^o<~dvhFfoNaO6I_>B;I!OP8pV?>B>P}3KQuqQu-&7}SF+5dH zO-yE<8B(XVRPCjeVz9mE7H25W1Kqny>j(9nBDayoz0v1k;DC;(<%Vr{=)%t?yQM`D z;Pxualeh*cXMoX7uy$M63=@TU0wyV|AAv7)bS|H^5r(0bhBonz?*}xwkH)>T^C4uU zNc$D|ohiwJK@?zW% zy}!_~GzV0@{o75h^a;(Sj-w6x2!9l`%s=%5(*{794JQoM+}5yc>jB^?>YLUrx8D}PYUIx}>lKa()z zuJ#^|P2!!f!Bd=FWc+-l&tQecAhP=ecLruWH- zAB_vj@=a#n;lnr4&0>Pt(GTSym6r8)oZdBw1vUm z)$(bbQVK|&k%e^^DyUkjUU1vMq_2u09`_#& zM~=_OWnCW*CWl^tQ@1&?ED@n;BW}N)h&9J4T3W&AnzD|il6XCnj~X=f`|Hqh7vph$ z42xz@0wa-PSB8C>2LI$|H6G`JUo9yaUZ9-slCYbC&o^y+QP){g z+-B^;lmR1jaa(-KS(r)M-tP+_ztILPOYDI-Al^Nilm!hIz>PmlhV9vHnP-i!k3qOXt+El>iM_Ng!5T5`_qFLf-)!m zjyI~v7f!c6{3gc8)|aY<%FJ!oR6{|Hj};BzH{Tiu>?N2E6R1|j8m62t@PrrnfmQm| zTq`Ey=E2#NG~^XKV-U$_^_cYSKA+&Ka>5utI{oG*#ey z;Y#PhSyCrzxK)?9c(*5aW3Zpy^mBNHIgp4JHy0q>8b!2=P3vM?IRB&Ax#^L*`;4W! z(&xD1)aBhrN+4Kxmu=F}HhE1MVg@N65n(@ESQS&^a(p`D8U~uP#TE5VSG|d;pqH1D zQMxPK;0Tav27?mU>LqnZLcxffHI?$AUtULw+|-_@x)bJC$}YC6(kd7N@c7_3PTtM? zv3nr7-sG?jF8x5QVm55le+dfu&zP<(4;dBqhj zi%wKPR1kw-1r`JwmgSpfWxogFBQh3@T_xA4`7q0ES>kCMtl2Y?U7VviogU-1eEA!% zy5Wfpy6fwZ3cag6(Zf8_!`^js3Qr;;ieL9UAkNgebegVR<@3(jbVFR}=FM|3aKX^> zh4rCLpy4$4iF4p5(V@pcj3pCX z>Suu%7c?rIr|TF}p1^{ztOocQY5-56XqG2b5V^B7^$xj&okNo_4VY}(wr$(CZQHiH z-?nYrwr$(C?XQjL#a-NpSroqq34%!jur$K@DrwpR}Z^ zIi|MDQXCF~RT;4Bs}Qad*_?1Wm}xqNZDfr2^fN|3a%IxaH0#{X-l79buqdu2u?;QZ zuotEl9yb@a9m@H3&e6{jeWZx@i5)2iQ$v%%m7S_u&wSAv{uBX@z9F*wuWyZFEga$c zs>AY@d;YCg8Hrwh#!7F$eh!#h-~6JwH`vdlEh{O^L=i5rIgdJ5gj3}oEfV0CBAnKL z!(5Xm{GwbzU6=_6)#)R&0D8nhT^e;B^khCXV9BNTr`^(2*GgNG`oqxfBOa6Otix$fUU?!_;;bn8hjTYuJw=J_m45gAgJM^qJoBBv z?9{uPrO;I*sJI#3tGIBlrFnKgTb8N23^`Mq$d(>^QqD1{6twzkE%`_gS5LIs-0N#= zV$k_f@ti1N+M9iI;NQ_I3va(C4}o0Ee(ULJPI>Mhi>e>KQq!(AnRcgC7NFv{b96v( zL8u-2rr= z@eT`tep6t|bF23qdP<&dbi)oQknPvO_|^?IzMkf0+GNPrFBsi7S5;v?-(ZSTF5_%X zgJ8BRI`Rc13J}C3+p~0HQMQYv%g6YrIF;OY++L&o-7ZIX(+jQ+ha*w-4dzBEF^IFi5G)BD>i z?(o^#{l``DtA z{7J}@+gjIg00su;_Dzx=*jLVl5H-Zs8>EDSDVb@gRYUy-T}2|5gyV=xvH)R-PUVn% zFc{ZiTvmfx=tZeM8Ru_+m8NIA%6z|*um_K{BI=PbcgF%sFE0BQmd_D82zPvPJ#JGK-VDJu zLKgv-?0tb0kIMga?p0&&?B_0PIao@$ArR8-4EP|>5Y_-42KzRAKAsOTbv5|=cKC_W z-GiCGxW27%|Bmdpqjdsy_+_&CfUR_Ds$HN_XOz zIb0&Hzi2EAX;2_ADUcomOa|vYs=!?33K?{wlF6{;GtRYTYi_5ynAs3zJitf|5Tnu6r{vMWF$5v6?FT8SQ$u)7^XiXuS3CNW*{b=ZUZ z48-nLVcE|tgIqwZduJ_l!S>ml2HCa`42CHQ!BX+mo`<$cB(fHBnF2G0-! zQ`O=Zz~x!T(9t|pm!=?5JQICJ(##xGTI*j7cIAk46Ppo5 zpRB)|lER)YFNQ4DLw+7_C{h?iL!cqqz@i=y5i_x*y-ONA5ief@)kxu?E2Yyd&CQ&c zbnI620r1eJ(U}R^<{gOQ&IO-x(^L2df-5Jc6tNOe9v82Vpzoq(3(8OMUh?BxwUzN(o^clV_X< zqD&g|&?xjhm?!p2vRYo}{pZcP-uMup3s@ktZ1E55YfwdEl)%ZYLImE zXm2{J5xWG6QeSO*YUi!Z_jK^crB`9Qh}{(7dn8-S$z3zxVaYoILwsCBE7nSJBsAS`$nWO0qk&4$ub~9x| zE+H1kN>EAITM#fX<1#KT9iwKm3)hVt5`4vW{f=mmaxtP56l|P?Dge>R?h}EoUp%mY z`(xYu)VrTYI9%-Pwf&YYKUGH4Nu{2rxtTv6L=A;(`c(B>Wqs z@>TE=D$!Gs3C~wE=U*tMzbz$wmV<2ISCp;nq5hjsQ(IV16NKf2jTBUd6-Y;?G~#TF2kpzUg~^tI(cX89v+d(pX`%t^2#?HpGe{j3~t5{6argb*%K?S`-CkE4*p2- zXMC4*k5HtQ@s)(ex8Nnwc01FzTP`K6kw(%=S=fvigZ~L792@c}0L0pvB@V+`UsDPe zGwwrIVQG|m@#BiSaBm4DP?>R0`+TfBvOw^imFI{Ez5s#8ik7B3MS33|Ug^~l07i@T z!?$c0i(pMF!Od={Wxx@X5K%>Q(xDvq*nOSJ zvUg`LEBjg}@HA;4IzBJZ61ndT@?6+vjIYNfzyEVso;k$?_Oy1O?wcSwO(cWuB=_m3 zo*Nx^0OOUO4{9Jflb!LP`_g4beez&ey#HdhQ7_Cy-HuC=#^G6BgiGj0^F77R_G_&@ zaCvPyd952y;(uLkxe*dyDy7@X2#$1b0h%K?^_nz!vf92&d)%%z{F_96#SFa&cx6E6 zYhn&N{=UF#^tzc*dind5^{+N6+61h&Y7{LN=!`Sj%S`!Fw;!U&tauosNX+Pf+>L6u z1KMKVGW+5R0$x%4s2X3lHX^x;weh7ad_EGCa4mHT2p+T8ukESPxf41rx^JD-(pR;y zJ(!ZoN-)E_`Bjx7Am}VC+AR%?AGoNq z7t>Ntd3=EFIgdm+qf@sWki?r5H>en7u#T%#M=1q_6}KDPbZiTUUybLGSj{icjWR^K z^O@YPTyIpd{a34`-*?2pi)z&0Nx=;VYUFHvImw-hm1|&bQFMcs*~Nlm82`1}=-u6^uIK1b zI4>peb@O!j)@@Ql1knHp-r4 zwXbn@Xz&UYcIgtD>vPLHR!`uec5#*|JM7v0oyRk8(rui@-qXr%iv6g~BC_c+dM{4t z!yrwk_4>;pyxLA5_?@918)`4mB0QFKt#-5-X>wM3%tT_KR$m}Nf{jei0e765lPh)S zMF|sPS~SE3Z$rhihnYeGE;R~c8eVtei$PPx^*}0Y6L9=1tpqr33l{{k7=0f_i&`XM zvGeSAz@D>4uF;xqn|cP&D4E=rN?V$**mrA?fqlnTsTNt1sq>JUY5Si$dB2aBG{5(w zykC+*zjwtTm7?{g_nkz&R5%D*-=ls@r3GtjAkUjPPV?ghT5s$7cBiP5{#67H0FvyZMfeR-PJ59ehxhB z1AM&;19&*C7`CojRV>G2#wMNHOLBWL|0=b3-P3cqfWU*)$(Qev3!8nYAu=mG_@7mS zIV3c3>rjP%k0!BNVB23W_5$b>g`T&<_mq>(5|A509Pv%t3ngFjyxD14lSp~ciVf48 zSKg^nOAx%rQh{r0!Knk9xk^%3YLpb>xkFK8kjxFGId*x@5lV9zCSc^Stnnu;O3N1g z2_A+Oa>jM@to$Slx(Up4(R(#<#Z9P<7s=Vc4E z@_;%lV=FVzXSD%Wg|L+Kq}_0e#f2?^v?W8dCzB_2^b<1tht9gaO!0~lDu5~PCFLkm z`-7(P>UzQKc&X=6C)SZ{TJRF&T*5l(fr(_kZ9LE-b<}q<@{S(d2DD4>;u7QVu)I-drO)2ISE!n z1<}sFzpOZ%%TPh0^T>Un*KyySmbZge-Lz~>OcygdpjyU;kv~v0NoyLc+VPV{c5Qla zYVOT@h&GvtJu9wDPW`#oR0rF0NWhj!6FS0D!A5c*g_WwZ_u@89*_B2o#i!ve8X+YE z(=m7KZma$I2)YghB(K3+s&}S8b9Fnzowxdyd|#c z!%lVgh?nf1gacW3qD=~4B~?BLR>p^r{{+ZhlGb2Z z4S8+cNBg{$Y9^E(J2jPKb+ASTy+PlVtS*ZIpVMEu1Z>RzI+uq0SW|b!+W(aun|Eot z`i72*IFZh*`=l!G!3zLc9Ig)Amiqlnt)s}~MA(#l2cQ>AzdZn=V4Pa=HtiW3Wx|DE zg~DEkzdF@CplwGD!c2UG^IMmf^y6{d{k!r!TYEZwBJvXMY9zr_`P6Cxz_O=u3mv<| zl>fhpxaa?ii0l9V5pk^upUaCIc^=$V_G!^lV)=Mt8sxL=w)1j`;z!ZpP~FJ(K@jkC9P^N+BQP4^Rz zlZuyv8N%Oxu$}t#dUCzZwM5$QldN$9&vbnn9E zJv?@nAhwY*KE98QEum1s(%$bsp^~8FnklY)T1^YV`*!nV0Vw@giXa^<7IlhD^B2dL+vnBwjSA+i0;Lox({?-owwSVbFnw?x5t#pxEiqg(YoJcG}zB9~&~v+3pZ;uW*taHsO^ ztBGq=g!cpVh;K`ja_+{t`Q;xwjnz;oJEL(2QUX+-r7y?NpxN^zDe&Q$lNMLjE+-Cg zs4?ceb`SO2(BF3S`AP{hDyH-Ggp1pc(HsOOlv9v>P<(34)o<>K?Wg7UmR&ha(r$yt){c;rW0b(Uj`dFR%Mzqw?AIZ=KKnc8Kh$W`cF9eUl} z>s$;6*4wsSOO=*Nf21xE7%(c}L&gmdM3@%MA1e;=KGl~F$hZ1#N6Ia;sd_ZDts+Q! z$&69^f=&uwT`fqA-{FAMt0`NK%EM@usR;bTd~Z#d3o~&^+}*tBh#xzL2Ns78sLh_< z?K~!QsPnl0l&b=o<&PhBoZLO9QE>q6XSbUp0gLmH#_UWf|Vaj65`C(5&K7Htw;WRJK035Z!$39N9bjZVkvBYX8g$WH6k`0)u?^Ld zo%Gd_38y>oTe~@_zI$?S?ScZw0|t8p16*O}?IN?TNm(OvA!l{V7yZzQg>%{0re6hL z>AD?=1QJm!axE&?Fn}FgO$i6cyepn1my{YWsp4&Ly9d=7j~wz6)14ph!0HYTLmFhC zYztk(TyG8!%_`O-?O8NEg`T@m{OKpBysBkemP`JZ%0TK5=YuzrCYA^$HtL>Cbst=4 zk>;w=PmCWPlL|@dX?h&+s&A-kjWpZ`rK44+`xjl(2!^6h-F|aqy)B$E0F7)uLIm_P zM4*`jojdN3VffC7U9<{tO~0Up)yjVb$I!qWQaS%Z>dKhu?bF$cs};pdUV#+Ll^z7B z-W&#n&7Fd#59Q9xc$y{X6aAacv8@>|S8o|uOvbyyxU%}*EQp)0v; zvGyl589InV{mDD)jGi_E3wEKw2JeNHtRDEX;0f@L4Cg^jG2i3O3 zo!#LMDZG_*sRx^_r1Pr5hAUt`3%Bl^Q&{#){tV9i3V4fgRJz%U&1f3hO1}^O-9MzJ^Hjv|ML!p!QbEw=p% z=w!jItPk|1$YH%z9Ot;Wh>m`lL>%|iEJ>p(vn(_Rnre|_Sz94G{g|rsafQ#&Fqebc ziEJzsHI5g^T_R=|M58o$5TeF32kw$egLF#Uy_I!|H+@MASLt=*YIeiw-uU{jLs~xh zXntTh4$!+gvV*i{mhWg{a&DS~5%K^*m~Mza{m>v3oi>#iX~0RVpD&XRcXLE6JBlEN z4Nd!KMnGru*Yu_O=A6ODvW}`I{wpT~2+r-5;+&3I;G+A7t4M(f1m+Yv`)DL_%<8bb zr08ZBSe3h1H?H=c`J{Z%S1ymPX>g|@Z~GXH*0 zKg7{vZU+*lju44_2dcqy%gyX-0|th!p&WPLh1MDvaTsIJ)t;YcgGJ`L-PN-pGvGm= zg4b4ezGJEvY9Zc`0 zyJ9BW9|htzudHmB7!VKtH=%xKNO5lK{@2bwN`Y=ucI>*!>a!XQssSm^$}k@@ughPt zg5`gpx!(TN8m!xsI~T8!T$^T{lsC*-FdVdi7HP-`q1@7Di}7u}R^VAe9BQgi?=Zq` zpShoQ^qz@$Ds=`K^Q&AM!mPqxg~V~y02Y1B?$&+GE`;@5)>2;GfHQJb9yfRqmn4b;$#z)et0A(GlaqA4)6<6ejQ^R?YtiBjN59IC1n{IaatW_ zr12}-YXxY+To{u8tL$X^aftU0SkFajyt8+2;n}f)jH4UWc>{FOsfa4u7^8?w zqGHTX9%F+D7Ke-+5$`W=jwTw%?4ez!u+Mu0ufY~0&B;ws zJsJ1iHPh}Ly_z;M=%#3ZVD4Ip87dCL?{VI_#k!2ax zB{#xNCvARGoa>FG>^Hw!a0o@cU8s z`|@$e|NXr7OG4qi!gT%+-i&BT?ixZ#%DG22c6TrQ+qFAa!iZe5VnPo1-tE&~CJ~uG zb#1>VuHnP|xWhW3{9t;mvZkde>Z*aK^C_}==6B;mqx4_78mB4BDtM~5tkEJ$u7=|c ziKmmW#;>+`jrT6|MHfBDXcUl(F%_k88+NPhSq#iO!+c(&p}whgLS0omP84#2Uj#eN zpDhRaYN-2Lg7sMvo4anOX;qp}*(PYnru3i(qjE8+Eo{QiCkw-g#uIkv@Yyik(km)$ zaBDEx(F<$}C7jzm{_A-f=kI)Ih%w}DL0km$Yq(S-lkjQ4UzzHW3Yq%~`8@L-2D|LX0KR6O>vSDEu%T65d8S?9fg5thC8p_wr~ zzFN^hXB03J%g+M|mA*Rt@hSx+TevxF%Q%8Q3v6s!x{7rE>>My|b^o%q3v-tcHJmZ@ z3Qys;dhwZnK{k?Az1F?{e#UFaI?=tuXU<6b@>IJ)s>LJ!pGz>!X5mdsl`im4S?X9< z9RR!Nc6U6!&=gFr80p z_OuJDa&8%R@|_@f0`K!;IJu}hk_@3nE+2Dj&lUftA6595rZDzTf(vE1nzS3R>levw z>1dE3V!{=@TzH1Fe^H+;&yXA_0sY!Q#W(X8COk(B=sH{$TuaDPUOf0ZoQRjSCu`00 z(Dl!WQsZVHdGUdVH2{;ukA>7g!(*N#WUS^vB-@XWAx>Sh2=ys%H);# zI32!vjhl4A*o1x}Xl}voJX_+QGXyjR&z7PELDxVLSxOzs>fxzMDd>NTDG>2-hT0$& z9d~VjZbAj^)^O2HRAo~wqdckCx-E3WC76g}(;N}upl!`g77tkZx>t60#W7*Z@-ygY z?wk_fsP1>VGjmIGIg3qmvdP;TtP`8!7bcM9Dwyj`(OeSLxL{#$0-ey9ka#5!^dZFN zOYarVV6cgt$Rtn&3N$mV%ux#O&{ezNjGES!S(uXAjKj+^Gl~6Ivy^vq8W<%?>xGJQ z{_;m~L>p|3_wZ%?B^s;KGqdn8-If^QW1u(A#V>v1j!%1H6E%s#cOQ~{ge4WeoYLK< zXC7CRsnyGf<3Jer8U_Y!|C#r8Glz}fUcUfzivpFGHI~&(a%;)iQ6;qkC$Y!@sxr2i z*KmYJ_3M1;CDIV!x<5QFelC+PYg^Q#;CAXIXV|;+JQS8v|0f(Y$St+SnGnqvaRvK^W~*=z6RF5e-{aV(iUk!-ZdtWnFLI88o6R%Tpr#Tn+yT875Kf@b0HWSC4=w$KOr8_gVsVZeO!P{;MMT|| z)qV0j7R+{yF2C2G2K}?nj~Ai(iWSc%^As&uk1`m7D7#3XIi+GhImroGi>+7*y|q^r zEAKT40P4;OW#{dUZg$IzT)}INIXv!w6Gu*O6>dZ{D$`Ny=AT82&xC?}J8E=joT29{ zh)B>sq9edbi1(1?opKC@puB3X$V=_oQa`>U+w`|PA8KKxR9LWN@p7e*3mNTjrK3$1 zYWp^vev$5|ek+T-vr|cg+F5bfxRI;KS%2B*hH)h{^d5a?a_gZW^OPMpHZ|IXdf$6H z-EG~+qQwitRL%8Rve|xd9-jI=z`F%Tx6`o`+QKU)*>eoQF?~ZF^C?RDuogj8Ht9=R zu=7DE6u8kN-QtB!$k@35B0_9QP!p7AEoE>%4EV@77r}vLI)GB-0jXUM8V`(T1+Y0< zxD=p~|2uEkL;NpWo+EgIk6nrCHi_AcUS6R~_OnJL68~!MsN<26!@EU`z7=z>UX`R8 z!>P&8YlB-aoc1~fk|fP|+3s@hSy7kgQfB||x7vZ841}u9!QX5Y6cG`}+y_>=w&cL= zUqOv_&rjG(a#3}i4{P7~i%T(! zqz_PsLxlNU)I*3-gcj8)H-2puQFE7M+;%(ZnF1XCO3puXG)=j^pj-s`L-ZinT88CnwMJT|V7xpg!fkm5a-1Bn-@`(dg#FJ&9`V^E#|NZ$ zYF)am#f&C>ekpBMk3S*z3bF;cKI0bYBfUd`Dyh&O<7fhi97u$4gH`HgC#wSWLMTtb zI@bchY0VaKIMT0KV4ANTmv?$`YenWes}J!iSRK4)dP#gh2x;BFdaMYCfTFl9z@-Ek z?^RvT_@*BNm|L21PXbk=N=_X$jgM!k74Fx>hTVUE>mA7&v#xe)_ai!M z+GjE+{Ur&u*#$jp;21~eUI$gVRuTYItBMyJ@XLGB+(G~}aKg|hqu$TuMqhiIGbdo3 z_|1sr<8!?WO!V$r*@`%Faz;CeU}`;EmAvreJ{ zDs;O^-yA3E=mxOjO%uRVJS$-9*OEQ_&O-@mZ}XPlMbx8S#vGu>2Wx03SakZ6=h_GI zy}SKZ)J8BqXt!CXY{n8fZtD|8+n_zd1);L9@tab zYbhr!yk%K8oRGg_^UJArmnmEi2<_8)xbH$^?YR}Qy2mZy0Mw`9dV=TxXb;u^O=|Lh zECi#Ae)C|8;J9h)Rs4I&Y8-Io{0pGtX+ynq0{RJC+@+V3;NpBbpf7Q)A-kJt?pl9o2|gh z0*h8Kr?}F3GO;R_D6(k6d(}XOd9L9;t^f=D_6_izz4T8RTG(0o->d~1wF}KHIPzys zag%Sm^>L>H0Hc>aVDK|)xh3d258Pn>5i25b4I~L-wFwk>Q#V-UEcPS3gFaJ))TxkI&SWSioS zD9=FM;hODXBKXJheM9sr<)C=dtK4xx7zwT@VXVd0zJF~=%M#3eEvcE$y6|obly=6VdPm$`KEQ z(1t&whrBG~fkv|E!tJW7$!-OYas&f6p${%2dlaP)4~)%P1FKI6)y58 zzW#@_OxiV<*xZmrKhjoO7u1S?*+nv0IDPGmf(D-J?X26F#%}XOHZK+eX?~YCk9+7K zYgqgPxRU&NY}%81VBJ#)bYJ{ZiwCBghL1>;{a}s9dc!uvI@K#BLEdXyIGOG^ zqt7x<@8M`Sa9iRY3!`?6$du$|E4Wdoz1CBvF?=(mA6e;HYV1-nEB0q~H`hqFMi)}> z^{g1eEb{Exg4{&kFszS(#O}&u%h?H6J~VjTv61W7d)?;+$IqRdb?gc^r+fp0=Az9H z!F^Dw@*ZjBF88}l$d(M|^*KcFE4~>_b|4SAGdx&(-Wy7ifwC_frn? zi`KySyTAm)w?N|wuWN;LXhc6~HfOnkF8+z?SPTxgyr~EavXFZe6vR zyCY_26ZG{;H1W0m(hV4-J0eh~-{6@aoX?%CEe9msxf#R586U-9)*C)lJF5&gg_pUh zS6n30z`mbG+QYq_1RZpvb6cF!N+V2Pj~wTupWZ+|r3;vbR3@pMRXC{oH;=T_mRM4# zO^>ToDCbDeBN=7z`3teJxyPH$l-Szz>Sd@u(;;X*33w3i)hJPIy zM+k0mTmMa>4Ft2XI>J^tHCkjxJ|~|#5kHJJU(+mz%}nn>a%qCZyGR^0uCsK}rQA)| zxpg>wmkY+fD(v4j%o*+Y<6Kr3bq}9v+A!v-EXAu<`#jQ~B?v&EkYH}_9ZsN(Tuj#FECOv+Ba_du z1&A}fEGkuQ`;f_(Xzmn??cidT2v}Y)g{<)Si|nw@w5#r{=E1rsM9yu*QoEFcQ5A zQLtrSH{tGoKeEVWKoNp566{nBW`}1)X~-22NA|4;1Iry&5AdwJ`T4r;{C3gmQy@2*I{V$;mIMf;;5COOxXkPRT z6eDyXt%D@D1~)xBn-6V=Hsu$d!rbgEZWkU@UvV;E79`R_rJND0u6F2`W9Pv5{f!l+6|sP zKn>`{oj_#bRA5-i;!BBN|BHqXZVU1H#Ymz9%l%5C%|4|lJ2Mma;Kt}7p z?nXJ7k+iAI@U>%lu8n6OAv3}Ed;%4%YWIDZ7gU#^N{-)Fna~_qe2m??{FDs4@&5Kk z$6swZKeoR;Q+;ntdE~hnlN{y}DKW`Th`Roz26wBGOORQY;~I4_Lu*m5ts>iY=|4A3 zovsGDNZwgn>K)#=66g3tK0n`|ht) zN-&cYdcYrrR^it#@fFFJF;WN7aC%@t23RrpQZ2g+_D>y-0jM`Zpa24-K@Ah#h}@;$ zMA174HqbB7z*z$u)KrQfGQ!DRWM!L3zQk{4^0mS-jJ9F)a|w=N!3gMFk=qCORL$QG zDxDfx_B&Kr77AJL#+-zk4+y6;HnRe0Y2dR!AWUE+Wqnl_;eY`j(qr$OI4Qu~N!6Ch zNr)x2nrtlouaI)8^ahUo;usz>)o6r#qFwFep@>nc{7>KJ2Q&6Gcl)ZexgptJSSm)T zOb)djYL=h51q7{r|6;=WIuynufEw5R`gC3Q{e77f@e8?iCa-5c-}y41(YBMqF(=O; zy?g?V1I~z@CEJs825o=UoG;OK|^@Otj=E}e)Wb}rfAD;m#urgHPgieR+Fj)bli6Xm?%8}O6 zuh(XJaH~1lnxO(=i+!yiL-wa+Xj<3x0p#w1Fe9u|5H9lOVngrT7c5p8PKGtz^CH|f zD;erM>w)h%)>h_n6afz*Fo#?Di%~v0aW}G%rD7NUXK%R|DH*!CzzxEj&aIzi6jClW8z~Bm3|Tuyw!S?g5a^-MPzxRTYHN=6*~cUZ8596i<7b z_1a;^&rKs^-^vT+mF6`(hP62Akb06J5y_}zU^P+h1d1Cq^9`b8ej&mlJZFh6djpSx zXB}qKzKGl7K9o$&U$v?RK~q|Y}L}oXlxRZAXGjb|4J?Jd}J@}_bMYI z;RWZlsObGL`%(KYa3kLe2|oP6@}dBG*jX&#%om!|gJ0NB-Y_zayUq?igJ5uw<$MYC zJY z&c`;dWvUiGKhRSJwS)HyF9yknRbtXsvJbDC>nNXwhNealWBLz_@wHvb;c@hdDS~>^ za}p_unqpHHM~pdOP_@4R2AWJA@6XF2S0Ma!WBIr#L{~*>s#mKUeX9_XIB6znoMlpT z%BuVknW}42FcUifbHHL+ubgHg8$=QtqcE)b$a(PsHW&dRsNownY*_~4T8r?VN+-MTqmsC z3H<>43!M6zw#++ZAX1$*nVW zJnH^45_0J(EFev>Hoz=juiaPLp(0useumsuj__s*F zQhdF9jZb8RwT+?T{M;9>bz|av>{b{h)r`ZQwuAoV!=>!3bU_x?(v6Eh_1-^CE>xIJ zZ#aABGL*UevZ^c&mK=!JMt5`F%E3~hVQC%Jzg0K4LpeRaKRiSi4<+A!tECk!!e6*K zd-%4QnJ0X;!^sQIu<71ip8+oYgxTw9x3%2SjPHd-MjP{Av@edy1JZKX)5J)&>M zdurKt50IlHW@isP}KV@2{$YP0P|gim*s?Q7wUw15ERD zVC)2>L<$LRZ@l4wZvsin5|^F{35E@#>&S<%iY$1As0ZC2Ccss`_MrPL2ICR)S4E5a zL`8>qZ|$Oa#}s#%KM@z`&-8Jly*tmmz!%0f7x4mGiFxG3j0j1~bHD?veLmRZeN9At z*}(Dd@IPLnh>6NtIuW3Oxxww6`$->Q&R3oJ9foCI@D#^^>7 zS!%;lel27x!@tHXIB&rM{5%N3*zhP{UWBd-hFU%8%?NtgLD|v8kk^M~eub8vJ$L5O z3RHpTK67UfoyX*yMpOZFH_#(ba$F+)%3fzDedFdMRS4Hx1iUE#- zyxao?bbjq0Z}o{R#u0#*wH&fk)N%V^fn=mM2nit_9OUa71#bASEEU_L{q}pHP`$eu ziw_^H)icm3#+_81;Z1&4i6e1^7`T$ zh~LMsOK-qZKwdE{*-BE`YFj05dSf~#$fP_EKo@O|8vMU`esJ8UMw_gom7E%?NdJW^ zkfYPykVnxDdxx@k6ka;)yDva4zq%53%g|qz9reQ9#-on8T^e&4BnwPktS92lx~BKe zn=f3Nuv_?NKlgQgv!731>w+@i^98zR?&BX%;7(jzf%-=EO zimldXkXECqhWCQuJXdESHgA*`o=R@*1H6|3z`&Dduzs!l%|B}Qk|5uJ;qSTr=(8&u9BC9=`I^hQB- zp5cv)n@pO)YhQ1f2o_(;*pSJ=@4(PM7EtYnVe3YMeU0A<63IXf&AyxI(rl{L6e!#; zRF=a%$CTDpaC!W=GyLqbV}1R&;OH zpjt4yUTu)u{L=(Kv2S!OCOyZ5OAljND>r-N5tCW0S2QJTcT_lZJPSIsCLmkQxGzB- zdL!`K)%$9@9CD;~uj0d=Ot{!5Tx{UGWZLDI!up>hM!_1SJ8)WCIAGCxwhSb+25&M$ zfHgxSFX6@1ZAV8lEW#M_MYw<=>w9$7ZALECNkFolKX#q7m^82@XQ?^y)nIO_w>0)Y z^&%(lprO#+@(XDBKDD)dejWO9WRcu&Y;UR;P7z0FXL&@gJnC|d zxdQedflgh$=pIdNY6=&vy0Q@?-D3Nfza^z6Nz2_BZPi~!*4e#no2*$^?mmXJ83l1} z+8u^~PP9|+d9aBeS{ZxoAN9}SN`IS3hzWN%sl0qGcY20B-0dS7xmh(w5=# zOl-GgCC~xF8ZLiWwMcTQ@GbM_4IzsRksLr>*AyU>RaJAw8C1AdDuex8+!;jOj{+TH zq&NTduyU$>YRc&OIuQTENy3%~x*gm=%5DoGGHAn;65IN~>kOOltz7+h*sv{}@One; zsGrFSXX|ti)V8>@T<)!I#jODKQRL5qZc4P{m?K5=N|=S<6ako?(^3dGXkFw)#fDIRs@1X~ zyY5@y{0pIi50)=;qE)1GOLoMeb2hOtkqKoHdt|Wbu9+AY#X~rUl0;6<-(ltvYKl!@ zqKEA8sASa{GkpZrN@eAoLc? zuk#FagQ=DMW3$J4PmquVPKtqPmY85f$jh^dmTqZfh#oMnelDm8_JQVh^ydnoG_oqH zTM4B0`Z+AKfW$#YP)ABUAl(oZYRU*9+ucUaM3XXqbJkYbGtB9?bRG2UyYd3}lx;5% zHk(Q*inxy1P?(ZYU|)O5PxRFq_5r|EKoj=Tz)=tt96QkcdN~LvXG-*tQw9DZd5irl z8E^}p-bDO%Nz}WwCCwkfHMfgy+r@V`sGYf-!u4SJdN>1azC#k%h}4MgKtz8KqX?%{oU zJh%Q41&QJwM`;~&xsxVYP%P(%KtAt946;rgJUMHq|Gj9&N;*04m;jHxaC6#y)2IgR zn+>A^Z$`#jwMt}}NBE8zWIALnEnz*SxzmB=bw8t8odhZ?e_4MxHX~tmt(~tkpbf&q z>fsDm*t)62lv5lFX-5mQUT{D0S+2J-`jo1tx)ll8P- zHM1BfE?q8Aw-pf7s8G{@$)SM));mMjzvf8mOq@ka@LNn_Q4St0Nt3=rd&?G4$oY=} zh6xJmppqtNH+B!_i++O@uuruf90pmlm+8|9Q7m^kjXOtC`Af{v1b3B$XY0Wz#k|CX zIXz)xf2lI4Bd)HvXy!Z-uDG}n=wIAKS zY!IS6J?FaSa?*<=LgGIfkg7A`lP~3!|FFuHje!RNgbx1!EYCw2kC zsdgvlm^R}OqPuVp;wbpo@(#S7W~pI44jrjYE2}IB%x6_V|A%eo-M$F5`wV@zCsvqn zKXg!hJfx)V1W86uSHc@u`PmLocd}bM<+#^C5)LAN7_`! zVr&72Y2uMyD1eLBXX}<#-ks$?YBiF`ouO24D;~!tSZN5+I8LaBSPQ zZQHhO+qRvKZQEwY){U(jC*4Up>FKw5n1}fZ^-yb_s&)3aOLrD$01Jqxv^#uDJA{yI z!hyr;Ef>VsioXv0exG()qEHEapP!T_U5Un*c393RckCftu5vH=C4rb-Ftpw$Iug|W z^LnUhxl84%-5sAds=LydcYBHs28o}u+~h*H11>cb7}jySqe2n;CG}rk9!q^cd;(*6 zaCFHmG!{$KcnGzRDXmp%ebYmH((VG`w1k$1`36^okR4RAVcJ}!Zsi`lfs8a28ovK7 z!^^8-$J}1Bjum_82c#%Ok&cm#m!%(bfrk=h0l#+qUuMycbR=48j&XbgGu7G(WnFrN z!ql(VMhbnUoyHfA8~&#*3IxW`x|BBw_G3Xs!O1DTcyX3%}znIQ*LS{WDK;Yx2gpZfiZO zyII$|@V(pC-ig}?z7T*__DIqUlf?1jP~1+lFhpqdH`O8<=Ehc9g^$m%S}-uQp>E76 zn$q+v$@`3xNhs{#FHF5I&rvjR{FQqh4RuuLw7RD*maOSyXDJ2?4h>wXGhFQ+OAc(e)s%7o4 zVyD;i1~8h`t-!m)qx#^NwKJ5p+x%-*Xq|)Gp7i)m$nxN{`7}?x(CpePE=dO+*wbSQ z#y>!L`{{dGA>=Y)_9%f(f=9Z&=D;?f!hOSEm11Q;9FuVwRO=vTNwQEh9tl(l;g@=l zL#(s!w~^cTB70_9@N+dZjYZ{`*1Um4b6uv0af3P#61z-vFMMM&p8{a@qW!vIwBj#h z=&V`2KDav0s>rvJ93-kjy(nupzpc-kwUB_EQ9@fc(M^u_p%IB+ITX`zlvYd-b)^sR zVh&%!omY=r{F=VeGA>kX(Nt2XqUmcpOio(p#Cj||sy5(-vOZ!nHxuCC29NL68FXnu zyl^~S=U6R}rGATI7#Dwwntc=prA~kIw9T%Q5jX-_)KZQSYhL>YEL}y5Ftmr+q4cEo zIpN&fh;`=jI<-YiW9+bccA>V!t7GCT*4Z+T+@D~B=@VmM|LGs;_OQ_pxW-%Byb+aG zG5Z;dA7g~V(961-2NC`eO^>a5utpfANSP~+d>e)956sD8zta@7`}cu?R|y9S4RbX2 zw}eI1Uq8{S*yx3#fnb63}66?h;LNh>hRnh9zUWT>C`WSz7~ z6KpgWu`DfJHtK_5_FH5^Y+-uaz{QdPJ(rRbVw1Gg`Ge@|{O|Wmm2>cWw($46vY-x? z7kVrd(pEBzCJ7Eh}0|Z)k`UdExxAtH;-9iC@BJ6nX~%k0>1s z#e9|yPWnrd)^?2Z1zZG*cji*9+10*{N51#Xa7+01DkEEWefTM3o;aoBJ&r)g0sgA>j%jHR+$^J?A` z>fn&wimvX>^{+DsRkpm;PY40n@)KfyEcuDyB_g5H!;gatMKY{M&S)rXo|^+~kqTzlhl z=GQ8PsC2@g_8?+%SA-$ms%P7^z_7-yi4IG2!(;@@c<$>o&Ry#*l^ofRhs%$b!H5q7!&@uqNBmp*G5M27`o_Tt zLF#oP&Q7ifpDY=}h!W)tx~4vQ`Jz**4N;K8(jlSDj!F_=cA&H zV>RsYELws!9N&IgVd!PLs~&Y-xLdc|6uX`IWOSLUS5o$q_SP`GbP*D2LnLQrdkva%>2KC z?EL=-vbz5jWc3xXT-lu^=OGFj5Br_{)l&2zEfo2whl&mjEc|}>`WdL76JRtPwBg>q zabiD}aguO(z&<*DZ|V<-e=6KJGk5WGvda{x0eI4%w_$?xKOP@k|6E<6yeUVAN~-jk z#Nv~8#b+R%)%&h=;hA{E#-(Q|Xwt%T{_bxksl1pHm5#(n7MdtptS$^^XVYDA_e}O8;rF&ac89;D>)>6<~~YJU&+E zhGECZ+%iP1UI}LR$?gu!mg=7y;hQ9jkpZ3c&#w4g(1~aNv-UaHHaDM{O!F1B;hbmO zY;R&Yw@ruAL%S1ZLJbX4kYP^^hjH9X(TMX5IU{F=fh{Ne_^ z-vNTn@P6G%fwBXSQ|yYwA{^T=2(kP;BQ{}yBNV*$2Kxdsz+w|@jn1uac~O~J?x4%C z5a51n7CW=(-`P&=>~A{=scV}XD+(m~V~n&5vBkIy@In*k30Hv&a_nm-T*nc=2y+{S zmvHL2wgJbr;ymjzHH~jxadd4Urz(9$hff~Rt=ExPtk%59caWC#t1+MK^3Zv8O5)An zi{sh_sbAmF2{Jcd>t`#Eao2x%QMf8~r!5sqlHXwIPI&W~l(8Lj5yFz?ZnjM$dqv;2 zb1*%X#n;8iIcH!g!Kp)z|6&kU=#%~KK?V_%*Mo8-tLFM5=x$;&w+aeZC;R|*{%KU6 z)=}KJ2dCV(NbdO2Ss;M+X8^73dR0!rp_j>X7@Y)#jnM>~l(F}zJH$<)EZGG3XNfEl z=aI*{(qo)}nK2>_Y3-3T;o$5IGjwnIYt7Vsf*fmN4Y!k0CS$#D{NMoK=$e*_jr2@J zT`y1P3gDskkB^cDB+~}TH{9fewFl{{t}9AElU3TBgX0n2&II4_Z=I3jd^Q)qHMl0p ziL+_{LGSz)6;ggCTIf?>-~J)go2T_vdNw(#C`9cK?4zJ8BPlt-FetnqNZ1|8l^M*@ z*j?EL`&z?{zA*18f#`OnZ(#!d21)pa_Ra^ zir#OZtLi?;C(4}J*+D(0cpq{jPyb_#4MVUtOL`QL=tziSdI;D_53~h8kK_J#h)F~0 z;X(hK^Aqe{aLp=EPeQBFfDsiS@vT=B=fx*1+e2fgj6T?S!UC~_mhy}=dNu*;dP+H7 z9m1Vmpr{Ex=*`KgP1-_n>8_x1O*O>4^tJs9l4n&~4OkC`a>2!{a;i{YyC zu5=o;r=38-LP`5o)Ji?GcHwvp_(N628oC=I?KC1=9q#W;3@R)5t%W`uc7Zaz0b-ZG zsG@^%`{v6cbSz^DPfkL@hjbpBrHBnP|Jlc!}FsW2gg=WMB3f2&^Cj1NI2*%wz z%cK`KjbubRR;6`V5aUslrR>nVQmbM#g{24i*7nipi(VCz+s0Vgl>=bKIB2rmvV{GB za)|lDq0~pnH?}@PD*0R5DoR8@2<&67e57Y^023P3PaLDDRAvKDKnaY{0v2C9EDhDq z5C`bVcU^%!Egs=f^K<4)BhLK8$16BtygZ#;9{`@PU6N(C&GK@7al+8P_W5vrF3)@k z@snNx@SIo?bwAg&$;iWbKk42&TAVs>RW~;gGo8COQLPB4^WW=t8phV)1gm*7k1w-P zVwwxCH0IislHYVBJ?DC4M0G_3P7(oA;8JD58ekdUxOdTv-2EkmcdxrJ;L(A`XW!u1 z8t@eI&j6Pd>)dDQ)Vtfb8*%zNGe>v*ITCd!20L%cOGT-CWKUVw&smvmyHcw8fjNeq z%#3{%?(+xxxHR2Js2(0GQR9NWK$zVTJ>sE^z>hUlX`M&*wV*w`hrC;Lwj&5?2Vw&=me7hk!T3pLwDfuFOSF zjz1yl4nYCdonpoyBd9;IGqEuP150{c?>a4F|Ge|KV?TS>yuXZtPIdsZ8$=nSUO8pA zvdM*-tfQiQn<7&We9nt%^h(cqEmG~m;m__q$~V(leFz%i{)F>v%|kKnCUQwC_;VxL zCBgir?^R?;sq983Y%K<3f6WQCM4hGr7!)4&0AkXIr{^DzJ}8{qt*voTZZsn7s;zf5 z*Pwwor3@0GM7V9W#DweXShC{~hBb^zbtl`wGz2Aywx;dBHJhx_i3$lM7tP`Rv-I02VznLay1>)b!cb`{uE z&_ng{Jh7)Wt!Z?eJism_Zf%RiY~*hR@iTokS&yBbZlmv15WX}}XUhR1ztU8cS)*!R zK>61`ap)#hm;KMAoYxpG%=lcOYA|JB4|547^6mf1@l;7-`-zX@j7sFOcrxCM!HpFd zKI8SXI$E!$-Ywp`8xFBe`e79}Mvbs!=|X6DHYDYwFPbbfc?=xWTI~flji%nJhI`3yZU5R_qcufw+8`7x0 zqRKZzDZdRQ%6eWv$7l`0I2R*&Rn}t+Zwfz-jKYsRsrZeBR0-_IbC6~lqLmktKy?2r zU>xc=hs-4tTZ-IhOsHk&|Kl6}7qbC543d@UvsQ~ez81uml|?@2C&KALl)3T0n0 z(TK%K{Tcoc0y$+%nvJJbdYvq8MA=KVEvu6gNwLOE< zP!7UR`en2yr$yt!F7SB#MDkr)*z4|zk`2X@emQC~kHOY??;D+GO}?kaaOD@Km)>O8 z@VrEbIQuCplV{MS)nE?Kf`1@n;Sc-gb5vp-`?j$Rx`e$(PisCPd-Q{w9*OLUBKE+t zzzqwcX0u~dfKRJaTKAXrO~&FA0@sO@t~D?5EC;}@OmLV@%c zmKHU9NPl^zXyWAJu>h9iC-iEYYuTfki^kxhu|N0l-Xi%0c9^klNa`vY%F}Ce@J-~d zSr<0c!e80(oMa?0CS)SAKyOvxRTK3Zt%C%Z)~wPIIBxrr9pn=drgr5!I};1W%b}2syx+EClmq>$^>UDk(6aw>fuYV{yV@ zkJ2>sl)0x^3VXr$BOvzb^*Y|rm*!E6hpC(rtsXpDBS@5gqCJL(l{;1$JFo|}fB|n^ z$Le)5t1k%ytpwyeVlSJYaaQNQE$Oj&2JzT)Ed zsK1Gdx(>bFv*gPaNZj$C%LYSJ$W+PnwjH(*YyCiMOF9h?q+Al$>i7N!10gs;%=Ya? z(wB^jr!_zBj~~=*Y)6R@1HX|sw{;*eyhT-|y{p}XH@vuTa6llT%5RYBXx68o(7-O{ z-%dq=dTCP%Njw_Xy}}tjYE$C#Oi}Q=3jCEabL(7F$&wEu)L68Uu$N+w<=qUJxcMr2 z_rJJj51c-gC9%@xnjLP{!Gg9|DWrng+=5`DqYq;%Od_$-jJs{nyxJq~OxD-U6X>pa zLNAxN_a7qVFQwD-pLe!^+uxA2HFDyRXhIt43dKgRA;NhavKjPbPU0EjQ&}IUHrANA z#i_}gW}RKq*i5OMm1Z^(Q+SG@d8lCt?S8hv zW(t+OW7E4xmlz96c<$_3?jf7>@L#=6==*=r;+>4pi)#n-p^vx*+q&=i=>z)WB%E@5 zhJL0Dz&f*e93^g0Q!9dn z;N-Rm+tKQkNJf_IF;`Wqcc+sGT{cUTIYZqzRlwHt5hq~RC$$6u^m%APVz9t6e=vo; zj^MNkRpLDEy^+0#g~V8`M}m7t5=*xpG*NFX4*DVZ1+`p?n)CX=F4XdYr^u1@%r;zuh++!o=+3Xwd%zr&^7QD4>Gy_u6@ zSq8oihqnLzWm}z{+sEQuimE3U6*9|}O=O`bQddNW9Ku1Bg&N_HJwco{ul0DCGYtrf zNA)mOaytVW@1KUwHKI4{_4j4RVsQ|x=1~x}lKsdGfa~HtnG#h4?N9<*X?Js?jjGpsD z;~i$B9XVq?^U-Owb5u?(EHZJ_45*Z@=z!o`0aqJhYG5&) zcYDxmIj{>2gsra%T|1}_cQhG8#hlqumt&EcOQj<3#nSG~AI zui62ZJX0DmMgHseSAI5aAx|0(=_6}?bS|pMv7mJEi|b3olPd)(CuI>%eVT{NOD*dJ zfdLNDVDC6gCA|B|5UiY)s1-w-R>@Gk4_L{no&}59S3<&9|IDIU<=~I``wr$`wm>HG zF92c#DyH5|xyPrh6uft(3~AaF1wRuB<3N$pv-vGpf4~Hx#MLc>Tvs(rz?io;1v zYqhqvmAD>7l!=&>fF`uL+tunfM7}GXIwyEXlH!*KTYfvsBZ5#Gfw`UO)4$oX4qm-Q z!G1%JV^d$q!ZHeUk3^+Ro$$#lCD7>t+KDV&KmXaf6i5^tKWeyRv8hZR=~6Z*if2@G zOz076og82x(ke`1oT)lFxK?K1&>b7*n@ zx#IkZ0w@jZn7x_4@Qm$`#BOh*@I)zk<|(f!Gut2kQK-@h)wSigpk;jHOe8k8u(tl; zjIY=YqBuqpvP0<N3_K;KWGnK70+MLhU{@hYJXyra{!O_BFU?=STQ#RZQbz&N=g`6ED2+GW+Vrp@L z;UQ%B%c$Ph>AvXi5HD?4^SS^z{FkL{y#B1~Z-{dX(3B)BTyI7oFB2EjuLK9aW6P*T zk|ntKG9-$6M^%49EG&58Rk2Hs+iCFF+z2wA$8-A2BYK_R!Oxg~!ka>XB20g}ybK+$ zobX?Moa-0ek2>`mh#W!DY@;)`6GSnY)#6(!Mj#dd`yT!bqC2fi^v-D~O{RmeR{yK zc|^>3O(VO&`)~cqeJA#PAE$9z_#GFk--qE-IK>{GSHR#14FCd-Y8(4XL}o*Vmo%ut zyKI;lZqFaS+CXLJUR+6^f|i+zhgRU6T$99-gA8FP1~|u&Puw^^5!=rngb4XT zJ5QRJn^sYRPN3QXhx+;SxOYPKot%h!ZB*QI0u~#I6ycf}WTb5}XZ2K&jEzyfDN%#A z#mU9Z#zYdC8M&sqMrw#kj`e$c4zjRj=+^~ulRI0c||;0=?fr5#4vKX!Xr z>1yGswWT2XY-EvW`J23gp)u(%`{C(YZT*ViVwQvNzc;MoIrYV0CAxVrA-)GW-;(Z9 z9QEu8CdxJM<+zhla? zsNq^~nkzY5^;}-1Y4P>;=K33>HZw15f1{()O6)3f(C+o`Lvr_^dE6<4TF<|K*IDSN z_xx+VCOBx2(UP<08e~;wB`c{{2z{^fc1BEIJN7O!dk;hXtKvGc=$B9B%pZY7^*di0 z;JyIj^~}|q&<(Bvg(J;{&lYkpGq2o`er=bDDy--Wcw+mKn4?51*Lw=!Nnh(zHN{V- z?1o2_zNkIb1JZ{`N%NNNelZtAV_@Z!ygeJuwH>#G^`NX`8^ z_}z3xc}f52v#@F7w8kb?AFs1a!=8O2@2!H@xx}Ek*p^wA<3$IoAAZy?NGSYEDI9U{ zo(kfZMbw1R4~eWU-hPqG8+iN>kvJnb*u#w|AnDhI*J*06xCEJp&#>~%2$*S-xM%Q1 zp9HdlRx9gGQ}C_%bCLM3=F-wC7maA4k%643;7~-zveu+WLa20U`Jg$dvVzQU?4RZ- zAtEY>Vd<-+*Q#|JV(THa>Pcp3M&0#3K%N z7fLOS$6(fKCi&2mw_SmlIb|xMxn!y(Z<)SPy4$xc&**OA$q5mmt=?HRx&gGg+?*L@ z#=GCou`!nx={E%!VNRdMX(i=|#m1RGR$_7BCombO(IO{n2#I`e3}xE@P`~HUGvG-= z>L$@SAeL|!nXiu|*=H8;ql(QDkV;CZB1E4Obba{$y7Svl_fwLYvisS#re!nY8yr?z zY<@z9X4_@asiPKNepSb5*q`AVF*eV(00*Ufo;WMnc$qL7kx}pS>{)T7nW$d;t}Ce3 zn*vX#!TQ|8cYfN=cu^TdXhxVDt-rDqd3N5U>`zoGG9IA`|2+rXFs~8sQQdU_IdPIG zQt&j?gtPRh`5%SRVBqrEaXS(V(beNvfp#P({ zOw?=m8(6edrJK~($BvtpZAi?VLc5%a)Cw=4o}a;Zr5X+@YzOyttEBSg$KgK@n&oP7ji&A}fcC zZw}H*?U#90(05~{osnY;B`()BwPOjr^_WhcW#2-SF^VQVkMI$6$~~`kKKeeJs@Kc| zN2f5k*}7QjUHIGY41!ZZK7l&$vVn5n0=GNY+oUIJ+{oyZ$$ME|zv5jvP*T%F3 z5t6LR_4Df)TD0sXY_oQYH-*=H5+X^6)-y zKZ`t&e?7k1korOd=fW4U(PNABLu)j-pzH~Xw?pYHKyBb$@*@AtCkc{^=aUpz;GL!v zxy!%b!|*^9?$-t`#xdP5jJ5AUIJKaFC|)c;$ANy;$B;>A7fLG)66GlE&&SG(PMZcn z3Y5R?0u2z8_lo9^=SEg_?p~4-lZH^Yl$7uu{eeLD#^trM#@=q?j z+i>laoBKQF+~f_i{0^+kF`e5I(`$^8W3UXVhx#e>str_@|G4r^e|X+={Pnt=mYi3o zv*o5OH|rr%+H|E-wrrMeU+p8AJNseCIXgkiXfqk>VPkSydG7UOMj`azm47Clp&BhX z<$925mR=DaG3E(~^%q2KZZCU}njbro2#3WLLSrU5vczOgi1Pg6aR!NE4#PNoi z*%xQHkhR~|k)->`H&;fAKo^}(u2#223S?u-ZQZT3D<062-gWTe^2HAEWrJN!EwFk& zL#-b=b_gi>)Ohbno+thRZ7T%r{|hXx5BEmOa1au$$NAmo9_eNp_!*GVsCENJNgppf zZ9EE}VQ7fC>;59fbBi^rU5U+l)6#GZn~2nH{vKI2H9aH-XodKEc=M*w`??0a|59x) zmr$Q75fg^4Dh|va)@=~wueBlgE^kwc*PyYo<~D%QPU5pZP~8wZ2zvAMN$FmB;$ppSmWr!^I2nFx@Oi&0vf|CoI(EdvHfVd zahNY|SiJ5L#rA*rumfqhDVl3i-S2h=XcPl&x_mbE2PJ4v!EMJ^~h6&G(S zs{0kcGFo5>+U#nAjjJG}ltP!6hTVl|_o?1}UJVRpwC}1#iqt;%IbcXAmOwhYv_W1u z(7UJoTx>X-gJO({LKBW(w1z#!19?LYjf7)ai{aZeNBAT$^+u}m>`t)UtE<-`ny3*D zXV=BWb-ekqJ;e>kQxjcTARDOwb_QPqctf5f=S{SqhnWA423UhPHz5Ta;b;}=dJ_ad zT%$K_6pLNKm8X}vIb2`|8XytUs+FTbg6d#V+_nMLEK+2+w_-2`vj)MW7$H~!S0A|~ zR~P5Omq-tKPFa;}C3BdEd}bR6e=CU@&8w>$Dk*L}CGh%-Xw8IJ$o9Di9m7s}IYCvd zKN|MzqrZ>lB@?c_O)UiDld5Ox_9y!H2vI$4@7ovc3sFbmg8LP+E^QBu(oGFH7^yMx zM6yJ=ANKCE!CB+(=(;^6 z-@z!$`}}68Z9cTxugPa$O+F;n_B5DNUi5;Z%Dqhv=VE1W%QfxaH zUfbVt53Lz+r<=uvuF+oaX(*TyCW$q)K1aA8bIb9qibT`U3J#woR*bXn>nI7!rxF4O z^W}{@16;ej$>E#1O9p4b7>;frQWjdW)|3VIlEb`|3k&N4*tl%bX+qG2Zm%4ypMG|b zj*j$`Dr_lU{Og)|;L@cp_>*@)J!_B$l0B6v#;2p{&=kLF z*0Z)enTP(k#MF$!06a#^(g@jC}a7D|buR5I!ZGfS#PY`GIg$Ge$8Nl9zSZ_BLif0_?o- z@FPS9XQ+CgV~CLDMwBI4@Z#y2Yr?=d@Q{1Y$LEh-ylN0S6J>+RJRW)bedDIwE1X;6 z_>I{v)Y1A5^Sbar^f@Jn(KJ*9NTNfR1a?-0(Ltg7m6LW4t&o03y%jVvvj|#ZXt1_a z+4;dMH6o;(hZT8jn^Y$VVRf1kHHa%Gmgz`5yOLOQMH7THIpo~pMNuVkL2bLc8N)^2ZQu-!wA0k9gjU#$SvI+nrOIFGE3dy|*ed4P{Y;;XDFFYoIZk z$w{bY`t}>#SX0Sa0{85_h5ztk%zrDT_nLm*Wy9;N@<0-71o*Ti{*_Ci8(rj~t|T{C zD=8ZNlvnv82wwoy+VvA_;7VsxA2chXxX51j``fQ$d{?x(3f5FFh+QRdoNTe(%?~d@ zKUDt(&=6n|3!koAGgVFjRc!Z;#|v|6*(8krr1px^6MkZMAeaxY5!o+Gro_v&{OA=B z;Ha^N<$Wl%S@@(Fi`A{Uk@KSTlO`3Kt4&pcSAo=yMsxs#%mXqps@!81U95&4CpjUE z%rVnxa5@0h5IxZA#sKHf{vD{FE&sB#)DzSv8PjSel*?&zMWkST!SFxWIuosvT>0L| z^NxKP`IcRM+hI%hO;{XZpKMUfoUx&j{xqyTH01Ma;o07wKuQQtNHTDCN;PwecaT&O z>8ux*+S&&HMHqj}uUudh(p~_ugRP;}FYk6PNl9)dor3XXCk7YI66{{5{`zyAY97Ug{L_OYoA+d5|0(0pFBdQ`}2?jmIfB?z6B{pDy*&5Rw?x;8IY8#OVU>;F-0i-vwSh<)^H5)EW zFe)a5YAw_t9X8|O}l3RKBlW?w~(4W)eBlTUAUdH z?AZp8@qlsx*4_Dj4W2|>WK|>8hC9)!Z8#>_b>%Z3+m3n2#p7> z<+EaWfa4C7aq_%MS~TH8vIT7)K4P-ZpdRV=-6H6s%L|qsJ5z72HAP1q_yGjz4IHdK z<$$C9;Zy^0osB0lAU{SOORJ76$8(U5xN!Xu?0m_;Y&*k?Cc0UpNOd7Z*+czH$S}sr zf&tB)hISRw$PvbGM~RU~(mv<=LIEl{fdWr#fOePD=2>8jUl?)MVSr?SKuxMx(9nP2X+MMM2iooYpDmGKjG5m{4 zJ(PK|$XD=GyEv*aJL3|$GD!3y;(kj;zYWJY+(jPpovDC%LP2?=`Piq@ao;)bEq15@ zSQyc&=)6-;@$jE~B^4awC4Ynv)p|JC?v8w+3RPB`s4!9%`EeOim|cm=51C_ud#wum zjZOKiv>qVg9~6ndTE1luyl6fGU+)Nlk@1U!)2>0%-o^@ z6Atcf%U*A-fU%9;9pd2rhos9c{JK}?8YsX_%kOT8?Le;6GcZT9v5)m#bowYa^5&|A zFiVd@$~kE;FjYY=pEM9CE(P!+U52&$WsLIRAHPUokPlT(yxM)aAG?V<%{y`f`}Y?_ zaRg6R=#RZoGdfx{%qbFZJJ^})@Ao}|cY4%3tzxj0NMt!D^x!2EQQd?SBrX};T*O-0 znQNFBLA>85^fBkW=Q;t#aqIeN{@YeD6?{F;VifM18!7WolGA)?)JkSk=fYg6x;Yb*ul|hj!T0%R$@W&d8Un8RAvtsj~DZ5OLh0UTydS-P=z3eM+b!{P@)i~8?`d>+%BlZnw;f?&u6%uH7 zQRq=T+i@{+>e`=v!^ogAZ+6-gUtV*kt_5gBZtgn37Ltfd-Z7kM<&m#_-}##&5dBU! z60CfhyVB1MY1#-%bLpAOSb9-qQNTLC(@-a(BYuCUSD~&XeW}nm@Pw zTIFFas8IC*p6#F=o@X1z1fA~s8NIs zs>myDI$4w%yr+w?R3e-**v2&v0l}xp3a3bp(8|+atq-VAwq>enTJao{j#ty8Kmsn- zPq0NXspO@HUvqFpyR-PUy)-*qO?6fEbE z31^1r`nr(B9u`-|^C4nz2XgdNWqFNuUkuOL9!mp9grJwRu9fUWhfVN8BXQ?;2|;NY zO5t}qIyI}kAb7A#0fpHHQ>73JA-&#+zB>m+?b2=_v=`8rJJSMbC$W<9DRyH-MzGl? zXumf43LWMLs+ELN8T-Dn?kV06bKLBWWffUei4=#x_b{8QNY=K^Dpn^)WD(LN+RY5^ z?nBR%c#i{!;C_AbRZ3E-nO%@I7ZVY6m>n$(_hS;4E0(7AduFaV>>0Z~yyQy}i?bqt=oU0lp ziSE`yWPRl+y45M1U!Q};K=P5IMO>)S&|OkU9;bWha2Q2J^DDd)bQx$SI^L&T?UwZ*#M2 zJH2Yzv${$zto+u#qaK+u#AA$8-4=0av-0}z0x`QlpMl>6pmk`ubEJ-K~TTXgo%8s zdvU=y+2d#)Gx+y(Ol8UaY)0s!>V-%Tnr&FfzmrmMVWH_Z*(^BenI^6i`;0pe36X8- zlgp%C-ro@K2$L^ww2xFhEfyH_&@3)WP@L2ez9-)R(p_ zk;Awf4^YW5YHnXHo5ZtHy3I-?Dj#XZakBu(gW!RZe6Do{{kJq3DN!Rx;N{JMjm5Ovk^0_ zCDR?*8PV~q5YJY$z~*>FG)JS&)rF91W{!Qe(0DS^1(lN5Rd0lueu^p`od@B zy4)zS;nbnL#&one=De*8tobI01$10=nSX3gQ&;|IKExn|ub=F)c|qhVw9_J9>_UeM zM_$zuf5@KDa{pJi($aWzCba-t?-Z!%EFfd?LuoprA17v!*3Q70Y=iA8zmU2>JzDWi zo%;T*KB=g~5nW10u1jC;Z-NV}2QBRHHVv3s|ra>ZT)*R)T)8<$K`P+)o# z?9eNvxWHGzmjm}qI)W+ns02QHm&mx77}z!vl=_VGG#?iHN{h$=Yk7kLDC$C4XX;HHcu>&8tJc-J!C0mvx@3bnURiux427G(frDoQfu z!#J_FI_+}!?WzK3NzJrb%e^Gzx!_{9pfZ|6nw=RXdfIq!S+DOgm;OfjsZ`gVRkUJL zCgi~SN|=c-Ja_Ql_%;gbA3hlriQX7AgJiVYdSbHeh6e(q<*2i|`-DWrN-e&CS)|bO zI7X8G`BiN&3D*zI{>0QiwBDq~^6m+Iz8IWbDZT*uG|T4*J}Ea3E0yr13E1kxPAMi1 z@QCkM@tpE{W-p6IH7{2p4EUJpv+ccmtAolwl!XwQ4_!1u+$(03R_4_A-O(nwt5v!ee4S49Su1tk2oP29jhGR7o6i31vtiRL4q zpfw3h;QiCn?=BD6-mhlhSCE4tp4j+?DK+@S#KSp7C^2B{00eT85Z*DIiXxCzxSv(4 zKXrPQfGbeJ^?D$Aacbr;5s43~!m~LXBCH)#xW~~V!jKzT6jy8lnz<%{C#n{>vW>7m z!l8j(+9Kj5^malfPv#YAjx``+Au#cM2n>2WbwN@Xseb@h^mO8#ZXua(gCJYH6cuBq zrQ;^))`z}hS*QMNHpzska}+n7wIt^kZ0y10uxp;-$Y||&)a~I5@F~qCr48~E70Hnn zr_EZ(r{+nT^!$%vPiPn9nQHo2L8V>*FecB4V0Bu6ph#f0-HHPhuzZlh#6Y;fM;jid z+k`V6-w;}zlBq1`XJUNoo_BiYTmNN^~Yz(cf1->!_BG# zNwV{L?=zl&`H_JEh~OlAp#7Vv({#rrjn?YdEr+07pZ9uIWK`lLfhg{7ey(g7 zXt%My{nMU;ylnf#Mk0j&j#{eB>ePjBwZ!mtd-_|qNhhC7(qjZl>~p&GVM?yfIIfFZ znx@bXYkb`>xj;SnvOt zZQ%Q`-)6AOY1RxASJA_vuE)H6t?aOAZrrQL(KFP%)NzqbYBxkV_J>~LkMk5J z;Z`7h7QsQs@GQ1#glKEC;MrL=lGtl3iA{aUG-YuEO{% z*AZ_1-Fm$nhWeOdZO;e0~ey7IC(8|^(ys4*0`zuy-iKgrso!&0cs3+Xqx}~SF zFW`e+KD(?m_MD@_CfoLck`adTni^wyu60_(1R68yYj}JzBR!Ob<(zy;g;PvfU$Hd@ zoa+9Lc5YW@Cz5(*Y;YKOkwuUsMM#j2#9PGYnH<#4}`F_h_zC1t8dhtj-l@*qAl2V&G7w+F6Vkwp+&5s0IobGT1ALO0K&#Nomws(ha}v zwzQGzGL@K-Ipn*=6&W8XK7zU#Q@ac!*~6Pqh1H7?9uqx{HY6pizG}8b54JD1l$$8j2?{g5 zop!-E{ay4Bib@a_WyP7oeW7H1i}-=$cbV*QI3^gZi2~+G*TH!LoZT`11q5Gr{-fQYqd@BJV_N9(ABFOR6aSbR zOMw@$qTA28!tc%B*`Vd9-ypPHQ=9myIxc?tmguHlo#}|NfCB~7L! zaWLq!R*~IVniUvZq&Q9%Xq4?`w@EU~zh&~(P1HVNiZCemM;4&0t{w* zZuTZB0jbJTPw@8J2?g7dm}4b><280mvRJ_d4#O2bY|o~>)OR5-T!g)+D<`l&c;9i$ zia}ubv4XXx!theERJ8~vn)iRuU%OzxYqI8&ooqg=Z||&7m-U$Cwq5n;-{N)xJ!ePx zzP4@tO$04<60nR7+NGc%%BPVkYg)dy9df@s5c)#0?-I#k>Xe`qeIThQcj+H_5I&F#3j(Xhxza1!m=ke!+B|+Qc|zEU)_XYHXLb z7rH`Vhc2*gGFW26Nxmrijy-?zq>&r@DvJ5`&vU}cu6Gp7W)|+K4rwmE&ER-jxglE% z3lgNZTFOYLtmAB}nOua!l^0s|xhBh_p9y*akK*e zQoSG)YY=!rb{^rt2Qvt+XYBj9|F&{o3_^v~$)Nsvk@}j!4-^+@qp|dJ)N#y6ORnZr zSt<%&_L*hBzu z=yd1SWNfzDBW~8u)>q*F5Q#3~vSF$Xb}8sUJ2bP(b39$XMjD*0A4$vKevmNPe?o)g zFSwdDMtyO2E@gBKJS~4)6=F`V$r6eUml#BJv$cBsq)SFSDMj*6fX9kVXSIrszHb~YH7Wb2Opx&_m+9VC>MJU%rs2DeacyIAe2=|3n}Wd zY+sLG=@(`&p%O|$$494ZF^Iu`+YgSr5<9CJBrgbT)S>x|)ebtOVZcwH|Ib(e|72R7 zW{y*-O|#IVs4I1$B+xTO9gaMW(G8uu;UuLfDj8W%=OP{5<08GZ(g5j)QSJq>=5cIw z^2QX0qLp4;Hex3`ht}6gM-|Xj5ZWax-1}h7#Iea#4J_Pfxf61$${VdXxFz0zuhN?& z$Hi(S9nzV(Vy~a$1U9V82iaiGjDR}-f~J>MKW$TA2eZ%py+U@?O!vK2c}xRA2;J6} z2ilB%_-Wj&Pa`~4J+3x}eMR_;8&uw(>z)Rd9A=p8-@`!=ssd?@deOD`mU2xrogrz* zw)z=omawF3gO^fcS;xS}iIVz!W~}U$YmY!Ert$j01rtIhq1+5{CXW609(56ipmW)C zY@fXOwk;>Z42@TdkvB4joWk0#Q@Z~B9|v#&Qm#4NK>xm_=7KGxhqoy}+h) z`>$qzxX17eVgK`UAZ^rT!{n@nw`tAJLA2*!#n#u8+nGDPn%uA12kdwBw~#4r1$V9c zd(lV;6P~J5x+8RnZ@Wsdd}*1c4PA%jnIKiOZ8l7*0x>`sAP}CAN<^F+RCP%nzP(Us zU87KMJjLZO3c!9hzcWr0sC4;K1R}l6W1f=gLs>}bV-|ooG+ZZxKx2P zk1|%Sh_CV^gXXJBF+&8|HhgAu&OriqrL&;Uj022Iw>RKgx3zk>fc|4aMlgu8$U?X2 zhPdelwn*ycvBOvzO)AwUP6{ffMSD9ZaLE?=g@RcE68j8WLvj#up>qsMkMB}wt)PI! z?6e@Vq5Ma`mb5xW56)<3#&9f?JZ0yRp+D;bq6FT;XdqN1NZ#_(Osf?KHf)SXFKw|A*FedIRoqEYPRu!QY-H5NkPBKTZ(A%T$!g&2T*ITL;GfGCk0k^OUVfwC8;I+nvhuK1&+8HZf)?w$`1>W z8YZ@QK-=^-+=J2Y7$t-xns(4rvWf`hV`%2|-6WT%V;bY$A%s|&p;`bagS^Eu)=bTM z^yjOZ`BfsjaWoSZ0jU3-anv0TBICP-9y{Zo_5&Ktp?Pl>*4HOUZ+mtYkQE}Ew%*b# z2mG&h;Lk(3!_P%R-{&(@-_L(d75Uo5PbU|XI@5dw8OTAWs+UX8JpP6a(Z(iLM*YE2 z%fw#56ijq4Z~Zsg3yw@ol#W#MC3-cim-8N2i<@$(eN0U?zxnXzoKeFnSH*a$RIHGR zCECr;(%GQ-^UuCR;X(A=u>L#?k z>Spjh2Dj}J+>38T%AW*KjrItf@g~o&*6hoI7?a!Nc@mZ^{lh1fE?l(|;}&D3%?dN8 zrqVdelfk*}NDb%Up;P1x*$MWkUd`uZ@?vohlm$2=wD_Fb1CLY70Nk zOVB{lYVkTCLo@wAVrf`iSof*3P+8Pvv2zd`j&yvIcic$(w_lf5-O=j#tyXgbUd&#; z<6kUR!Af7RmSLSa4O4W^`H(MO?6=H5<{sFg<%OD#A%)bA&LF^vEpft27}ImK(uEC) zM~^~XFB1yl5HNY&1=S|If)aWcPhz!0sliPW_oCx5@4EJS{5I~0jnB^nqCH5(s=WUF zDNR;mE6yr!&MoOMT_{6e>b|hHQJ#2bkQf;I)Hu$xhHxM^MUrGbb)^;4&UF!tlBIH|M8P*AsuBJ+z;@hNwE7?tg_h{QN zj?brNc?EKJS1_Fe!j!W>QIaL8spw$nL!Xq*Wxn4n*dJ-bksd*!y`>GbkCMtXtFjId zqbKVHa{=-!cE@O$vNwI7MKPbh9I>OrA{N5pQ5k3x0QdaG48m*0O8yJDz5d3U#Ke?B zz@xC_@`gtC&7#z;Gu7v^7b@zUrTQ3vr-y4dEYPb~whPDGi{AUVcf0!<{K>p=YoZ7i z5-VQBFgHyju{~=%$9V}cTR(;4s*8aVMVzL8mgSxB&1T9-GU4MNa%M#&()@GXzoGSs zzv}-wkwhTin|pCo$0gZ*IY(29RFpc@&GQm)imSXRLak3#fFp>mO9j^+Bq4~poTICm zp_l2|nz`~kTt3#e;rLmW+x-vyX=+fhV#i%PaTk=qi%u@o!^*%@O~AHL9x?3maSm* zEN|efVpX6)0a~ovm-3lPlg72hhL{Ca);_AxeLY|UM0>N2^2d!&a_}tQU+SC1s?hwJ zCDmf6VA{qZ=H-t#ODr2UtgV1aECAKVBt(ze-PwleCa5)MMK5Cn9~4%mZplkdI;aoZ z`@jc5-YFfxYRZBY4P(pCCW;bluP&|euyM1mhDp)aH)dkzjx=%u+??xT)@z~_qrdYhD&Piv7Y{mV_VPNGFL5g#qWr=fgF)}1oHo< zIQ|-@i6j6%A9S0e)-_y)(zb9WOgkf#o)I;|?-o@4=~?nzRvL6#_%{$=@5nKvA{);G zu7EMV*#NzlCP*l>Y`#sVFta&AaA(aeu2-*`ehyNO4Mu<^uqvVPjPWn%J71Pk*Ro#i zmU!~YTxv}z$;;(>m2UfL2DaH_c+&TDzgc4MWIso_5}x1yj<}G6M`&smu&t0HLsEHTnS5R)c1&0lOD&)OMk}EA z$C7;gA0Diw$|v|02-9(DDJSm%T3i`VK-Ms#gu)-YZtV!$;r=T*l(XEYGZ3ixm z(+g8EEK#8&Wtx5TAtl*U|8EnHv6cpX7}IW)X!Ph(p4N7I)t|{-7|f~Ygjw{bZzFG4 z|Jj&h|5B;*JgkL%Y1UxN6@1*#rMBjVA$y&6Lr8`N(jx5WD*Z?*Nxv^5z7Q`Os|_~> zpCr38=o3B57?vZfm7WUiXmxd`nmc-N1?ZEd+I|J6jEX<=Gu=aC^g4h0F4?{sJC$-*Nbg9_-OB)tZ(N{NY^Cn^;PuNmzUWE1P@;0e3 zgjw#l3umy zk2^nRXZnO~34wd=WC*`e*6<+qJwxFCohhV+Y}h`TihhGav^*+8qPqRP>&65-aVDyTUXA7gQDLh(QF}!@b@~8*@aQs~B{-C7MePf4(3XgZ1b|q)Sv5UgL$1w2~4bv%%1- z_V=oQcY1Q|RuL7FUwad(D0HVB66C6uqZD6#T00vl@5=2lS*K$F3*XROpqb_#)a?BZ zrfo=R-{I`6^6k*$qb^m++-%4k@<+o3>*Ci-bm(6=ZSwyTN&5ItcXc`p8Mojwwyc3* zONg8Y+eXo6Y>*0BJ-X5*@`ez2dG=ooUoquTK`ow-wZB7K9%dtluZ*+J_O`4J&D+i(jvSnpp5TK9 zK%?SmvrpM%=;Ul*9REYP}8FU3g81lKKukR88&M^e_p$=PeYH{|)6sm>2s*TrI{n`=yL+Zx4t;_195 zE_82V*5e@DqfC9ORCJ6bZZw1Zm>hbRa8`bP?KM((So@LDFFi5eObtgo-X^z7?A(!$ zJf&zEIuvJ#M<_RNtp7WQbRutMz+}2Lh;c4AHSO?~Lr=6wOYtD%LBS1oJr9^H0W%@3O z`-PIjKaZksY89ibZfh^N&DpK8B`5!V>_P9CHmT=*7|tyQBh%@@bjQwGtQOagE7 zAnIn5B;{?)qmIb#`U^K)VG$#ypw!Uvr_{?#=ZqunLt$0H*X)X@h`Wp0RTqMdQ}BWB z;EctFb92$lLc+@0nZ2;y=Pb!BlB9H$4P2_hG8;Oas+;`wAL!j)3e)H2XAYn_RBsuMb<#RC$>P>svSWHA>InmR zj2AMDf^>XMqRkuR{armL*6F#!2i}S2ua?848GZELF;pjTTUo3EYZOkE^bin1tV696 zyIU&Ajj$d@*-w^is+47ItsrY1Y@^*ZlEHSh>^R z_?SF=b(-lWKvjn7njgK+@gVxAjEJ`)G22+($ZEzrR7qAyfw3aKR+iqp^@_rWDMl=@ zSjw9`+7p%eWi(VXPfOLXMm)`#Phi$9tnp8AJ-dOxLCt(+1VN*o!xO#kH0J$ua)R8T z0j=TVIvLh zbH`sP50AMY(%n0NMDkdj9#+PzCK!)1l3$1?FJ1h7f4tcL$XfM2QNKpR%3kWZ-XZL3 zlGhJTrQ^*!$_gZ_+w%Cg$eZQqDu8b1fpb`1q;1+dk^czI% zu{-?xfMK`JdOJGVIfir7kObHZccCa0Q;p+DK3*6yxr-T7Fh;4rEm8CF*~^+ybg!r3~+vW$EClDv!0nyg;ForGvWDICcBMATsqa+>s$31F@f>)tBPB6 zXsmGLmy4ui>U!)Ug)@IP>FLauHb7T1K{tZe8YKpX|9d&gE4{xrqZnwYnJU*NsPuCgdaAaX2vjcOr*q9sPD18D5-jKp3I$Uz z!-X_$?4jAjXLIGuDw%lH>wdE_m$@Uf%TSd#o@J>>8O!6UOfc;z%vC4&H8pAKZ$)_5 zn}fK=B>tTMeO~zS3F=CyQ@7VMmE$&a(R5GULn;xIx>0`Io2xLOokyDV`jDk%-P!L~ zvCzyE@b$KONbg#OH|@%%0d7|Xc*d3_hsVUpy0<0BW?f^F5@ff~kso-AGZJc4Zn6~v z5FjpQs0%O%BR@nORploEYRX|jn4`~ggkLKO-{fIx_*YmNyw-HW4|Boj#v4(eN=IN6=O3XR`rcFmy zvIt+ZKmqw60pu&U>w!5Zhp?UlsC18PpC#>}0sB-&I?x9ijU0nvy2^^jp?}O-=sOG? zn-a_wWW#G?7ZoTH(kf&fS!7(HYhtY1?*dvnZ)@=)8Eq|jALJ2*heR)zMk2T#CGVG^ zu03qVa^mF{ODBt7(v;_&jPE?fvuLK<@zfj$lAK2p0NHqn8jyj(BlEfU0litsuj>pm zu^zqIre=~O3;W{3q{OOtuiGrkImSF|6rMJ=jGm_y){bV*A>)9MujABwhX&~2(^k(? zIq0Ib++FvYcCTNvA_k0FOkNZ|o^`3~HxS&a2~ep*}eFd&7_AoLF*s%+z4%6 zxF$0j&LpjFk!(LM0%+?s)S*yE3oIgCn7AT?$-Gr0T<-z~&U5{=X#!MBr(L5QQ*4Ag zMn`s1)_1tinq=bNe4gw5cz<(6Zo-@EpNe$>7n{B`_Mp_4BOy$WUYN)9>^iQia?*~|Q~IPk;Z|k`CWA?{-@`s|maF-m57Vx8 zIf@wko1BX2J)Z=VXHR=-t1UWQ(?gw{rW$qZawsEovwk`B{91P!S0& zbWBqn9-TW&Lrcdr_NX?`X&wU3qDT9QWcB6u{CAHtMxB!0TfYPa1z-(|4x~ATh4MeN z7w4NVceZccoS{rHXn;}!og*45cU)SIWJPWi(DMO~)TF3uf-4t2#ZMFTok66v`8g

2T0ejWH9LU`puNYbQ~4q6#ryQ`6syD z{__)ilM&tAZ!fXGhSe%r@p!0JuQ#!{xHBVZTuEw4O!i7uh(ieJ#-3*9QjVKosBaI(t zZ<^dAsfY-AuL+og)A5gi(N7dtEE!UwG8WOgvkLZ-itQ2$dvt;*Eq( zPL(Rx$#O3u{k#8TSu+GdRI-UE`g0mc7V-plMD0@!|(}sZ-&` z`S+f9E1LM>ETp6y5q>v zM#s#gSo-a${mGHFsxfNdHky(-N-%LukzRBQ6aMG+^=ub0EAaPUB0Bh_d^R#=u?)mZ zP46GjG?fP@%`ock#JRowo{{hdF=3> z(~GQJU+kF$mJ0&AK}&NVvuX__lfh{5*wE}SQ{H;G)aBC8B6OYU??XuYH$P2yYRB_v zi#A+!?i`mMCVbbn{k#~$X!WJj>Y=JRcoqW0Ng8oJ@fe=gY7a@`Z3LHu5lvE|^) zWf7)q(mT_xj`(8qY^pOBEKwI&wWhP0e@&wi+g@~)QDA9lR`9xc;RN@e4(o_-!KEAi zx7nh0*VW||0M&3mhTcs5(iif!=4J9T4mrb7MjT&x_?A7ir=ir$1b#V+38$t~yy#VI z)lZ*317@7h51zdh5*y(0e{US@y>8=$yM?CU=ZT7~(h!VxneGD$yUn!b-$%7XoO_n# z#sS4_JujaHYfQUCJB|+Wmzz`~Gzu?GNMp+H*=06>UXNw@FRzDD2ECMR)p-H~n(Dr$ z@Or;noKG(6Hu_{?Ogj5F3Td zZ(3U{9Yb_;f*X&*a2=>)Q<&uPNXf3e{$ZPCwG>ICwH)$aTqGTu=)cadx1usyKRSBA z#JvRNs%i5a)cqSM7_P+A?AuF^a~0(pN);Mzwd&i{!oAFhp8loX6Thr_FsHR9Oo|at zGlaExRZsWyH3ZL$4!|TK$seTf@N+<{MU$t*+KnWE_7Aya?Y)Ksy;*eLTNnRm?vrfP z54HAPp}f~T{4?wE)+uz3?gR6?75dV?;a_NLM=h{>ppW%VQo&6P_U&8wD}munjStOR z8tOKA{Fo|HQ5A?u^i*Vl@W5*H>E`Ln+g<5vlQEl7g7Qiti2B7axS^jE`mqyMSgkm( zAVDErI0C&quIo)|(YCX|`Mx+W@q^3F{iggI1SoaQ9WhpY^?3NThZf{fli!vo>@ZUM zhFpp$YgH#qF;d9GRI+kH=2pQ$&TL>vp_>I~FeHj|3cz z=IOag5-2x_MprN{@UH_x-$Rd##3Kq)=YxJxThA)-Mqs^=I)E}MU1FHN#P4^00)$DA z>p!~HIsYj>eycDg^)S#)_>?XqYGDmPuMM ztolkxmc|%2v5r>-kxN~9fkk45)1)66tyhCBS9=T!tvB`)s8q>hl>K0mdWvC~yrHiT zN!^9Wu|9Tg6|-@;?67v85%F|3TGnwXIx_CuEP`p6o2LUEjP&V7pAbdRV|~x+AgCW1kPmyhg zrBD=3tF)&PDg37#rOE38urE6gEwZx6@?=oMZ$Z>mi_M5A9ZD{CKw?KoDA6Ceil?XGdm@)yt zRIdHYg6~~gm)!p6s{8Q)>I9)S{6M_S^2Lhb&#%X>2Y=@<=&RG;nP7tOZ^m7dW`7-c z!Q#WJ<>aSIdM%|OBkQ|c7tv5`d54WHNtMVcXTBXT!EN6{PP#pLeHl>?uS^7Q?h#rO z+8jDEoEf@_;B4(KUXNR-X^{6G8?KN#G|qVsH{Dc4|I()kh;5z(H_0nEyH8WpAR`$7 z79YSpZERf{F1qSPf@v+eI$x1tnXOiFH)<}ZUpbs$ALN9xdYe2P(yK+yyqNg>YAxm& zGTsL?XR0bQ>p0PUi#5l%>h)GG$eg3p;ak)n2SkHv*Gew|4Y_p|ZXuUd7vnyll;DuYxkO@Ju5wn+xk<1!&W(>dQ{)QJj)Jipy& z;5Ll4v8QctSLqD8$3C<9_^J7>i8;|J`ai!qFy4NEK zMqO};=S8|V2RaQ;1A5e*^<8md3%}gsxRp2dINDmiwJ$Uo86&@B6qREXwZ=BkKSlH! z0r=MtZWG=PT1((+^{F%#Jm^E7%KZ`-=AI=jZn3Y{etMYY1{t58E6)8Qagh?VDx4Pe zdv|VXZ(ZjB#~xaWKM@e<3XFG(Epfm{-Yv$tYh>5X>raCdsj=~Z186Idx4yGa(|^~f z$5tbRTj7C%h=UwNn45wyTpZw$&?2X~z#z~ro+|fA%(P_=06fyqSz7J9VP7NiiU0UF zUv*>tfA;z<{NI|n2zt>N`nu-5MJe;DA`e=k$cnFeP>F}V$(0Asav{Qa#y77AU?*o_ zR>Lk$pjR|P*|mcRb?2`+@(JEPf+vnrk>f~F-&%qdw?_nZV1q4-+K9kw=j8=+B`e*7Mc zla>rQfif|NW2L{}oo0|lTiri%OiTz|8#=%&`ppIF{iL}zz6jt>2tvuA_-hEZP~?LW z$^;79c=b=2ECbC$13NgzQ2*-fDACEMYi^DzIj0{%{Re3bx3_^bLoZ-k`STdk_MWRQ zjmzf8`3U;&Q?vy>@qIXO3hRB16}4qv7$nb&2u37k$n40=g0|_eFzqAUYMCL9|FMI& z>%;Y1cCy+Zqz4t{a+IP{$$gQtt?3W33LyfaDo;}E%~DJg1JPZJFyqs6?m-wSdVijP z?>!TZg|_UB@k?WoF8kV}ooAd;3|b(1I5V45N1_b(9u+woF0+8VyBCnQrTPR#pM$Gn ztVw1j!6Gcga)PL3Ce;!(*2hxsCNUMS^9!Q0SXDHv(IsQ66Emxe8#|R5B$p%U#Qd52 ziPPSxfYKa^sJ=@1ovQOrWz2bKb^1cfJ*@UIc`J64pRMkyGD8%^2Hvs zD5kdRfuul$&``t~h^ulT{Fdfa>ze-^Wu%_mK!?_HCY=!YTX&Z*-{u*(%m`^`M?JR!?eWNJE!!iH&4 zGkQKMEVt72ZgOPV)bipi$1R>v>n%O4Q5{+T{T8JbYb(ZjVdQ=6uR`EgMI1ZOWg3pS z(GSI177jlAnP^#>E=X=($Dw7G08)N?)nOs4w{$U`AiZrn-E3W`TAN`6%W27|eduUy z25!sZFG?=?qxI)*g$B(=F?$HZ6_!D;{JuS5YZIzmZT#>Osw<7$on`jRv>AUv?3C#% zhR{`(ICSM6AM`cLZxVxJ)Y-F0=5ED|MY;}0)B5(Sxk+-v#F#k5)vUK}D@k5+J()i* z^Gu4|$akk7tJ$95{`IAZ!+&T_2ODKY`Y1Ta@xvbAeea*pdWf-C!0vpD~vbNu%k3!EIy(J zf3XH|G|0gkb0*&!-G!R)6P0f3F)=4cXD(wg)^EGIFS}~C#Nc+D5an05C@r9Esq#@| zP`fa}%#&eGmW@V6q3gzq0%L?P481_O?-zv-gfky+>g`XQ!xL059V=Xz7_f@v$ehRL zX%}dT{bCMO z(ELUyXSOoPWae3VszJcu1(d#ghw+n~BWcXxnI|oCa*5Jywf(X z&;1hq zwM`Fx?S+^_Mi#bBD?#N3`X#pOYFit9EGb$<=pc@@ON8OsrwEm4{$e5hK=}QNu*)G- z_hft}>;0GgS+j$}U{O8sz;%kPG^Ckjff(G6g07U+ikVGUP`q)^GQqoF0PpF{w_R|~ z1Lwxi**)w({}D2#6h$`^v&+aiXAOl!&Yy5_S6li*qhKQP?r+zEQ~*SU@*oZ{@OMx5 z7003o8I=X*6Iog$YYc@8KnU-q$)m>$IZ=W~*jS_x6v3t>B|&ZQfv-a>BiL7o%(t4f zh^~kJEO?G;WoqLDE>K<0l#PPLJM&qI+Y@k_mTs#*zdChkwBGa@)=b(=vo%oiDt(MZ z;_5dno-IzXCO8+}-9v}}PlcDt|D#IlSh3a>;qdV0w_~+)=sRIq%Mh$(Xrh3>|6zUe!z>^-m);B-YmLnx8pg;wy>&(p5>X-AtxsSIan@Bb%i)=f6X-Do#jZG*RtbdykmLFEi26T_1-u`&k*i_p zt0Z+C9FjHw&}MrtD80HBnppE+F678LaUoLk&de~v&e{F7$>mW1#-25u&&Bqb%gO@2 zr9g##ktTMeh0vcHpUw#iEmffy#?IyQDU-ftO{R3HlsaYBv_FLOQ=O{xq+>=q$ zI*WxPc;84zD*A$N=u$laKcK1kMs1E3Q9xij1^(>Gz(qVavQwExL}{J~_=o)Xd8~I0 z^{DUo&QNOBj^)};P-sf0f;>J7x~P9f?ca1q_@yL$Om&ZXAj%N!T_D6l*+~+X#B&27 zWQg2LQ4XP3n60QVa>Ml%|IYVy(uXENhv_EPfv>1F0uEUQDhXu@X3p>B)OoBY7DR8w zkb`)0tv-9f1@`>?<~6L~L8vg3q=HG#=$H@Sx}Ml%K&l6;46CFlS^B))FlDLc@%eTR*e}t%)r{@ZJo&{CvrAczKU&so`ts1 zv&(jZ!lRq&lf}+g8Tb=O!gXnNAJ+QFj3f_aU1_@kvm(JH4frYA!w@Lr$jR-n_E*j9 z&)G1}#`eV#Sv#%dlMua4`ZfX?NLt2uXa??19z`FDjfFp zK6($0J+Hflr3H$}E-z{8X_(|%q=1%-I>KS>k+oKpRiurVsxa_kVHBNhJ1diEuc0jr#zvAaFqT3P@;+uk z;Avy(DS7#utMMqN@JQ>ft?Rn@W&tiVLl@yT7s@0|N3_+2x1fkMR%D!4Ta~i5J1lVr z%hKR^p~Lui7&K^ku2iOQ+T%M<7I z>;EjdIebomS?xIC7pEDAzIYiMPxn{dF?5~9J9e@pg5gTSdHd`mSa4w}W7L_Ye|u`J zt@EI7)ta(!bfM5v0kgU)57w`5mW|qm!^3a|q9+TFj6dIm0lpua-sGWPj(@p+F+nA@ z7%|miq0R+Nq)jY%u!hf4 zE>f!-VQFYywshGlDdR{&qmU)^RYIsX;wQR#T$pm=>b?5&2u2b6{uQ+O8|Az`PhShY z==Ltmf@}TSV|#W9bynI>kC4X8?&>pc(%n)XVYH7X9={Nz8zPY$4v_Ov;cvjq%P9 z>g#>+_-(R^zauc-I3B#GrO47`sgM7tCqzEw{yx%&dsncwFwDnlBGMJec zlUf4sJOyM^g9Hg~r5?ShKo5Vf+Ha3NB=D8wTsw7#{Ot(1*Q+|!lS2-qt@QGR2V(}! zM-lJwtr*U%WQfx!+;a{tCp&xe@2^EUj0ET(6Ktp;uOa&H@{*n>TZR!c@5io|)--R@ ztTi?bI`Gk2XRmfsI)iP#6xXE@oy}oyzZ*u|JGvH?5UnfU;`t=QWY$v83^pt1aX!G;ROgre`g((ue9BcUG%mE`-KDTgcRxR! z4@-d8?{@?vUcCEM33hxAo}K^L3u>oRcMR7b&o(%RZMqBG(yu?q5zTI3Gz{{=4gRQQ zK6p^lIpiJ*#!i=~M9BXSs515cLY0617pi<|epI*t_Aai&5sRLhkgu}Jq^4kd_eFdhKriy$bip&bHa71>SK-%7lL;(_=buV64s zbtc(}wp6R>|Bh#KYw+g50IFd>Fng_=-yd^~8D)kYAWyRL6`Z*aCuU;b00JHB(hsSmrKaH0F;WU0{!#`H zLdU%A*gII6Yt7=Qqkj~X_-F0xk~B&Q|Hs0}V9;_=XR|ieg9kZ45bT8SP6Z91TO4v1 z^@W&72Ob_uv~HDnc^t+_r`SdVQBs0U6%6dld9l`cywO}|s;AIr>kE79AUM`ugJauq zI2ihA>8@CmKGHzit2+kl2rnmHG^7mVNb!weH(c+gld~<{=avA(5Wc!n{v9(PGa2ekvX0RjD(WRsu1@ zqKiRf$*UE*d7ofGxavV2ju%Jf1%Dit&uC%)-b0O|DjSjKl%;xbby6kgss+`QI}^Dp zC`LkOjLB{omy{J~mYZ){rL%EL!nw3z@xu(Jf65h3 zTwvUoVReASbIq5)o>C3pRH(3&%xJ3KRva^R1o!g5!7wJ7{o8W_cqaK_RNIry9jen! zzoAE*&9<>Ef2L}S_Kd7u1HI(~YDPN*jyV;zkYnnxDUpUc2L;}yMWGzu3t=^Q&`@M* zugj5AR)4>=Q0zco`qm;A{RJc`3ZU=6;tDd`H%asPdYs)=M}+ncA7zs_BmFZsQ|)f5 z>2?dZ6Qco0s{N5IZy%+|zHM!@PUvImULn;IUr-%b)Lrm2s)ZLTP$97ce_xw)F-v58 zPAKUV-9VB~U3aFyAb|N`79o~KJ=kQJco^w8>u!k+eVF$D>0}{TCJPyWiP4}kAP9m~ z$R3)13j^OLLMA-uK*4(+6$~R+_({{y^6l^v-a&sU&k0{I>Jj8S``DAGRoUlUzpWAb z?zrjHbu~E*9=Ea-t*wN>h;Fvd?W;U(`K#;M;*P!XpK_Fx&K;X*kTOd1pNYUpP0T|` z)uD7>UugrKxG=N`6FirQA4=(4rk;pTHpHy`gyv}RjhQscG#vlI(=$~nPGHMLnRufq-C-U|PIbzjF9Z82Sb;xd7=_d!(t`0n3H~+VUmz$Tf~z z-c%c|V_edTX~~&q`%H1x=&#KA71~joE)j27{G)SFd4~UsJ^3*b^VkpE8IWYGa8y|4 zzd+bQcTe;SrB8}$-wMXI!{j0CIg}KUhZo@PO2G2UA!&@=FlM(Gd&;aNe zA%UL*rV@Hw0KLgh5v=p~lZNr@PZA*ktXOdZ$zm|B^X4;IAZg#6HKxl|F~~*XKLc-N zd)`=55gmt(Q*`8R6$5iQ_r6-LWwb<_dn{4D5MY6bBJPP;WT;<;laVoMfsf^{P3dBV zr^(d6ffw*FKBf%$yi9c{_EqN=ra^&0QFGm(Kff$pr^^Ak%=p!HS$}bQO|ygZ#+)L2 z+*PtS_nFfuMWW#-`tT#;ksO%hvs_YT!M*M53-YOHN{fkZFR($@7ovc(h3|p=w_0SV z|H(&ri9n=40pcMYmyCEt?KY{to1Wga{M@c-a9ik*kL+)2t^(W-nb&M}5CV^(1MY4Z zHH~yS$R%;|C)C#iQTDGh*vr$je@>WZgy8C%bwC&26L`Rf0dkIIg}dlV#(!4-Wi~so z!LXv)=b6QxhfWzUu1Pha_VV6Ca#nzSR4dcpkn3z?s6fyY_hQhJ)zNG6j_pcPvrBq? z|E9|f`YUZ72hq~R@ei8&rAcrQ+~i8Y5Zru%Z`s3TMBUF%Xk!Me#!M27_ILOrEzAL+ zf!i*NAm{}h(G9OAS@%qy=4TNp(WF@c!wjiJi1CZ~A96!aJ8$}zmEub`2?6q0uZ-&l zE_SEZ@1Vr|$oWD2E?>jHrkb4dj7+}=6y?YW{I*jJK2MsEx^zlukU@l!Jis(byYR2h zvjN$giL31UL%M5sRTl4Sk82X_yqs)Mp_%bWz_BaJ_XT7fXdKgLyX0R0^CiV!k%;h28Mu{6dIWoP0fw^r#E-oX}Gp2xT9gT581 zFC#Grp&gR-NrtLAs(C=6N94mO(T|taPRb=|SKSa$`fX^afaY$Mp6;+A<@OVWNAd`m zDK4r~{-ltw=@STSNEGn&z9fhO-%f;=BPek=>{l_M|LD9~1mw-EvG^nJ8g><{^CQc9$s=qrgnHa}W|W@HU^+!<!*~U;%M(E0*%Jam$WdTY>X>?w>lPl>E$f(`VlH zynFLXkuhCR-hshV=LHlXh|KUdJ*P z`s;9adV8-f-9fRZ&JK`9N&Q%7H65C%%z3g|g*{c`vzL1oV?c&{Sl#17-MQ)Mk2sJ* zWo1**YLuphcI#U9h~b*SqRjgeZAlvEaOj~Kp&S0({*rm<1Y^f5wimZJ+EVmZajcAs z2ede&d;b{c38(eAwu>UonK|LWk?W|l3eGJnLZ5-0VmT188NWZ~O?j8ARvp#5B}4r4-KWjF|S_SRLJK=2<;PosuXgxmiL40}saS&zug zdAQ3a%y(3HvPWbO0Swu5j*nPoYrf62ZT=NcEqcm5x=93uee!SgvM2;%1eEEUW&c$x zGl$##|F!zF19FS_6cGI|_gW=I=!Sh?_gLH`f#;p9yRYsr3Q+sTFznSN z4hzs$2})N3zdy%S{swKl_UGnS1VhSIg0sx~1gDlWrh#l(*)}swwlzv(=Ww$A>}ykB6<+)BLu*{`*n?Dkj>*Zq>n@D>Sn+p`>lZ1|F0&}+Dg zRQ_lWI1OhsY)9`{KBV~3GTam@R+)iiIhH&dqwv)WtTfW6?YcIlgB4-O(?3t})nY^^ z%*?=46gL8Ph0M?nMa#cL_?Xif>n^;x;9Ig=0(B~3QsEK^scBL&=o6MtC=}pI6E?LS zGpVqY#oDV!4B|UjZ^+32n_G*e1)E1^kn9x%qHCwA-iudrqKVg~EqGn8k<-TJ z_ieva9?; zOy~65h1-F`>Gr$+wuOHA-*YLiRxr#1t#< z7_7DSZFi+ajuy9X(qkuw#hx(!%5Xvl)OnDaQ6h`&*7~W2XFfBT03F&PUTXOoDv2mF z9X{LDhurf}9!|PRO+{|{2fpY==OHry99M4NcnhQ+kTR7~E&ouMxQhm>10;O3h^KHU%0j0=XNav!+Rf)JE-9r zDj%hW7_%Sg?U4Nf45PHMW;R@*s_A&g^yOPUpP1fCxJVU{W4HT+%vw`ZY?P(4SueN)GM)J4<3Wk>SkmeiRN-t3eKhJuN12m_F z#p)YVwYdE9W%yA91yiv(=Buq?{m&LzE#is2Kk{C!eqnfyp7_&SrXLK1C`#~Y$?7r- z>w>$oj>kV?vDjDrnXu5vbP{XR0~bD*><2}R$rY;a2Xr3XKaW|^C-JcigsL3A>t${RVH?;f^U0|hp;N1-o^xG zr(XZTfv{SNY$)O)nplwAvR?WLILYy`CJfJ3n}06O(hLQ;6ytmcW^0Vs_md6MjtqbM zHUeR&J4C`Tj>x~I&@T;S_@z4f;!PSN@Gq{@H5w@?{~ zIQu%-9$x9MlpAbgACyKG2j>hjOyqf7aePs8Any$#a`Oj$%fauUktust&>Ul@8Bkp{ zEFKPr3$*>v=h(+Y+}c{i)Ua9X`|h>tfC0VNZNy9&9Qt zYK!v)MPif*_4gTmp32v#T*!mV;)R2(v$V|<)_bQAJ*@KJn?V-fqrkE7WbSC4^xyK3XI7Vt{JjH=arX@@!uf;1K&yt`vDc(!H6JbFGxYnur%F{GXQ%y_~^r zl&)eHMtT7Si~Q~`F?!sByEXivTmIOQjc)jMH?3okoC$nX`TCqvh3-p_bm@^s=M)c+ z$tPhm&FTv1@f(fwBiaVLRW=IZC1`z(Hv})1;Ob^(K})sP(U^c#HAU@89j(bE9pwax z3tjZfO41tt{QpqECuN~4gsnmMxky*S_@HzYVuRnY*Rn;&Fse#yBh!raAqcmhlylyj zULYNH8~gX4RV{Krb{hYSj0oS17_wx(d%C{-Sao``{2Np0E}q(Ct#R*eN}%lJQH4hs zs?n<1TV{w{V~xR|IitCrJFD})Ol`tt687ojUz!}ofi?yx!HMLpnq_dOdAoR2w(5#B zQQ+BeStyU4Gk|__okz4~S!{H9*0^Zr`U>%(891=`QoW?-zcO%+;jS>)6 zzzzv%f9uOgQ_s1zo5xY3VX9CLWTPT?kip`6YfC2LIQ^Ze!~mr}EDW2|m>(7D=~ zvHh}fX^e_amF9CzMEypH^2C+WCF+Ec)dxaZLn+CpsFg2=~H%PCp(iC)9kQtqTEWqbL zf)o+S(TPJK^0k%q-H=~B!5!<;fxhb`AUVpMZ4UO86;Vk6!uY&bv|Ge#PD*39t_q)r z%JH)yrmMCP#`F|(No}pW6zbMny*${N^oNeQuU~l$S}KM|$5m*( zLc>h!qZ|tCeQQE&=B9$?Zgf{}Ndwp-=mttnjj0dNxXavtR!%OC_<}!GwBKpCG||Y- zV*~)q>=+-_;z*)PXd;;Q+lSO(34;E5d#wu`q&ax_39gUSXJ{h_ETPlVa5N3jxz)Ba z|6zOgzIZMl@A~+WuN$YU3;+=XgL{5wy`I@CXx0JJi10p8DF0Mnk!!jN({%KX>#ge*4_b`xhA z-JTTXPG4ZXZ<3YwKcq|BY=xn^1b^MFj{7|LBeWU8dN+4bCROO@re{W2#xy~-)Pb}| zKsV+NllJU$13OUE?D!v+jN}OV@C&nyYJ3{nkk*)tT9{p_?u@+zJX2@Ocoj}p=`k|w zEz0rz2@{0q@&zU0`mj!u%s8F>MS=TeeYXlZ}H35ja;$SY=$Z;Rd)SE8K=@= z?#IcM6>apoN`by)$s5{Xn*nUAGj`=N&`Jk%SmeuX6*klV;Ch_dSgWuA&)=9T0$?yt zf#|~MYlWAwKwL@fsh{?q*i^I&Yx~a#N@vZm+#qmJnyu^m4dB8P~{XKj3Mz1 zR)$QJPdT;Vv+u}~*kUsSUK8N8?_m&R<{#okI3*`I^)gCH<09pEdanykHmi~`3XA*f zTrpbzjW+ij@1PzUPfD(P;4qSGSUcI+Q8?pU3se-^9D5?Q^>q1I;M}h&P-JZgCCyQ2 z419;;cYmxjpwY9{3S!$0)syaxPw9>I@L$c=F^FtV27V?W8;s0P_u@`8G<+g$Y#<@8Qe{vORZcL3FR^E11haKs3$({=rN8h6&6(sBd`?R^}!QQ3`3+eT&}B*JbOtW z@m@whaL*VnGcjmWm%_j*;$w1n;*0p0>;oqP7Y-};$e)YTTW9g8yV1(D;EC6|xDt$X zWVC!_B6u6zB{x1#v~@wlb8i{M797=Bf!WaU?p*_a_OyU~X-BtNtR}QNP!>U1Y*D1x zIAP?SpV$h70LIsA>3PP!)nULNn*f2+&Bz1+xrJh}930L&l_yU}!OyX6!pfpo&;6gf zz~6=6&yM|{2g4utU$9LolW^;tzF{b6{z~>^8&O6|wK4>9Bf4JmMWaqyG2MVm;(v$q zVxQm)AkszSA6G}?l1-YwiREwfW3(&8IIq}Vi!80GnUjW>mTO#L+0m?a!ab+l++JAf z?u9_Hhi!;sHQNx06^t^JQ|c3vVolZE{kdgn&KmBK9&V}@!H|#P%xSVqR6U=eil5>B zjXu;jTguP}receHAN$O$DJ8$5LKROp?>gs*bmSME(_sc@Hw0`pQI0))Vrd9gqcL^B zGX9E^aZ0nIVa87pZj+`lN?_fR{_J;~iWk{mD^+>{8mmeXp4(nVCCN0~^cpn2-VH4NuG z*fd$+O+e`@w07g}tL}O#Wnd6`4#wX&Zfds(SQ$-Z<fDQobk)5F+Z7yuO($Q}|76-Eu-9Pmk78~-u zK2+V|gaoG^`NNlI42{S^KYEh}uVdE)6YA1$%FD0d?*-x4u+QiUnI^r?qiz1e0wj3qPoLYRPrw-ZqS4i(y;7X|%C_)f2GoZYRrC{dg4) zhU4^`L=$qd2W1Kmn)4&d`^gO6iwt5#?bb((L@)jyk>C#xCke}D=x@zYLhLKCK<7XC zxY#$#x7ZBmv;1uie*iAD)a^*92sxY0I=!jY)w%al3hCdl(x`O)W@jdiWIZfJ5`%nC~b+v#r6?s^`IW$i}dBA`FJt(_AQIF1Ed7KSX| z%9iQg-(x@MKHPgP+8mE6{SV2)@C7x2pN@ea4Li%bk%`i2I%y6`OR#jRo;mCU47JV4 zSba>D&|T)Lio3K8rCo7McFjFMZs}eBZ}E>gsHu{6f%ZU~|40>i88o_HH*BmY(~zs~ z`A*lxn1tM1Cu^IYJVmZdJ$`(4r@A<)pWtmm(s(rSe;A3r|F4m#?EC*8iH?Sm-@=!@ zL(gObP6;$uEM12k`lNH{=tVRS(U(R}JcGtNEB&qil~J?3Z)Qp?i%hgQQZq0T`0c9p zj~8*<**4vV!B2JIrE8~Fo@diSJB<$<3G-tcI`RmMcPA2_Cn0o432WP0lR~rGqPR-M z;?pJVyO^Z}su7(r)0c5HPSJ6$50$pqX%Pxa-}yQnGTsVV!si&Ab=$+2!ZQXooWWFlKtHk3ht*&-$cnsa1YO^uVYkCml8vjx(n=$;yyL+=` zXV&|TT~7F?d_+W1VL=g?l_|nhR)Aa1{uu_W{Bm}~E}nL~!208KvWX-?tk&BY!b*B# zWZW(N2S=~D*W@8i9B#&R3vR(W60y|kBEFEi#~}cH9$Kj4I$-aLr|CcIkwz8t`7m=i zHc>e1N-8pmHgr?r!7z5un&*;nWTsDww};>FKOKg@hXp_H`~BjZg4mLb__-|N*i*JT zSGjSvorcXY7KY$H^4TsbXpiqzeT&jOLVGL|<2bIbK?g%9kk^n#$|HYQuC}AgNDGai z!aK&Ev5MfH)>MruD`C8HcV^FS4_R|Y=-L*znls`{Y!MQxRu-a)JWtBw_*j66V(14VPy>4s+g8{9Yx6dc)bBg2w zK@4LvDlF`>+VT^tBURGRO))vFu{P^E$XqO=(k_J)ST@@{(m_*}PnYhDrNdgF+A)j? zx~-gMT=+=(eH9kcDr0TgNQ4A$YO)K1Ga&S0%d+AiD=IT%pqxe*KfO+Yt11a|fIxO< zJx#_Sc;*FNRb*!XumZt(f$IAF&J@I07%5varz_2(n!IBfk>3S$wy%dr8Ma@QsM#C0 zl@9{OYFRn=VToFuHfNMI)5aC0CFTIKEWz6A@-yA#ylEC3JNrzW)c4qbeAH3fI}$Quj}BUQXHv@;CkkrzQ@OH$7dqojx@^BuUgO{x z$*UXMbM<|Z(_NN*EtBmQ_Gv=N#cq%&2{{WZ<+2@hRX~tPB03MvmVL}{*2Vbh5|8BB z&|LPnqX^eSSjfM9VLheb^x|i90ME*EearJO4{vX?;S%d&IQDc1Lz8#2r|?cQJG#30 zJO(HTm6jG-43V~$FwhlYuwR7>^CGYYS6DPbDaS191f+_s0R2wHFbh-gRkShE^oc#8 zhV7u|i2rm*Zy~jFOGxka$Fr3DrbcS?lG)i{Ny1rh)RwYSN|%!X2XAfgPn%XMoUX@x z^p0!HV}Z4S{Ck)pKQWM@a1~DuyhLuDnZJRTphCFDnJ)d==)n4dUrtt7jc?l_dedgm}1CkOKh3iI>)ZN!-a+V zX)4D$?DerJYGs|;Up9bYS?6>$)fv+?2Rq%xZg(-OGbvk698s1|CpN$30Y+wEpbcf5 zj}z_4O|T?B@2(c%y8OkjHsb*MV4e_zbzMV<7=2DOOT%CJEr#dKCHk0jXwX= zxO64lJGXkX_>HR0Lt0XuN7*_H$las4@J?Dn>lg<`V(fEw zcAQ@Xs+IRi!82T(fe25eNEr3H#?Y?sU4GDn5vJ-ME3UG zHMT&Jm0?m=^;V-D{RW&U{ahSsln~%BAaJ*CVs2_3eJBLN0v6@QqSlnp^K*-q0mlDE;O{pgep{ZC+T5uoj zezuoU$gSsy2Vtr0=Nk7WZiO6T01L}GEGeB0%6+qS)#8zTO9b|^ar_68eJvS-8j7Ao z!#Pm0nCZ5@-tT~WVMVnZ+V}qFA+J%~t60E3EL$Htb6wphPq8h}vM4X;<2>k?YJ*g# zAtD)@mPgdVf$V5Rom@U-21f=My>X=*5d?hB9TSFx&_^(M)pTgMZ{A$nAr+kKDNtSY zKv})}1$!~Sj(r63>#R6wJjTIDdb*eDcq9)@QchdHbb| zh27%Qp9)7o?)FwV_MtkBmap+0ImE)U4i@d6i#@tUD^|z(OSzcr40IkQZp_eUYS%}Z zq==1KN$(>>hJCr$SoKGTR`PpRHg>im1sRY z>E&sXcjg>j8#Kz6-a6zp?F=c`Qc1!Cc!;%y6XaZIdqaMpH1TCHm}t_dPVhcXJJOUv zNXl)9B}Il6Y9`ieDw46=vk9jTkH`hz|Ky78NIv0}Q`R(|+)QHQw88Ye{|x*tfTFql zcs);zlGm5{_YM%U*442?*REqaPf1}5dDkKf%r}N>DG>0w!Ez77; zkNU6GVhz$XQkg;}AD5iWk)~&iS5pgqq$EgI%r*bGjgI&k13E1-Edn~tj7xTd0#PmB z`9+1*9ItUu7^Ds{UAITn7-+#*KV932T#>*-@eqe;5SNoOChc{xQ2QP^5*dNos?31n zKL-p6k$RuZKcvJd>=?7@C>jo-J1Y?fXo=cdgr; zhZ?TC>HF*JsU_!RBOzWLNgNcZUgks9T#r95W+?CV!No$Lt~>Wm12xJplSpRIE*F8kc1eRR z`o&z6H|nZnyKE{EnW19BwSXPdt)AA=B{OtWk4XW)6a*{Jh7wukVQkuY_oJN_b4*4A zXAuGOw(PVLvgZe@Z^i<;usS*A;Ae-4%h(UX(EbPyYdEHp@^u|unJRz_5pY5jYnHX? z9Y@+&ghgCPpS(25t$DHWX2)_cCnLtl<2ke>MgKi-vwfLst$EH5`fJZG9EO@F#zddw z4nPPz_;Dl-Lk}TY!+*vNhY{gYDS2x(1&1j*0)v8 zZ(y7p-0Lhqf0;UbKZZ(8l!U_Ys*WvT8tv&{%~{jsfjvV|g_!OB+Hwi_E+s+4RLnjF zopLm0e)f~sUfUTy8)tr;@fBTNeu95mz@Tj>%;u(tuP>*f3sL>8)UCu^r-D3>rgvR(r2(KNsLrBNvQzm+XOE>e z^yHYQ^hI00^J@nvHeQ4^3B3y)@p%KDU(v$?&=gz$i4#9tA3awC-!BH+rbw2YH4w3i zUXHSF-V1wfM7*{;0JrkvTR|yd5w-J;g+uK#+$ntBSqfA2e%t)nG@r{WWtzgv_i@*(tAp@U4RJiufgZ zt$+?6wA}hnHOB}VeL`^y2mQAlHSOd)jhfGcwMemj6?nbi4ejh4v=hY?UWiw39W-~# zrX-J|;x7yt!}&$(GZ_9%u=G^2*2Hu;AQ#;)=869-^j&rMNU>||ksFA}pZ@^|hmY)b z{k4v>Q}7^JeJj0qXr@CJ7l`RyCl*#UOuvL{Ux+X5%j;f_7%I+q$I9JSfy;i?+fLn{ zG_c748Qm%n$0=VkUv9$>Ly!e0QhH6wCb4jTbezheVVOPa@xe;I5NycdN^&AJ2|h8> zZ&>wKWANPJxq_pw@*g7%Pn% zEonnEmA3^Lz=`c=Cep({Z7JeAvlI9yF}bLn@#_1s&$y)=9#{4rA@j5$dmlK0PVEHV zhIk9=BHBqUG1#PW-quJ?iB#!PG)4;lqkSe|?&&yDvlAe4xH!Z$KF}vpg6n*CC7WoS zc(!L{f>*JAgL-1B#RYHrT)0+La|W1N>DVeOVr>;`w6E+IT;RB^F_vr(lxL*};{YwkaaMMnXjASWSV>aC<)BRSddJ$9|7-*ZMqYf+D zHsTVpPzY~(6R~tEh(-*k{(9?0)}~6#hbJ6Zm>#i=X>1;;Qv?+3Y4OWMdy`yDyb$=8 zZvIsuHic<%nsIdJ)}tMp2->cE3~P$d^4tN2Y^XEw7`;l?m`?CqqH`Aim@aqo|xE9l0qFM#vE*w=HgA( zeV@?)y#nroS$^@FB=LtCc9=~+7Z(1gE)hu}-OIkO(xNE5d7A|4cyIxBh%g;D5 zaac8-`}*Y*8zEw9f7e!b{LgfE{(ybg6i8sMuznV1yV-o3^<$aGky-S9kSfTzC#SD*T78W@9Nfr-*|XCi>W*M*h?M zg9rC4BPte+EU@&RC=;4|Re@S02{x2ie~w~>u44o58{0wePP1m{7Fi3Mx)p1s$EVgs&ZM zpB;%hgpmQo=c*XNUG zy*lnyH!ri-=U}GWMX%f#i#4%{5K;jgL9yjN9L{G2u( z_%vh>Wx1anGjavKta(V@lOuIHZ(ZS#BbF?9iW6mhm$nHN#OPtYXq&7wEiC5K;G%$X zoigniYv552A5)c_Zs&&Zw*H@+T?Olos@@CmpNMVTel)obOmL@&uFb49vf^zJ4zr?hZFAH(a2nd%r4aJ%|@%)5JT2j!}|mB zu+`c>?r(fa8``M?0AE7hw|nE7e?UOH0eeqz*Stjcxp>f@yboKAn7Ea|pBEbCqr!^v z;71$j#bNI{?Wju%_IxMbGv~~e2Stf=->+x65VS{5US$kP`>L$Vz#&me6?h{LtWC}n z6ak2dFuPDkbhvzAv-WO`eX`~S)qfg9EheCsGg>#HlG9%;vu<@ z+X14(UnSLz$@qwYrT`vAhX_k1P{k|5)qV3_;>==Rb7BuurHCh5-~VN)h(#0{iyY4~ zp?)rXx5H6@rEpD%!p8cM-FUn+)F|K!_atUl*Qrb*x7Am4&x4wkr=U~$OPA_)dq?x7 z=X0eL?d@Z^-F`49oR(fbW)Wnukovb{SlWlLVp#y;W3}s#U=CvL4Z$h}UT+4!eHQ1%~JvusK~pYTaVL=4C) zWYR7Q{5>^LAU6h`hEm=JTg;{xSIKGHF`V>V^R4t1ugE*tEvLu3b6VMb<5a#}Ud4WP zB<8VX1?3neW;UE%YGYbF_N@_zMGtV2*l?}zp-sU2d3ugy%^LY|bItqPS~s6wAuuz* zVxf#OdPw5BL-te1=9G%v9CIyTq;`NZ(hzI8Srq9$F~)DFsf(D#_n!lPOBgZ}yCcf{ zI7ww{~@(a3U>N$@rAM+~LwrgAi}7r`$>?C2>I2EhlL zR)O>{;Q&CIW^$O*f_4ZWsO#&DmT`BlD@GIZg(_y7xFW~G35)4*6iM)yxF4tuqI8?{ zb0%7!gkPul{k0c!#+Ehe*xM#c_;U|{*SE+Gywc3*TJdn=`PY+{X@UN0ESHU{g_)kw z)HP9;Is2^9JHN4}iB=uzh~(wX!T|L)&pNxkNx{(FPZ5Aj&IckC%lL;)WcDIlaw`$7 zH=up}k$pSKwxR%>#{NoQFQxd!<~J_*a)$QV)TUjD#;lpJUfrBJRhY)qiCHQQ0laC=lX6+b*5VuKQFV3S|q7abxm}wvWTHP6txGuTO`>3I?S#fV@oVQgt^&cu^ ztxe=DPfJ1v&dAZ`g-99=mrE_1KPiV)kX9B$sx2YMufZ--^&b3@R%HSer^oAgAL7O> zjAd`{zrj%=kBKVt&xe{xps<_{%4a`a_<*98CvBx@)rVKDv4IOIw*kh+75U^C*6yt*JS&mjFDG$A zQzl7`JST`wFZ#z#)Rjf=c?X(*)K<9oI2@mO7%u^ZEAw(A!jpiU!6C%ZkrU1{P`Mb| z*dBAM?$LE5wFSV^yArZ0xqdIpS8z=9t6sfYTslCrct=o%V7bo(>o(ldE5AkE>Wz99 z7tungJ8*tzeRXo z_+Q3?mTxN0w|t}TiWfh8VeTg;dE$59_Uc&@%1xLVaRo}vukinZ zpqHWte)Ty1{vG%|`~BW_{C#8m?GBZ(04ECk3jBS$Sr2@x2>jv1u--hRR#&w05^-}0 ztwvVwc9Ao82N5HBjt=Yf=%WMdCahi`+Hs=1fp%>s`GF{vR$Z%!L$ipjwofX;iObX8 z#|6!4E85t*z;RZ4fi)7-dj3|5d20kba)9Qp-^ucM7zX8z{UrxN;)(E_cuGwc5>O1j zo#+_?ruBOgRG|*n5YA7^H0cL3hM1ex462Bw_9oD56Cc42+i<3jG zB{y~Ygn3pyY80g$q?s}fJ;8PDR=jTSh&2ELx<_%e={AeNp}s=9+)B({nahd5zd-kN zep3Xf;TT({Z=CM7oY;%|4e@ZWj9?3b7E`m87DqLrUK8^mR@fBr4jdE}m=k8NT-H&W z)9kyO&4#!j_3-jm&Eo#meL2apH|7`O_aE3337v>s$CL)%T zda2vur{LG9DkA;w5sZMlmRq`&4$fC@EqAwo(S$#otM7Q_1XtS=Q3QKH18hVKh&VsAVDhHE3@a#Fp50o8_d-fVP ztD)DaoHS{jbE!e|(q7CtEUT@&Yu}FshY@_ZV^|4GStOesn(9Mm3&GZhy*VB)O~ixo zT=>?iO^m=L-E>S8I{Tzs7NwfrPHXcrr#~I?QG1X~Yc=YPUqpR|v@iQ{UeOxPv4y!y zF~0mV-%5Eh9;h%Vo+@h6$S&x4vi{zyYMM8%&0g4V!zRrjl{J@wE# zl8}@cG)5GxX@<#^uhN6}7{M@^5x^}ZaiRNx_GK6fwti()+*qQy9=rkM8=mi`%DC-goYjm8H#9?EOArUab$DtA@5OD+nA*H$!6T`% zjVaw>J$FdfboD_8Gc$tNy0Yt2*CUGBVAtg@bEND1Zm#EpWUkC*dqUeBWLn$ZE6p&oIp_KlsW^<&4s;V zwnx>UE$ORx*3aYHYfMB;b#b_stMp;@*Rx-rzWM>iR8rX;mwHKONa&$NTi(o}Y?Vde zvRWZHY!tmhip1ou_{IvUghCox#r|B3R#I)02;92&FjrHUsyTCeUiO^4*tgf|5DJm^ zKkfkS{JfV8eEbu7z9{zpoaVgj{}l}U>@f`Z4ki3)aS`14`TG$Q@U-0!@RQQ;_xJ5$ zec$h;#}C->`;Bwp?R3O(pkF%m`ZNT4K8fTOPa^+LsX);>Iy0KjI~Bc<+j42X@*h{` zEV3=RX!Vbp@Jef_ECk#R@N`#+2wTfP#Ygx*5TTrEk5$V2{i=cV9HMPz(N)V#739?Z zumeUyoCtW_tJU)JUv}@Up~6+WBsiU1C!}Gtd~VC+>ZwIS!2g(uN|s)Go3!2f~5D zd4BTsNKx83$_;QNNj#E*;sXB4pw32J*2(3cCvj#5ME$j#a_burAzs?#>)r*28;z<9 z1K%!jsd|T<>nYvZ9_9H~ekaT7A*6KVX(zV^^K3obZjnp`{VvtQjbaj7J?|RW4&6{T zM45gNAC1x0+s<*sH9C(i$6yE7I+A@h5&7d1?o*2x69%VQRI@VjwQu)?JsjTMl7%#2 zRy)^XGfqW;*MPEnJe|xYCCdOJnZsB5pO?zZb~0jutJs$mZ}8R@gp#__xfdO@i(v?Y zG>!qgbA01h@*K(`lN+r9)VjVa&s8JbSHGWFPp>J*6>ldBjEENpP>+-6Aq2h9*U%A2 zgl+t_4vm`EqOhkOn_-;YZqla!U8-?8#E_?{E57W1zUg^KR5^|ILd=LZNPju{PAFmK zVyoZJS7J;y)*iedI$cE}p0&t+Ekee@N`?DJn3t2Yhm(q)_SbM9~Y8IQT z``(!5weI0g)y-B0M~QLju%s`$1 z6`{P6j~>F>Rkzu4k@WBnkb#0AEXvXFz~2PH{%_isvXFVI12u~15s*bVg4xXZmmZQk zjXz$Wcp+;TyONrI*@h1}-E6y7%FWFyTMt~;bi!4=X2s54E@B9B==~ZVlmq4#>9wCK zkyEpl`?Cc0l2#5?V5Sn1E-34ao8~&Zm7PIJtB%cSn_&DBGhz&G$qtN21_Kmk%1{|H z1sf_F%3zgLclRO%oyhsKhG&Y4HX~N1W`=)V>IGddWXG+j;M)5E{gWnblhMw@&a2R{K+BWQss))A&z@%8hNYPbKrH{>I*u zr4<`yqSyb;1qb;DH|CpLjMRXbb8P-?;iYO&=v)qQO^DUz?cDKO(xpkX8aw&!F7Af|38 zgmwkT6les@F<2}$?>O|aA;EReD4OwPbg?M*pFSe%GwjLA z8q30RxfXscHilgEX%5v{mP5i_l3}Ya``}+sAwhQ*ZZ`N#fB*< zEP8{d32ot4;S7@!rN^>&Yxz|%?1H4Kpb7m-!dc>RIrxI!b+8#yhwUq&0=M`GbiNj~ z7MgTd_A31Cnzwm*PBx_6^RNg@*@<4Xi&Sr8r@;~8aZ*_HJd=27++58{7V6Ey)Xg?QmG-wV^ae&z+6&QpVZE{~KAG}AwNp#B z@BeMK>bs7QwO-XirDDPS$&WH*TYU0tHe=?gja|#_5m9{X0`I3OEY%_E5vm;Na(8DF zlWkd{d)!gmk~&-IlpQ6(eBTGJPt(y(dr1KSF^Y4oM^5S&_z<$qX+m7Xc+jS(BqF6z zGP5SE$UIx8jvpMTFF5uv7`Snm%l#s3t;H0X^0m_T1vFz!3b?D?8!Y{EBY|Rp#}Ro6 zt00adCDeY9=ZU`TGQUG(l~x!q{(YC4cweWU63h1m7? zJGP9pFKW6T(hu1Uq2vuoc3aDO!7vWade@EW^qD}?D_g=qg#<-mkUfI0l@w~@W{Y6sKb@FwL6$e$hc}0DkDMOJH4IE+B6DypCHxJorjzPcocAtO!`a;`0ZMNb)JIkx;M=YLIj-88{BW`0)-Rh=f?U`e1Q`f826m>QTMNW}O zQ(u%8rId%^9H=YYR5D}Mk+%Lhu>Rbbozd1Tp|*ne3Tsl9aYpV5$9x;ncn-gT_sTm# zrbh9ku_L)`Fn+cNna^oPhkj0ll2qOE60_p%mM-6g zEDOb$nu#ULI!ZQaOUUx&^!4%Y58H7=-T(epV~gx{B#~`u!;NLKeppQ9a;BADeQ{XG08#VTP6k!; z_Tln|eLwOAJ2@b{W)h;rw%j0&B2PncZV1P0`@x4fa@F)y6H#vf$We*@UIP5Me;m+? zOiB*A3tdDSfP{U5aT_r9D)QQyGB-Z<2SJ2(A1j(cpgb7Pr7%_p4Qmpt8j&~0^tBGu zq1jH@XFt)FN0ZG+BI#$H_@^9X^>%bZsg5pME`2AZ*<2N$Z35ir`=~rg^9r>Mo8+X{ zTiB*asmh-=wJo5yf;L|_kmqKa42YC$4#jVWn84d#Bf$Su&+PBsn0D_-R|aK^g+BbN zea0#6N{^YOjTZPta2csHkPxbbK8aAuk>|lx_^qi4I4JD&9W?3jj zCquG8ZnZ5-k}OXhX$Q8{hb6w5OX1IO#U2C}8{>=Mqi5*|XS(bdi_tEPUrFJAZboL; zd8T-vxXfmMmu4#X4jK3rP(>-%vo-d?6FUXMW7Bj9t?52Z^nNBRajIOKR6@g!K`&YV z2Zca-zh;fytJUgF;iiF9t@m5l5cnRRu0Xz42y1c&K-vbOjB=KymM!>9q4eJ0kELu) znLZH8X6C@pjgBq$!eL>BTSltNPJNxfj=LY8*cuV3nD>xfxHsz{%`B%_ndj?( z3l#-GfNP{kDn8`!iaOEG3jnLxd(})ev+L}%AK{W2=IftfcDV7UqdnlCuIvFv`INu@ z_W%6p+yDCGFTef6@Bh~y{^u@wzEcAh$L~kO#SrzK_79t&==m@0j8s?560VatE#b=K zM%Du=6n@c-R;Av0jg!xIgP7#D&QkSiN3HEhH4NtkG2?wfoNL-G6_1@7wBerMNRc{4 z^=2SyrWg3yF=h_O^n+J+wt?O6wjK%+C~;}ZO)WIB&RSVJW)P6UX{D^J3AT4G>0f!t zOd%VjA?=pKZuv@*&AYQlOoFUtYlOeYGlH3MAZo>;f#yhWZXX_R3=Ce8hzv1Pmm6mDstwTe8Y2A{X zuGB*@3g+o5+I-jFd?MVg%dfUe0>sKS$F+dDx)7P$+h+7xSL4X>QjRq@xs@!E)==<7( z=8fBSNI?vz=2R$a`%a8ZqY+KF1^L< znWd@`oxzj=H8?<0VoS^g-yRZ`srIOQ7O|);^z&NFjm;Kub|O+6vhVlp^>oxbz5jwv9n*@(SThgP?c(O$4=#akJ1Rflc!~I|&BZ*U z0H^H-DSb_P9_xux&Po92JfgdS(Tdck4Owi$*4f3dZ0vqh`(%&)RZr&ySx*!1Lf0B~ zI|pi>0A7EyUvQ|U%13z6?&P31>=)PiX9OLmkE3H;^l!QOTciBUuHbe2vR=P?Vp>Fg zVJ{?d2)pa)`=)Gb03FIWmia8uQ?t}*^s;t-1CqEX-IkX%dlWd0%I&nc{6s2rF}*wH zwF9+a1g_osG)l+t<J@XvkfHxo$qSC^!I7m)y!rCd4M)$_ zw+ts?^wop`P2DQOcyTXZ&CYAL?syi~Pz5nP&vVjixno;MlChP2C!Bq_Bck|&yTF*7 z-)b?sQf|~4Ds3#rS76OpVdV5L|ML5{|N8cie}X|>h{AzYO{mu{Bgx5o?NvGJDss%Vct=?3w-xyqvc9K!P858=5my zt9f5A#_6{@TqaeL6oX`%^&)lCUs@OG{3Fat6pDQWbZe`k%rYy&K?E^0#;j5es7Wi| zV{CfoFVjxC+r>6J@Mdenw1$S9kEvpSTuuhIy9Z3;y4t;(as}!b1T`&yP&etDp5}J{ zX-y6(*aHroPL(<(BK ze7W34eVsjJuf!>j9$GqaL8Z`yXCbe^3-{)^uI1jQY0s6KLT6KL-r!#y8z>fwHUj7S zsLJBi&WOHR#^%))v2o^2h1@vYj!c|tup43jGs;el5E=_6F`3N4xs(+y316TrJpl+7 z2%wfE#Vj9n9z+CR3}t9l8HPg<0$Aev)|=LxY(dQ8iku{!I7Pbj-U*&p+OL0q;F!WY zs^BVly`5T=kZtnU=S6W9%G%vNgevQAQ`%UL%;%i}|CPhjPzC=!YIB;ae@E57@$Ss> zwb`92GjKd@?0{$CX?+AqM9&A}^KV7$w8J~MkaZIm)^b{(7=9-(rBLw!0lqi7w_`@n zA2Ztz=LW5=q^|)QFpT&zw{QDsNVPq+>Uj?0*Cj?CtSj+2A`)mv6W97V9de>bs2Arhy=>?tZZ0lD{^W9O(6+)_ zVcgGHE5rs-yf~YMd2Q$AX+F3Z&i59l!T*N|5XA*|L2>8m9v{fKxZ*=YUsrtStKPt| z>=H6p6!h!sH`_+b`@%q7O%YXiO$PJs+7x2wgL^xaGE!MDuyjE!-JVArv;>jyLg>nc zi#DKPczh)vb(Usl0Vn}Wuz_$_X&w56`%T+Yu#BR!4w_J@Rl_lrVaG5~cfGqjNl{Ks zPf{*Zor8UwPj4HGW-|4^eCcuirJwv>bw<#s0+L}c5TsX2=FsC3uNdO!LS=h0`r;3} zQBw#@W_mlGASH%wJQSznQ5fcdv94&buSF$xxFy3)%%T&7Ed_h0j9}gByrAYy`L3-g zHc>e{eW$QW(QY%iZCa|ay4VN~C+fxo)TA`eG=a;-^%P}IX`bz|3MH^z}Wvl)GT*Tjn`BSGGF8IzGx$DGZ-9T%qpYTI3`O zS_!T?0Agx_s2Bl=d5{s_$#n-%kc*}7f$EtBOKJ8jNkMNdA9|o6PS^T}(j~Oy)~(}u zDw8-DF#N&o!(0vz(-a6nXocP3-YaAmYwRU9W+)(GOKFowf6~%Bl7@mGoF@Myy@Y8% zisYOZ3Sn&6IgdDTp?wKjO%G>|W-BebZ3{%`84^&!qE)IL;71bfdp)9?@7sEZ^GUcP zg$Z3H5fIEnQp@C1kx?C}KORz;4ylhvRZ=|%TGfIZ6^x{ctSX?o%wS6Fvy>V+vwP&^LlgL?@2)-%c}m5y#2B+d7l`%pd>SL-sYV_G^g z+zxfttWdgs-^&9dYqftnvHnyjs7N5lO7_5*W|7Tla)U6Md2A)ztC_=2y8f}86P9*b zNpVTU!wb?<8Qeq2h~R4nllM>_Hk-kLI>t?{OZ`@ADlW2c@=AQnNaK$9!ngws9lLCo zQ{8j1*h`MafjG>Pq9jPj>`%^Q?#%2od&h5{*_miy6y3R|E~fVw&p(85zuvlPJQ%dD z&a}~rf#6o?`k37HDY^(nSd8EhfIe#~8O^;Q&V|d4d&OkuA|L*{Z~ynd|L6bx+i(B) zFaNrWEKR4x>pO}RkwTh28FK5@!)RE?T}+I}7je^RV(1Qy9|Lw$g!G}Lt`Z5F5MxEr zFSPVyz9m}MDxJIQ!Os`(XXw`lZxmN+%sT>p#**l=7g`;gOkDn!nBE~rJD;U)`=nx5 zOZMhM*DMnS@<72GZBJT0jt}LcEB-ix%fKV6mop@!SM>R6Y_fG_X2&LVie&X!;5O|6 z@ilN*uxSlB`>3MWm?>RF2C^Db80{%CI#pHx4Az58>sms!byZz9u1b7M?@BGZYgDV< zleV-s1?OB+eNM>H8-8v=s{On@d-2WlzUyyybfOtol*&QhW0D0tMg^jFGtO;ZRwz6R1_O!tAWNiIFh6$?Uf_1O%1Dn#C&E!+ZYRj9JsM^XA zo@T9{@IlWY+EXS|Z{@Vii@H=Ksr9voN}%3JZ%34<@Jqf~H!tT^mq$sp)U%}Qbdt$L z-Tg)@m0rki1<+F&ws^g#xn|;mKEY+C0GC;W9>+gAobfJM3t-H+nEU146j4%xS`MkD zF)#(}oRe0|JI)VEw=qK=`0dk~No-;LTHU_NIw7Gto*jW=ZcqMusA6TlweBz`Ur~-)! znD&AW+a^vwxeqpGRYDglXn07+T=hvJD<35LqTBzi@-rHB&xLk7<(Sge! z@pg@}(~kn#URaaR9dcdv@=KZX4OqV*WfhL)U%c<`-?~ZYvKa6_UtPEO$WY-HW0ZvFa-Z_KIpp5++oW;)n8 z7@L8)>jINy2~S|0M7lo9{!ZKUvz&ZIp5Zzin$$jo&3SYdp`R}(ayWAw&ajp=bS*Um zIpaNR%15Q%_QnOTEmLigl&75QxvVJ>GgK!F2$zh9*ulv8U(4MNqmP_!^%89=63nwa z-?jW%Gm%{{wT@u6ZWC&{>xecXr6*cY2|e&~RYOi*7hvUPHApYGwHMU2_0O=qAbf?K zd84D&_?O*}tY6PZ-#lBT<_(JYd+l4wLpoC?=a?-S5J(y9B_sq?(gaL1qHo_-aoIBj z#fwC)#Zi+=V2#7Con>1C~$y8jQK{IpOF*cdB zNmbJK6|Dd`)JE(XYLypB`|Y1U`l}!P)nEVQ>u!A8S*(*f&u-E#?O$Jh{Hn#hS*i2todhtv*2fFkGKW$9)xytjF-E) z<*$D6Gp(<0*>C;hp<8wf9FOVgJCG@=lBc<4M|~Fbz1DxBsX^86r=KynWvYKI2+(*2 zwknF~XDt5={T0LTZ2A&Do^Kx0FMfUfkPe|B`LV}kFjqpwVo15w`1Wn{<6^Mq!c#dS z3~&eO<~Dx*)z5#b|6#d&+sUf2%hzO~$@cV`Dwj;#;`RU*O?N`6Pd=rPH!@YG~)SmVI>IZ*~T|k%S+xL+F z-p?tEv6NbEF`)U2TVeLAoHl0Jg*ANyUpRLi){3QlWBU)~FZUEe0|@($GF{K8tNe7< zpZ@L7{_bZ#|Ns5uzkmIc|9}3vzyH}!*KhmlKYaT?zy1CH{C4|VymyK~Wp~qG{f~eD zuja2p6{|p=|NAe#`Dyu^+mraw#SaFWFNXqg`SsU7`NjYE`q#gE_~HMy-|qTr^S><3 zCE{-AXZP4nM^mYBfAjTkKm4+M@lUU=&{LY=%k!rn!>_pfF-H&2MJ@cc-+X=f>j1SW zWkd7pgrBq0W%BR)+2zlH1?1c7|#2{KhK0dHy;1Y5uo` z?2q?QphK zrwR>Upe|-;;nwy9?WsZssbww(D%ZsUOwgk?QC$=UmPb zFw$A^<{cb4L0WfIKe{O9e~=dPoHH6khgY+FJ(}ec9@7DojvuRL|q!aTdL+7bQqsUN_U(;lo zmuzTU!i+-$$^G-sAjvm?ZUxS$0b=V|7(e^@|G3PJk4b*#0--A^!VqZRqRY>aw7ER% zY~`Y+k}c8_F}5u^4#^f3CTY*2q-1Mr3{$HTHp~RiT&j-MIoX1x9TtZRI1An35q)yW z78MMkXe19MTXZ0XrM<-WOSb6IR#M%&XC43lr}s{5mPwigU>pNy~?lH1#E0 zKq}gB{li9I0#KA--)l zm|eomojZ7j$+zw4QW6ii@_;{MEbe#_6A#lkY8zsmT1MAQH&i>DG`zr(OkxD&EV4ea zLs+uQ#v!(f=BHbxwt)q^)AF3<)T`!PE5+mMuwWVWWTZox%`%nQP@}<~ZhJhlSrZu0 zN}x5hP^y#e+DKnAn=hHoWM)IcVas4wgAFAXqhv8L_GuJPJw-hgjS~zKSjA%X?gZQJ;~`&hP7mIPQ8f91a3^G`Ob{C- z2JkG1rx=W!QcsiXesp3X3A#R{k%}I|N1GQVebAayPOXxiH#qoAJ@QgKpHrrCF>i%C z6tWx4PQ$CcGe0_kqfTF$j48n88`F`}OzZ2NJ*4k?BeZlb zqLR$wO`&w536nKqW(Tj7vK^=oYMh+eVXOx|InB0PJ*)M83mG<&8k|1r2;3$15G28S zt|~9WtE<`;;b_C2PFlwTkVyZ7oMKaabr=s?(sa37gap5K;5C-qzGDph4-x=jo7*pg zOOJCIETaUB`Kcx<+b;i1fCq=8YBR8U@I%luV$d5b`dQ8pATEokgO$rbDtry304xRu zK`sLk7i|L=aj~OwdmkuATkdq^JH?j*?CuSe2; zUEFAi6BV6~07SMg?NHHCgFWp7r7yi|s_1Anhsb5tNJXa-DmvR-;%8gY(K%S%QC~$z zi!dnZ?w)$p?a%f0s)aZHsZ?~pj#xEV(QV0CzGNr-MyXeAXM5Eay=r||(Mj{b?a=Cs z;K+9&zySa|_w|w*S>qIq!_ZAQEUS|rI zFH0#%rVx*Vl@iS2I+oL8eZQGdEu&~q>a^`i#jfU{?6u0S(Gu(g|Xb!K|b0 z2@-xZkhRj(cQIasE}Kv)XDYvun!bd=+2YG!ISJTV04T!|F2x6N66Q(zo^72ywP=D2 z$_Ruyi3k8*!{MMKL%f5bjAD%}D0aXNSu)tNPA;ISZnGS|AY!dr;7x@!hgdqA2dF>J zzlXyYC@?UoGgKdz#WYvmuIqX<$`j?wp?zpA!oCd2B20)w)B_3GEp5c+(!mja(cy%1 z)XQ<{1?0`P69zjA^lJ^+5Nx3tI?C+Z>2w-yZ(-7m3XGSM+}`^5P{8{Z&zhB!n4%g? zd59=(u-7z&8`E=Dx8<`Lq@@%l4iIaUUN|kk2*M4QwvgA{zY)u4{1wLNK~1>hmT!aO z=&nZ}*y}bpiVXv9K%zlag5#;Lat@BB4UY2Mn7Xm1oSF!Z-Mv2vygAqjv)*tlq(Db= z@S9H9xvxUe@Qsl6Oo5#deF|(i6P^~P|L`DU%mxOu(vfD4eZ#|la@Sq{K5$gs-1Xw$M zh9T;0IaIxGb^JYU0Ue;DSiWE4qp1APVzPMCFfWo^dJgH$Z9KNh;hU>&TIFiV zv|hD9v@}65;MBqSesJjW+K&Z$Gtw#tUFrM8p`%}y23zI0%pz{r*D41kNdA2S_^EjL zUB2;8hC_!|c~Rc#Yn59g^w=N4PjMFfq>tl(iI(diVFvO>Md1h594}3p554lytbzOY zjvJK#Fo=bMRygp{5KS;{Ivu+Vwl5HIy2PrImfnk%$^8HpFp9Wt z;|q7p2y}&?p@iL>fVEY7jS5EOR)!Kw)00@<=T@VSNf!CI8KQi2k&lj!zc!=t6`Ia- zgM66w(?<~5_8fvK?}*z3s30_KRH+}KdcYX*_!bK+$myEERA?eB@r;2L31mSR^c z_WQU;NU5&EFde#xI-c+YobA&vU0YNL0k*iWLh*;iumI9w^J*wvMLCa^8)ofesv2g7 zzm^snH5$!pi)qysw>dZ&@j>oirCya_#i_ z;g7Ip93yitYw7SiHhDN;-rPziI}gkWz0U|vaxaoYp0K`A!28lwoxF=KGsfv zSL;y?k=JqAvl=36<}(To@<>uyOP0>~<&@lW8sHcHD|DhoAzQl0d|33WkZoSfd>!i;IWq|KyU=ws#=lXvZ2DoBBHC%Iv~HxFY{b62FG4vFl(ta{&7k^(M6K zfHQSY$3~k4n#hz!F~^v?P;$Mj6>bDG90<{!X}4T2Q+=tKx(@zxm-hAEaWj{qm;dtn zxBvR~kAM0Pa#;&;EI-#&1)2pKNiZ6CjZ=R>I@w{JMwIku;ZS$k$-)s_nc=NidQJ95 znUeJ&WBYsFQwJz+MjKPb2_(U81rB?QlZ=FcIW0qD)Cn2?r8xO4ij%>?4ke4{0nI~k zLNcV@wU_x&oVX&6J5-!d7q#+G_%unQ3w|)U>t86cO&9#2FuIb86Q-%^!Q#a7V8`4J zcJ63heza2t_D<0tKu{M+bx>yTC{DQFcov1h$?Ayg=8;x#8{YOPq&%BrtZB-qN(J}o zFbskHe3StwjCy3qO>sGR`VC@mw!*|BiU?B%5kQGxN`>EvwZ#^7C&=f;C zM)ize%;#|o6(H`&F@zWsN9o!BEo$7uABtO#4+R_kD&)Ox~-^K zM(Gp8_t&WVi?WP+WCsZlP6FmF?oUskd?>UGrR!Skizf5PVb>f-%}w* zC%c~o;Y)_YoNMzzL=0+k+`p#P*QJ$kzxsw1H{Nx~e#1(6WypTV#U6xmbJMj67J7MA zL2Fd=tgKFS?78JFD}{e_1+DwlHY^&3IfiOC{*BC=<-bCKOmQF+V;v~#Sk;wU*^9Am z5RL6fjKX2zy9MTgBCxDQARC}rzA9LLGN6wSyh4@5&#YaH&dwx26m673_vaV-m{az>ga2Gl#@hV*PY-r zd44tcQ1t?+7z;@0CX}9A>;}$|0Q}HsUH33)#A9HMM1z949@79&Zt3Nk@M`{NMkk*M zZ4Dm6Qb;%QF^~WY!)Zzj+?h6ks*VAS$Iyd;MrDt7yL2kOAcouQ+)ea1|Epnkk_ud=1%=w$P=pbmFfRjJsE%WKEWShNcv zBvp@5>D{T;nJ4q9MzJ3Qr84(^b<7<{(c)7XyP8-GzR9~{Yn=D+=x@FJ_Qf!!qr|r3 z*u@Ji&n3QEHuWlJoDn*}8Zbgf9%O>Kv!pip?I@dO5IRqUjucW(Lq-)$SUBJD)SKXz zJ)F=dN{1$Ace`%8k;_sl9}P;A{fp9?K1i=3xkocjoKj_%RwcWRQ^Cbu9zf*jHXyI^pp+zLcc^g?+Z#rQVi4GRXSF>hD8KDUlwI_@A7;%Y|F4% zI!uigw%IC@SHkl(7LWtBt$+k-4@hT!zE{w({rSd7C~}>8aXOrbQy(_5LT97`p(CUZ z88+#;5gGi~@8!L7^h^69xj|}Vn{P!#IJGSF!O~Wt-w&ilKApeENR2TVd00+brk(QM zB-6bN8a6RfW6-dP1`r<&sWA&9HyV>JNkK%C_r?!OK>0r>Qo}y=zx*=I9#pLSMIW5K z^h*DWBC$q`ggZ^AQ@o%d>1(0V%5F*i)MrDTt*JIKNTu0ODRiGq&xT6F6B^M_spHts zZ8kxqZ`hFt9e(baBjuBsY20*XZxOCnIvHaEzb_1u#3=F8P*PD8s8x>w@BS1Y4dF*= zr7XA%__m4Ai zWe^Wo?xD4Y{KP;!WOU_|`1=G94`E4Lq{GV)?5eYK5!IFy@ z8s4?&!ux<0NYEg7siKrhHCSVep%ND(K+Ycm+Cl+MC*k)Aamjd(T1}8yl77%av~iTV zt611+RP_kYhAI5hh8l2MZZ(grjfD;eqWvbr{)W~rl< z`EXGV{p&DD5@nen2OcCHuet|!CrOiHpE*L-Gl;mk7>>CGI!qKW4$mHToH9s6!uWj1MW7n z5oagstD$()4GU>{RE6T~;bzl~VG<0B?P!l`%CHy~0KQqQH&R_R=yLGwvy^Yy7YDp+ zI2#2{(-5GTWqVB6 zlU|1DjU56uGK^ivYYs0%W6jAL8xr2P1a!C-yL$q&G)v-Qt*W%Ao9qZP$_#Rp{s&6ktt3DyZTql#ES8j>1Rn(f) zVBfO<)Jg555{)8^y#xCpw1xk}sd!u6*bT`!cs>#-UZJh95Gh{0&cYg{Uw#%y&f8la zl`$w2Wh`u7>EcA0uNEzrF8bvwLdt#Exlt%RPZu1c4Itql>%3B7Vq9u|=5<)9^*TG`e(6;eU|cN$ZzAJrA^rXiyn*QA+y4W^Z!h1i1$r|Sjna8zUL$d=Z*CN$ z|2>E-!{SXu0)!foLlJhlgNNv2(5v*=Qo6!S`bs6%O3z0024xkiJ{mO{e< z{|U5E*-Vf*Q=vh0+aA!sO^WCSy9`8eQh^1MX{Xr^^zqJ8yv85rkvxGH;uv>Qxg5cj zCtkS<*5eViP-&7rc|Mu&*KWxYJRK-E(^GS5^SaIY4n*1%Z zYteKZ!`~()2++5+*cIw*X;Ej(r;a+q@en7@=dgrN7j;Gzz^Er91;sOZ?BRU2@TDI_ zosoT#cY~-i1yr3t>-;6RwTi*!ip(t~N+j1L)E-oDR`fCs|)`X2YSnY zl?P5vmlB;0CCI?NfcD-N_^1)UE7R9sd?j}=Mh$|j2Fs2eL}^Pnanxrb6rZ3H1rUuA zr<*2#Im>u58ic1)=L1~~1IcX^qyYHX9;b@?X3roT4(rh+2*jhJ(=xXBqd_AGj#Qu# z#XRN3xc$APpWN=B(US`M$7AeG^uZhXd49BP)gr{4?wz(xXawcjhj`q9~C!Ih~(q z7mAQp##uqJ!$E!TEU#Y9Ty+eswdgQC*h^X;^HGM6o4NKr%qZZWnjrP5Dq&^T9BuKB?hCsDZ|Ua?_v9rkK|7A(tf(l zVMiB+wtSS(3xoBP%$uOKV^zZsvS)*{lEb2W!%KGH6rFyCb68GUftO~FiC2r8G+Nwz z7Un{?+C{yFps#5cd5$X0^18`7P_eQnB@oD+FL~%IQKwMY|pXBg*^4=j~9{bW%G2TuUd;LZ-C|i+0m|7N~ zwA)qs{SfB)-usP+Q@&5bZ-fey>Irs&vZ)b1sOJ3_;5UK+Xyr}N3Cd2m$8l zolKG3A#za1@}e1hvN~o}P~duGZmK0rwS@*wkuA7MzUhEAY#$Hhz)n!5JnI}h>!xO% zXbYg7>L_7%QLIs3n|0nL9D{?PmlBRg`Js(T+*=KBJt`AL=Coe*CE*!QhwB zoa#NrXD^Z}eF8|T3=3T_4@dz>syhc0kCO|dFCJqZTV0=A&SUAQF8)eGNGdu(eR>xB ze;G+7L7M~z6FN&q9ZY7CR9*=`*PHWsRnZ%$H~&P zgNY=6D2Wk*Vd8I*RC4@2l(2eqO3EW@&M;`qaB_F7@H~_X6mKtlNgE5R)(P5(=slpt z*`r2%e|U|mk$pD4yeGWY&v;NC}9{+27dW0V`6#IXbd3K2pe zI(b0N8dC!NiES{hY5<>LxTo(e!&<&vwn#yT(lpRZNm!cfqkBk7Z z0jgj;q9`I-(i-vLyqy&MUQ@vvg%W>v5y_9PP><<8B84q-r{bf>s>T7s!XEOYe@WOw zeDv#D8=MVOI4Wj1^yrQ5hH^-eadedOSwzOWd|+^tLIVfoJ@NtKg4zx(!yb_|w=LSC zcc5YF#E$A7f{U_9num1}mJmHp-DA_*p>(Y`wYOorDp*h9;nHkU%iLog?*LJz2pgrB z*Y?7?G)4!`z|1jxlLyYSzR<^qtUSLvw^@x1j*%6`MulTZQK6%vxCm9EHOE$Cq09td zJH7p2hR5hQ$2w1?PLqw7sF3+QvxBp^_5S zSLJKhmo|FZGKhyUebAZxgg`vfDka{UTKtSr_i+1$?XJ0Mwh%i#tK z2}LVUqtl})V(HT)t+Ie?a=3L+Lpv_1LYUQ#?VhDWm< z)*olFeyHNf6aXfnu^k}LxjjC?U;W~FOr$2L45$n7Y!i$m<%YS1?Ik*cKyo+{h+M1? zu^L0$2e`fW8(h#R;H8)jpl8gc1Mg~Q!b^lXXa?puBKG<_QxWT>hrb(0=EnTMMf;}p zn1a}nD9c7GWud<65_)=Dx9exL9H&a!E5@!+GG*mf%Euxm^N^Q;?5OE`Lgnpa8pZAd zlKou1o#HzRRa_OnNSG1Z4 zRmzybiy|%~d$lV%uC(n~OLwv8V*>U%29Nq}ExHCXvVxifM9X1y%VQl@mtUHnIk%7t zfrm<0rfD#><8)Y+L&P7?bRHk|h&bFfg~F)T1<&J=Dh$lynT+#Y&*L9F(Utc^lv9gH?Pal<4aTNU$!+WD>?m9)Y9VOtF&leg*yx*JU$Df^ZQ#K zJxMD?4|)cn?PvY@Z~4)jXb-c46HS=t8QMU_1FPHWQkY5f%Idx{gkk!xWjq~}E83r_ z=J>=s&Jgw6q)ee#G}W5(zJ4Z|?p{2Dx{dJ|7Oy|`nXF;v@_Gq1oj0dxD>{4*$j z&Mqi8?KG`g4KhAk0`&}8??}CN7;?Gr(M)@eP&PNhG!U6tK(-~>HFF+Ka!%mk_lhS= zlvi_RUld^{`YVVbtbW|1=W;XK3xmlV@BknSD5?rn4?^fZtPYRFPhr)6dSUfuK=kAP z`^Rs;{=+~0^S|W@(ej$@PP^Qu*bX!uLYE{(nhu?w&i6tD8#Im5gq;(?ZZGlAKOKSy z_87y{6ZG3hNv2ksD&gnEY19MTLGs{`*bZ<(5mZ$_6%lL&`PW67)kwOrUZ93PvK1{+0nTHI&}a7IE#j+ScWko zHE`pU_Pr7_!eYh2s!x=MpM@_=|AS(`Vc<0+bvjCKK|L=3g_Hc{oAw9?}< zJ^CUYwy$eSKe&`g$W6IZW%Ux8e_KkUyO=8;^v2=#c!S|*!8o+ipf!KvAw1J4rU+9M zjw7JiFYNpS5Vg0;z>Bkp0no8!f0qup(OtQtMgd$FkWf z0JWi4UQUXaqk5>?9ba9UX35Y4NY@q}F#4Hu_o}oRAQz3rYM~t_>RRvu#}Qw|#5xvu zNB3%9R)c}6z`q$iLz68pLx<>fYo@VKZDW+EaG}YZmQgzF0O`bKr713H1W{w~z+Ox( zKPF@9nLDyKe+OA>+QTF)05hT=yN(0~Hms@%hNA*^jNzyti>X#~Xo^fx zsA%5FQQ^L&HkuNA7Q>kiklvP2kCsF{9>)oxH9&edO>)})5KG3IH;Wu*R1-1^uTz_%Ly=XJ*t;XGyr$mNAcPq-1C z)SK=NGF%N1k%`?NX>oK(06gTmN|6-rpq`O-SO+}zrVn~jrTc|3iuJRQ)nY6Gg5~=v zHNWJJa9>Ujjy0J1RZLQWp}OhJOqiq+zPOS-0|Dr!GxG|(u`kQ$Wl(XJL2Ut3FwcZc zl{K0*HA}dkgVeZq`@XR4yd|7;9CQvaG{7A(d@r#`s9dHm^Yi?)|d!CfIz-JH((DiWh3hBNDZo*uphbfC6>k zQ30fT8gY>yd}>euic+z(+eV-QhNyR;_|Jbb7PAx)c>B2)m1ib`11B2)msvDI*V zgbL^*S$68=8ij9CI^s{})J6t-eJnN#@`lv93HFe7h7<(+Yc2q7Dt8S0`OcHOQxI4K zf5wO@%9jI zgqa)~>?fm=3!^0f4g$VJ>_fn8*TB)PfE0R=>%Z=4h7A@hhDH_!q)nsX{UjDF3~oYA z%QLv1-sc0EQZMdJ>CR>Z&oY5AM^l{6U==$CV)t#ZQ4`|@T=P@GEcOUqE0~c&ILI=~ zZLpULW{GrqTz+FOZlP(40@<;UygSA#_xa*z{}M0Gu>?O}+)cjtkx1T5c4VD4Am}>R zw`dTnM-5`hFm&^Sr4MMWp3_}k-^7aYK;Li?Z{=Y_HBLG9z(g?s?|&f6o6Rm&y=1gJKEuW19=x-9klii7&_X#pOD+Q4B@4@rX>Jh>Xm)r-W(l| zEGUHGQ4cw<6E){YXPnsmit)2Bj)GP6B7Z`x*7P<&w!Q5P1&AQO%A!o7e5$(xCHYF!Ph?pUr(Dcz|`6k z0yW60P^=!#G}eSl^CgkVs- zEEYid9#_~W3Qy2)gEgh5Lslc_W=-;*gX{_M5rFteYK%^;BY5~HxNFC-@U~)dv$J7N z>*a3wNAIvBQ%P+E=`khv2dUNaPrv{D+wcF^|NExab^bvOJ}(3DAK#Mm@{+~vf3LpbW2zOcsblRebGo%h=lK8f{Nnu%2fvSn~c~M9RaPUKd z$0URf7}P)fyzh07ibFsF*P=m)3if;>x=FkO*iyju`JU)}zq^Ok+>isZni~dcvN7Zg zs*qnVYgYm6@*SZHJJ8@;bHEM)qF@i9fXZif$c=?~#9x8!I58ph8TP_@wGpUuz!xdQ z?-QjL!EDFHKI-}bdD_VS=>$xZ)ls#BASf~_Y7^)l21>t7hME#l2ard%*MAs+W(?n& ziQgHauYb+vzlLHm(Oya?%33u74H{bHsP>>@(5&(wd}<@mPhTd3Lb0rBevN&hcC}Y6 zrsNZo$v~Z!#NQ{#WKjFsj$vO|e8LD5NofS?F#?rr$v+*L3^oN-!f%wYFBpMR-{`fJ zbMO#10A*_yjuJcXoP$t77+CFAQw|bet}sT;sY$cIc?N+%iT9?$_(+&?Hgsw*-P7}>& z3jyaa4^SzI_DZHM1^i4qsJ)qFoam!G#d;?p{*aDrv1WZ`#c&<3*dy%Ul?uvUb^@S{_}Mqaj~*iv9RFvE95 zQgP?9jh8L%P_c0>P4Uj6H>Ea)oi)F1oJ-x7bkFN{*g&G42-DNJ^EYJz77xzBa+inT zta`yp(l}t>h2F6-zTM!uMaf#qTvrYSDcll8%i!KM0g;XB3AYk|>A3#}dlhGO5C*Tw ziB%<*NgqH|MFhzeB_B3u2NIy;9rjUM>=eS8^|{(1=uries4aUxOD^woxuq6Bhmt2* zOw)e*_k-G!e=wH0>kzd?f!d;)OZ;@GEu$)!U#Kl#s4b7w7CHSGJb^it(3}BNYyK=% z#OX)GyJ!3l{miMsS)w;|wOLDn^=vxE*S*!`C=5hFsuq-Y2V|2h8HP~H^*tjJJ0;d1 zE#B5VYLx#?*R7DtYdT;{J$arN5PqQ8wP9Y+<8No%pLvP=ete6gXbJ_Z86-t8sgQ4; z=Nzo+MeU)kLMHD(feAjUTjw+k#L$?+5q<}jNxCCkesV`ey$)+|Q zR@#i&hDg^d`fA(Nk0$$|pfkk-Q~}w@-cXb^kg4Jkdr!lpcj1jnPB1c$am55sm3Lj~ zm|(FgfLhx?Q!PB$I>dH?_h3J};Ae4kz)H(WCl;+iG?W{CER6@Pu}UlNwIw)sXfv3L z%_tz#Bx5&=asq=oumoRMx-uaUP%XMaizl2yd~8!cMEjSGhA}EcrFVMOE5isSf1m_2RL>GGa3hDVmtE$Vk zv#;AcDq>@(;q6%0)kdU z+ydmDJfyp2)ot-Y%RxBl#*0B@= zoYCH~*cxq(Gs}r13^FhoNkTw}0OT7cAzdt|n?(Qb{{27q6n`SW*8!Fa?ejn6_l`76 zcW|)`2+0t|^{-J`oE#BAPNj6s_aM-5#IVO(&C%$S&hP0^35nCTk^CO;f>1D@?+*we zXpL`?9ru6`kVd2Q+ob%SPK_k}I^pN&4HR-`Z+?$XalDI1!v?hMJ?2aQ$Q}Df#pyttxU#qc{9TIL!fXAb3T)`6dPi&m*e2bFvxQRT z6z|TKzc?LjYRtxxOAUbyHNb}YyE|JxvW_<7RKwWW0?=4N3U$hQe|Ybx()!chAg8W-WD0zfeE z_w=0@{+@De*s=~TwwM>g8TG83$@gK)$c_4FG-Fn$W3`|s1OJu$0ar#ZnNpKw5DD#U z)1i?9y7r@>G1EY&<^ffqjbmM#UXxRvc0sWNb)-T|snhf1S#NDwM)j`1LM%XA3Wi!1 z+GA)bYh=;Sobjo=RM<$1xumqbmTvJQS!-yCv{YiRyCdESmE}BvGz-LnyFAXGv=29g zNE&tw2HD6SqmVtLC`$vI5W)+yUBLI1<(=u(7cbleI!mXY;V!5LsEI9PVJz13ydD#2 zx4FKLTB>61ilzc5#j+}irn0y6yi;*Zo9=>E^?-B38hF(90|0ZOyHGujNRlSsVLa~# zaW3>o6r%e=O^~JMWRtfn>6KX@*Nro1@^YCBxfQHK9sJknCRTllN+kGN>Q&dgxCRX|YR*{O&r&eCN?8*Kj{k zrZmnUucNb9Jj(XQ1dBN+1{mp^i42{d0Vu`ND}GHR=US(mHfqRrHaR zF4Iomy+MPJh!AEP%qKkAyMHgxAhf-MpXwGb{?j!`0UBhIJ~)g9QNm?DRrJ_Fq9Mye zx7c65@lOT~f);{Wu79EQgC@>a^z5u+gx@H2i|u=Mi$`?~pafDFRZs$vy;3wz7S=_j zin5D^b$7yz$+0L0iw~B%A^3U^4!^HOGD^%WvKA;}X%g(MJPdseXe>iEM=jMqK4kL_ z9WzWyV?h@rzpMZ&c6H{^G00ze*iRYmxfAqG=$OuAY*dvA%M2<&V1+rkFyz5~ktb~- zHFL>WgV3FHD@ECGtJpY*(1qG}LFl%T@q3YzefkJpj4whvzPQiH&~J7v^7Ru#=zeU) zM)xp+5OT6eKWBo3m;GcAy5F^8<6SB<3i2ZiTcEJ2XxOdHyU9E)ql&)lyMAVQ%VUxm zyA76R!@&)>aZl%_H!&WT)g#&rT2Pw$OZo1Jt{kM%(tF`4LCq8Sb+eqpKuhFXc%ynY*_>ilTM|N2X^j$^Mk3D zA`F8@6I9VCRm+}$TA|DW;Fcr@^lRJVK)_FvD&I!4+9Uz!Z#+<-^uh9jG(^%p$?t86 zFib9n@fri@4KGTuM1V*mxP$@ezG%&O7FXjEK^`5kg8|A4b_RVnk;ZY-K15DH1fV0q z8+p_Q@n95@Oswt~r@tC{e^>*^s7A{IzBWPu-1}rndKPxX2*pu#?o!9)_d&?!9J#+h z$i6_xK3fRc_g$~<<3q^4YrVQH9gRX99+f1gLV8R3OkLy4{AMI zz&nhE8{CZ?E$pY*f1S<;ErNP9P3LuF4?ojiqV36vpeQyfBy1=Im%K8e9+E{p7`4R2 zOyrea0@z(|Zd9ZK_zrLfFaj}WRqNK%%ofU6;)fx?Gb=J>}2?m~y=mwk;fl z$PwSdK*_-{89`lbal(4 zwn}M{HBhk0JF#LyAEwtj`$Sd6!ADP2h1VcSaS#dwolz`NzK$LX!5$Q+bEA&TT#7pu zaW#)&gEi!_+F(z8wz}X_oN^7`o(v1qqRtoQV1^qOBT%x=X^0-U&qO{!UTQmyDmND0 z2CQ4^DcB%_EavpP(01aqFqokC9p?`yu>QB;b7$pWKND1e{Pc9l#A zOcrKjI{Nx&b`%W)Hm~L&2PVLc00qq1PbMcX9$sT~3`OaH;`TG^EWD4(-D|p*WgVRKQ4RAYB z)JVIV|3DAj^2~^aNIjGwDG-nnjSFexWRIoQ;DBI)ryVHTFQ&7D!*WC>oDK!|_kI?4 zz2voW#$#@vj%Tlvfs{pZ60?Q{{^0K?k!42*-z;~by{irn|iBT26D&QM36xa{|*QBOG zweEGfb_Kb1<9po?n8OOD4w7&PiS!KCd-K21L>4wK7P*{<9hq1JGt|N}DHEldZ2giT z{=(!>y7?Y4?!Iw$_%hD^GS2=o&i*pa{*=era*}zBczFr`M<$zf?2Ss#KDYKJ)P zsIa^6G(XuF$5u*2oz4sPCug-t41qwleIFB>O^jfabD|xb$JjmM7T=py|b zb&k~_^8~8MCymQq=7||P%{bH>fe0d;WrjCd)~5$OzL4hs6dgcNbQ;|!Bz zU(jn*ciJ%H76$6fo+X6($%^na z!*`6QN71s}>@@1o!M?EUG&x&jnhZN!l4e#vPUIAhYRi_@n%Kcs)?B6;Xzc~xDKS0~$P-9bLM2LpQg{fc}B*2Z7`D&DNpCdBw zRSFyBXI$~=?u^KnFxK@kjXJUd%EH~%Sf;zuV`A(VCjxZKx+5ZIP_(%oh5XmL?*8Ac zj$aqdSew`?40JeVQu(aAu(fwfZ`k1c`+7{=41ot-CKavtG6c53R=&oyO@sL$>AR@J z`5*##XL9rmv0FxCSDBCl0E^Lqj5{h2J2sX#kC|b@Im3tmVomoX!+mo%H){3i&|^h# zfrP6}+yzE4rZwO5?ZF>TC}u&xr((kZFgP#**;WAZ#q*VIVMV+z}ntOUPp2oTiFT`jOBoa-;f@AKz^Vx7dz`AN3>A6*se5MZH{2&Ukg3d6VgU z7yPpCoE>#~w2JBtC`WBJ#L-1z|&D4tiuvq z7)=BufSBh(JkbFK#_kellwIi;QTlAyDS|#Y`$nFDr`#{1rc-Bq)4>85=05`*$h}># zw{L757q6uD?VwfLm&W$>y2E(}akVR;z zD2v=u_0T58aDr9;J1rn?Z^Lf>V1r!=YLX*-uDK?)DSD1=@qpa&hhbqS!`V)g{>Zg2 z3qo*ON+I|xt6GwK%8&vVHaJ^*$zp=e<|r$_6y4~g(74gbFdav7CJyof&cIvdbr;Gt zyJt10K>!M?IibpeQNt@<90w4TAMI@!(5_MRLat4gs;f;nsE-8QvsVEkYIObw7Cz%D zqF6n>4?yG=i!m&Mj*1y$aZoa=^Zg71qMzZU3??vVQf<*G!dq@#Ew#uewl?vG&mTkx zr+0K>*GKTp&#x_0$zYZUmtoAvRgosg8j15|DV=!_N_U}?9+cX&U-EUuK^3e*BLP@} z-EBIa)+micO%)V4DNbYVaTE$wxmSa6AmNCW?ygRywK~}R z`osujy;C7xcfiGB1cPk-qSE~62M~=89i$O##4mnj=zBbXMsX>a{j(#C#+Xqa|MEB! z{TG&*zwro#S`yp9qUmv?#M%mpqt6q%mQ~mD8fEtYwgVhb5o*7&fP+*;D{QaDP|nm~ zyce#`PouT!z^3y#wlZX<=ft~buxZ13@Pi_W6kLtsZaTfz9*O=wbetchL|91REyuLW zpE?1RL_d|&kO6cGQe++JP?oj7Rjb>V8YyeYtw0e{_khK2p%{a*ewNY#$GX`O znwmW`f^9E73b&1l*Pcx=IYf#}@TBE!7c{wgh6;}-;eH>(n&fc4gP;&RIsDB@SUUG~ z8c>f~&R7dol_y)M0c9W*0g5Yw8UUd>Vox97BTe;ZK_x%I^kx7b3vo0sze_pDtl4q< z2EG73z5qU62Ye6+I4q-pkCU>?7r+PoAUeV=zocL0=h1`h`2-uJ^-&d8tZ0W!X=L*K zcD|2+8qtv~6(U4td#BX4YFsh#ep zs`*i-9mFD^+J<17WWlBuMW^=3h~RK)(@c$xcrct(;nO~~GqZG>YH2{V{O+f!K|0p1 ze~i{`#m?zFwW$H(qey+cr&@-=sVar*n<=hvYQw2nIMXDm<5ltw4NS z1a7DFgT_x%Ep5U$Rw8#wr?%^wsy-;(uYupZ^$u4#@IzMvqYhP+H(m(*B&}|9ugi~0 zs~1)Rnq97KFT8XfZ4atfK&$C>Sqy~$t#m!e)EyIb4SmaPLBd4oFeX={T%N`%PnV_W zL{OoJ0rjMfZvctv?J415EfAeYKEYb~JmV`44+9($IDE5jT58Nk3S^&OmkN&I7bOv9Rw=wV{q z2D!UG%ZN@7HrgDyO`I?y7vr{%KcXvw;Kx39kGksp{vXyooZ0`j-Twd$$ldMlaQ~00 zfkx==qLl~gVeC*w8UPKj(==NZq4#^*K<@(@=#(`ZJ|nP+HD^Kefs>K&8h|oP7Codr zWz%+cjs$>(88NT*pa1jgpZzkopXXHOQN^8x$cI9f0zvY3nn7z`l`|4Wjrlbz%_{Ti z!fjvsz*&4Y(FdRcMG!iVj+uqR8mEf3Rwu^TNj1jC={{@ z_effqQ>WecN>3NMr;L>ahNaV(PkKnBl==k_7MwiPe=}z%!ZX*4GaBkF``2tv*UKO6 zoimz_fveAGIyzBUcT|y{k?N5z>hKxfh5)0Yl`7yGA}$J|S2x)xHRsuzn5bi2&H#_@ zj(fs1>a=^3(_Zj_?6wn&umtsVAi3DBg)WSTdSRj*G7PnDSVfAnU)jqO4%>JOj%N1m z%$7vR@KEW5DN#Z1_82AIM#)h?X9V345s&GHvSavXQ4?f^RcU1m>#EXkh84D9Nf5bo zVjW->qNOkC4Ky9o#!MOV6|sVsul}#?A*e>;O+U zqD*tz1<_|&B}8Tjz|xK}G9tB%XMx~_BkH-<%qF58_{JHg8ul*kF#pLBouLM*b$g)J8lAUYKDs_RwZ2&~TTPwSAH6r8R|KM8}Oh zOoZ0tF2414k8nYFkh1WgqFlHm~s1 z*0a})_Tv@oiuLhOG3PU}fZZX!$m#}ADiDz$#i0h_=p>$U0f_`ao?+!s{p@L5-X&$D z6bcLi*!UdwVQ1&B;ueOo4KkgydM^OzhSw6Bq4*i_i`5I-g|y?8vTuX4PDT9-h5KFz zjNBDeM}aP8sy~>J!?kZ>2I!IloKgvTWPy+`;rrPi`~r0O^8#I9f*Zwtq#z$au|)!J z{^bH)xUpDxx1I6~5aG|_8d`Bxf7#y=XBrL6N#-!k4Fi*gNLMI=-RMZ&9hcAH$ zqFDHvhygmXSdGM>&sL>_nP&!jBk)Zwb1iPu+$6DZkU1%Zzb7b6^i>*lJagSK_kw_7iN zlr;+H9hRN|MM72-bQ*0s(DVqx;pC=E3E>vqAmF&oHK)yY z_Y7lnc*K(IEaOfy10xjwCc$B(y0KoUoZ)lNFURFt6W`!%IQ_ryUA)}5ql8v(J zRZ2Kj2fz_9hrdrt#$Q$n=n{Jpa0J>|<% zRul%6+aSxN0RiDLRD2}`S}@-8uF*l9ojL9KicYU%d=R%L1Edp!6gy=$f?D6) zmqFa0br3h%0P7u`D2+LzqfV;SEczFL z`|2p6Wcg)e`TEF`5G3K0SwB%rssHB9^&9`o9a#pT$ZkcM6JW0HjZl;iUSrroLmIct2Q?Vg z7hxPJs9gk%-k?UN10^{eapm2#+V+1b$XPL{v2(GLh;EnBDNsK<*so?H#$G8r6LIJPNA2@_$r(=HoZcF!)5{?8Xi8I~$<6zqo<8`xt{&=Hh|~K$WsR zi(35o2I9Bt;*%e&s`p+OA7ij8uZx?(s?=ydt02B-yHGrFP0Hu(dh`#v;}AAt6OEz| z05^CMEHNt0V@Xb>Sg%{ln@@UoEN;Wjw{U+Iq!adk3XmPOf4R4u$4O9J~(7>mpNd_=O0NX-{w5X4tevdt! zNs#3vy%=Y@&m^(VPMTmj-K~kX&bH`EDul&OBdZ6U;jOc62HPbn!JZC+Vje19GDyXO zV2ht2c%FU{AAZ7jOj_v@{NA;X-JnxeVev=&5)&2oqQIb9xLW{?TO&SkhEWgGu2B8SO0zHAv;B_D{c2QW!<~ zX>MWS4+y#)eXGVy=M|ZXC=9R^yB(TT5lfK1g7H2LV%F% zs`M$#DsC+>P{h~^#Lsl|yp}dAh1+8f!@V!V9DH6S!)&LJ=ldulKfGp`_nLO+&NWF2 z1Z_&((9q+wzPyBcW;od5T3@#Hro!N$sq4fu&9z5Ed-6* z%5plh0VnBr7hN!&G?;qSZYuc$nl4>fvXs<={)%RkJjz9u_kmNyO?PtC@os_R2i0yx z1Vk3ShSf7}r1-7()L|XD;QB%a`*oV8BSvv84Q!X}+y@c`zYv-Y8Qp=p86B8~IcUft z^q6M`W|}mw6GKDt1Z@d7Ecq`3vro@_7BN(WYJ3s{Gf!uhPlM193a;La4a}y^XCeLJ zg%$Sc49s{YXrx3`NDCSMV(%3MrQrO*r7%1IYN@w0Fw;Q}$)uQS77oeOt1ul${RmQT z&I|ktQtt~=Pe$sA;qlWz>V0G-rfH;}`)rVU-?b9cqc+G^4u?UpJMsl&tv0K&yl_NIYoYmt$@CXnY@I8rr4^5MDSA)%hn5iq2#tJ{2(_n^-j0@^*wB5w?}sM{JtDeB))0AFhj`rtIms%s1) zMg_m1eL&l=IfjM;+Q$%`MgJzu^l$cHv;Rw3JMS(!OU&zVih~aUjY<d-YDk$ zLT%!x4DZPDAqv8-~^#cQDL_q+%$rG6bb!QLc_4RK>7JjS$u`6L`}7b)E!!mfp7kq>Cqzoy|p? z(wa2Kdjxy(gS}ZAqwEcm*4r8h`N1O2pLq%VV5w*-qXaA`*ReEYKmy&tD4G)*A>bxx zjcL4yM1T&BVdr!U(U*>1=F{#RgdhN{?YY3Dvfkgk0&og7UI8F@JwiHKDWoa_8|kG7TlCe> zWIEEQKn;LRdkR8q3*yi49i~yxSl;orSBR$_n`V!v*GIx0PnFRm z>l%9>00mT+^DyPyQPENHEPNoYB0kg!cDXjEcc zQ!jtZLk}OA(|o-;P^dseuECwg9-4#f%e6(bQ8zA{n5YPr2Ev;h4mg@wJ`V}Tnnb-S zvPxMaAa2<~%dMj?dLID?Z~60xfcI~CFr`;i>MF(=6Twu=NrR%{R`r(Mcm0;dFlLuw zV^Inftl0^{v?S(vhN7@7z57B|mckgJRISD=0!lBhwAOSR(*Ubi+vyh zNVG%Z{7MnkY)k)hS!~E1r|SgWvpD7D>@twRuJ?9Kvy`>wIcicqWlT?c`3%3`usFR= z21U&fLWBV0(AY@J(_&5Zbd3%&$Mzn#)cXT_kXiGY9N71zfwE*ovF@bK`)3viMUsGR z3!#A2aas4p!RV<98V#UJ$oyRrOZU&CICzjSP8x;Xpyx1%z#t-N%ALE&ACK+3VJQ;Y zH)ul`phnJs2Hk859~%h;ue;PZ}O=+<~Bpv-TdsgOnpVfCCE(hT;pGUZS zT-&3IgR)W&!F}j8Tu6Nsc@Ua)X&|B!MuI&8<6k`?yY{nsw7*OIJtkCFekZDjhskM zn`qS)Ju+@ocRbcd`$&GSLmJykk%*->JVnX?XVX)%bzYSTy#)!bDvz3#CSiO<&q{T% zpYQA7QS!FIYXd=*c7s+oGYQAN4N9}=1Jh%F`Phy0n;h-veGsaV??XE<%;oVG9q2lt z!~-k6M@PS-WU-q7`)FSh&l0LW+`>xYAy!@@UI@yZo|2`Db1S{mmZ{N)*c zee#?G53`0L&H?A4%NQQ$qjL@t!JQUKR7L_gBF`2O`H!4~ArtCdVladMB)H)x!#MzT zpb~TJ1ULeLy_}v|8h~=#vmFFqPF4mXw0q1_5+M+cosrU632>$20l$Pj7s6? zMU!M{>4r~L)D5IXW8@Her@M@3$#Q*OQE^90;UmN%MV{3C34c1NpUM_Wv6exqv_`Ldj4J)nWhfNR z+eJEWr4!yvp78T!OX@0HZigUgaOC~{7=q|gS~vmmyvOhW+T|xI+Qkq=BdY;EzZ0<_ z!qi5Q;d3xG>d8Ac0D&NsC{6tuOzpPuJjzF4qevkAwvMKz|sgbg$we~jiQCcG}_Qgv?m^W50LEi zlnRiH9;AnsI8m!i*ZzDM)J5VYjg4;Bw@aH0$uuO@bb3i;bbEKBl^jh99Q$Zccg4x0 z8~z!CXG-_uden(}2EXeL8XVn}^~7rfF7q;&5uOB~>KUTanDZ&eYY>DdNP6(F*uTrz zY6H=aI$dbOp)d@UB|c7?p=VZB5%D8vjd_Pzu^x?ol!ddt2+w_GHy4~b27b(1m+|-O zcQ86SB$(M6$#MA7^i0l}*eHZS1jaS*?S+=k;3C2v_8cgY^4%C)P?{Kk26m(#>3gts zPd6|s_Y4Ykaf(7FCguWwbOrYGR?vv*Iz#VL?(ZeDOf)B=cQ%L-u>mKEL-tH9FnQo^ zsWx@c#F&?SRjVwY##cGEWtbrhpS(`3t7D-45{8-_Tb`Dob@w8x%Q zPRmV`u9Jmqc)I#VLb=vel`CeEAefnS( z+oU(&t$Fgt2CJZ#r6g@0sq2dnG$vkS8LTpBo(!GzQS;6v$Wt}$nnlVbBqLL8cq-CKaQmG!k>l2f6BMFR_ zT1+Zb+-*fO}_H$UUi!+W{UKe$Z^_`UV+(Q1IAz$et_?;fy+x3}dbE%K9{>&$?i zGO`8Fl$B6Vqn|GW_AdkW*9Ytl%{9^eQP3hk;jF$4*c<3`o1;BykwZg~=w7eMaht)z zs7xQhaYYkYR$)-o0@Iq!l)*j|qq){l9>AbSw}XpQHlYp7fEeb7iAmp}M;41<2LF`3+9-PUUX#~p>jLAsdNF%PL zvUGU;Q5M!?4F6!(xphR|%n++@QFK%&+{8j@p;E8%=SJDLb1;TibYvbg{cR2z#>Da! z4H6W%Rf>9YO25l!bdRp1qfyomL8OESr3|bvRMHlq7iiD;Ub^QEP}xDpA`IzRC~DCv zFtnA=V-HnwxW@uS3NxeKd{|*%T3Y}h@&E%907y_m2*EAlk>wUlZ6bSDPVsv?5#XKDX$*j@Ga=h&Z16j-)|8R!2)V5ZV^sXcAh9;N=g< zJ=4jHj}uoUhju}})jrx{8^p=3Z(?N+zUm?FDQ6suzm7>M=>(lk(BlDMQ5_rUKJ#1zmv!Xd!qJxl?KK8MOV>+uM{J0D~n?p5arxnrv^RH0(hXeW2QB`lapn zeQf)AFlQz80paK9-11JeJp9YFcU|y ztdgeSjwgd&Ku0kQ0+X6xC6}PijAM~5{9@gaW!^TYMzMa>*W5?}^Z~FkH=4qqLksV| z!Wm`pq*Gr96^?k!7p$>9Rye9hgJ|moU8=cKb8USF;jI_Z&0TF+Hh^S9m=x7DE`6X~ zXe!?Qs1_)()D8NhhSDL^(?fZ{EBBUv1+PqJ7PBZI4JjLW6udI|vx81~1roU}Y^GtK&9Q z4&p$8t1m?(d(A$Qa*8rH$3ZdG=T<*Yx36z<*ObIPj}m7!~CmW4Xh(!Sa6hp5pf4}Jw?Aq;_E9kAwUP}JySgI}T9 z?UT4{jlI*a%m@W+9l)K?B*(N4~@DV#o&?lm% z8N^8F2#zl%!Wv}RN2;l23qxW7>z)Jm@eT%Wzypbj92H&R`8^u;M)0N(qE7*)W+q zIXD!VdERaLEZ@Oszrot;8@Llbf-y03NJom$r!%ovp`Q=MP(;G%fP<8R+%<)n@mWCP zrP=O5$7EDXXUb8M{C>FERcsVQ9TUBj42E(X>X`My$!=fYU>ippfU5BNXv|&=iqEev zAPZ*TG`+siBiwn<>9?cJTw()lCVYqPu8|4umb4Bm%+BBxZ_m7E(}WF+*Jf$GIMso% zeC)oB4zW0Hs5WlgFt;Pc4VHnWVA*5w@wD&;Uz>IXH&5#hNL(3CM(W2!t7asZe%v{u z09Ok$T(deMmX&r?Y}SW&X4 zJpAE{r@XuipqzvA%29{EOF8Ej%{w}WVpLmXP#$88jB3L^|7d95hj?v9<-({YVI(4d zpl|vZ6rK_7*g!R&vLuezv@SPG)#FXu*Lydk_e@8HZ>8S+jn0OK&IU#esFHd_misXM zy{3SrgF``^^+KoxYi_te3%Wim^_H5SdF9+3g+iS3?d37wKA)Sud3#eqdy5#Oe%OBw z)lJ*6kcR0}0HCx6$S3ud0wRSD0i#$TM-}AAM+t(1trRxVgT;`Y2ovvf;Yx&`$C>7KRX^J1wXv|ITDCz|VBkak*n;|rU$*Ho`vC7u-@BUFKJ zkXoiW-f7wRuKYy2gX3}^t@0gO4uF+IyF%^yX{o=ObMreT4c{e4QR%LkavXzo~u$ncqC$jl8U9o-6#lK^0~ z_lsEr^5W}44|At!WI_vmjuD>j-dW%1Bzg{o_?J@01AfMkQ*tPJt~tC zd8)jYmvVIdrtLDgq1Z{26|Non6mn6|#+fMUUFlOi-p4(-G2xx}j*AhjKoT#200K8I zJ+teFr5Fgtx@LPrSH&b|NWW_j0izc|-J^9hXUtsGbCE2zt3MB3k)0N+)V?qx$mi2Q zBeMaU7&#vd*v3Fb#W&gvMY008k+8v^3>w+N)YT56kps}kG>?3T)J#tWG`Q281lx|D z(Rqa@f3Gc`XC`WiRG_82!qsxZEM28$OPiW4pLl9U<{+W;Y)1mx;*pwtcb?I+sTod` zmbUN^(9ofl9Ug_Bqa*F45&jU+2$EE3gb#W~3mC%3)J({fq}0qFH+z3TiwJ0gsTo43 z6_Y_JHRBK}$frvI&A?HTBxqjIh>L@2&>H;|YV9csYO1GK^$2?$9RL#2#B+0!!i2NW zb6EBNvG;d9vMb4zDEL?EwIA*U{gdQl-8(I)08Leogo7^3OQO3=Gc90nYfvgRF#moc zGHfl6pocll$jCgo_sO?X=)}$->2x<+wm!C_onpXVc4!R6E5{J%Ji|qRA9M}&9d0ei zNrCgg1ipugTc4wy?Z99uG(`5OG6vKk7!p~`4fcpk#fy1@e~2SB2GFW>&GD%av)%W> zJ0avi5XhX&rOIf)3tqyseIH)HtneC{ft|uR4tPmrKx7tqQsRhHj;d;8!tS~PU+$-O zswkb~9i>-3&^a#6=MJba{JQ#4OLGO50iMSd7*4|E5k<^vEV$~3iQ=Vwf4*_*-lpMX z0&xe!kBJG-Stp>1TB=16kbrtVHqbhWXyixGQaCwnub}qE$pUI!gjh&a%M{f5#yKY$ z{d^rHdVL@og;mD!cRP@D;yvgAwEd8aPkl^b@52qo8;wj}pa4A>Uso~m!T4sRcIlsZ z@jY>sui<6u9uio5I$vY2PIPWFNi=GAHJ^;!y6}vSqMR4dg%bF>x?N%hfsWQ1V8@+3 z8ob(s&nGF4=BjQ&ioD>>$^FiIRQdHk20cS_a(|#31RpbFk}D5Eu40lsYO>J5b_s*t znfRe9S^#$(qb<+ylmVG+7hYM6kWV9C{bn_)hZ)PhnqW=U5|NF)n7+k3ce@}3QdpS9 zEbp};APHhD2y0@`0DH|AzjrKqw|Ha|mhku{51kosEa&a9Y?EXdm_xzq&rncyZ6Cy} zws7PJQv`)TFJrv#F55O{FKxK}1h%5;&e|AI^4@{;4c`WnCHS8G$O4pQ_L-u@8Kgy2 zKV%yjmA*SV+4pkY?S>3LHvlKBtJP%^gf1{tT!#$omyc4*Rz4 z&ATl?W<8Suyj3mh#7LfJ$e>saFW^oqQN3zMRE%`oL&cbNsx(P~G{Fa+tSg3`C)QB8TsE~gr_p6I3ZjV)PNp+F+iQX2Rq|mB8h{66m3q$ZfF3)j4={F3 zFM1hrai5U|b!OS_F9Z2CNWnafa6B2F!fu*Js<%^esje0zgxmNw!~{hOSlN>?-fG}v zw$%J)J|s3paD;L*ppKd>Bb(9Q?H#jm4zjSVo<6n}H=VoDFMO05JCLCcn~H(fXNEqA z1q4ojt}bsy2h5W5wgxs_yqaq^J{z zg}H5;-BpTS3NEkFg^+66p$9;%OL1w}UJzVE2uJQ$uP)`GCxqEMxAw#j6gZ~HVDFEn?(D0b?_)PbRSTHgQGfc>3=%jI5lpMd>{+1pWX3(!7(KPZ-gkzlnTC68F}Tg zxPY>8Z7x9{g(SHE8Y##ur&T%qMW?M{1BUU*@W4kXrI&tiER|Ef6Dg;Kv_qYl978D~ z@W&G5l=5XV!{TgWAoI^?ND{{XI<`U4HS1uwPV}!5XG{g-3E&~1CRkpJ%L>`K_V-%P z%e6rdfCIhxj_BE>ygk@<;o6YZv$MsD0(}*q1d~e7+OT`OSGdpPLZbYr*oURH!l4yB z8{}aybb_`P-{}hKz5Q@E>BQT)gR@yMuI70@m=}tpSxY)u+99WHivj``2&j8AS74s(u->V zwKP6g0~kCLt_Ywv+#|?7)f#gmv$|s&2Uzq>5ah&yE?kNk9eVOU?g|nB9^HznSMLJZ z)u1qrs@DJ?w-_U^gWwb*bbp7BTTYF}hDDNdJ~e`TJo>$lo54pNW}pMtF!b~s{d49j z+I;71gq4?VLWUj26D_)OuyK~{3U`F`T2BD48LMnD?K#MX@?>Umj)gg~JePgy6Iht) zyxq$I3?jP?bZ;f4>I===bwU3>{=>gqYrJL6Z%*P#vk_#5SLFxC_vOb$>o#Qtf`EgP zzBD@aBETjS-P4L4T74QkTo){Kcrf=Kkldj$b0A5CFUJd;GcWT~Z_Wlgbl03=jCZ6t zGqeKs5Qg~nHXXBm(8|uI`oc06Oy3IOFo9;d-nxJ=bz9m`Vf`SimAO5BkG?Q0pP(;< zV0x=W-q9C|nqyK0%!*)I{@l9#l{@-E8C%sw)iZvL6b8i3rj2TEPhXghT0bm`gTtP_ zFhlnooz@Q@ox*UdIiGPsuYHNL1_594>Yyrb{X#fM*~AO7_b^7!aCS_u8?#x-R=piF z3`QeH8hR`!GSQJw)SB|`ckae);AG%C0vZ>~e0Xpg{r)e1`P;w#`@i3uJB}Shf^es< z_;_^gxc%Psok^n|MALekIED$@iFFp`dw0y;A4C=Y3*h5|Ub*4CeYt~3>X5k|vo4{# z$flbO`3E?6!2DRVws@W+D7vCDZ@;#45Y0GHoE}6qDBrU>cQ`$WU^DBDc!#r3^f`AF zh`{q<4FqE!`6iG;uBuQ#z4?>I6Kr|PYh3e!8aMm<%7c)bOg2!yH1soKqK?@rZO=9DvrQWb$Sc{zTn zVrbuz3AI!8$%$n>Riy=zG$d*|fwO2h=iB=Y^Nql-d&KZkO14k9}N?D=+R6wi0 zX^U}=Pt{p=jk&{AcTUv>b(Q+}o}P}g+?Ba%MT2JFi(WmwLkM(1qk4x}D3wFB+Pc z9Titf>szeG@hGeUWJpQh%Sp)7lf`gLYiTcs<8(}(6Og48q>l1ZWQdxh@jWSCw#sPr+u)v*WKYW5J;_juj`k!cxOQq!@*qv= z*jPxArcNAs#Q*pPBwlM{AWafY(Y00&NE7e^#9;y-+3a>-4{4IAL_LHw0Y3ZgcWj@vCkV@6a&E1BcL~WXuQ2 z1)%}7Sr5XvEWN{?pl3=_KCC{a|3hArd?59cC7E?x%6UWlpeUZVl5E|l>sKh{hTL** zTKpj|fwPXUdXM7t^=mx}9Jhp}Ul#S}vqu3_r}ZeRuV3p?2yce%`P26(;s%D>#2%#@ z9apq6)Yqb)D?|UNs=BYM&Fgs}b_E4!be7^K4{||Q5LF9dhJ; zg)__lx+!~EymuRs>@p&6OnS04CAj$YQu-vje{XvJ?Ed=S|KER?b8eY^{Zw3AD8;5` zJ2CP!Y?sdIgWM}R`rcO!AG-5-?F>cHf4B3YSk>k2!pfo>bX;LgU@i_qXx0GIx%yI9sW@lQ%SgTKD_c7AtO#MkU{*l;VgVLL+rC>|B|r9>PA?y5A;B-& z_NUL<_Du$@?npBlw4xd*?bKJ7`ewUH5!N(@QMV?=FucZI!+g5z;Aoar`K0u0*M>@y zuRfgTUuT7$-1ZAmHclqm$U_>~FTj3QuC7(i^hvb)(q*n9^H`^m6u&uq8enG?5LQjB2*s3VPc z>Mc1FQQVsgbq#9tLg^Wj7y7Q^#;Yo*UuR1Aysk8C=3Yxg!2@EZ1Ez2|^x*<6<$4Z> zl`p?rTW7mUpZ<`dLXK{^FK zpkol&1VUtqDzP~rCNFD8xK0^yy^TDe8*t>!sY?h?H*QWD`~oroK@C(%R>%TP%>R;I z+KeDQ=W-a1U2lLcO=uZGFYmx0sf;;vc~d?|6&-FyrYP+rw68kVBj7Xu#t8ds3!-eU zCcRegzz^uaEBIKo1lO1nCsw!E2Z8~-3R3dsK2V0Qg52C(wtdsU!S=3jmK_uZ8QYFg0+N$ox@>)fI^y7e-kJXmfH)z5yz>t`82Ysf(OV69V z*g_F9k@KCJwIJsuBg#lxI+yhRAYe^ivv7R%*5L?( zzyz?t@j9-^Sr7T}fWi%1ipx|ue47e?n+m_!9%^gz^u! zT5CC#+k&~XO=#FLi){E97DT+9y7=p%>CPZjV@dU9AGCRtye&s^aLIt!0l#GAQNPeH z`Pdm7!5rHDdcR-tWfhBGGKQP$jj8r-psb&<(lE_{HOm5B_WZTaSZNUE#{2c0u~7_t z=CJ*VAK;hlGVX_Ab^WnbPThCL z;%rV)`FrXai=%RKS}>-n9L~FEEEM%y&w$-C7JkVxH9Qdz8nc&T-guVii!oOZdO#@n z)s&XWCf8UMJ#d5RJOi3A?Xb{Hb|Ha$Ikvrn`zBx^Y+5%z=?Sud|Aee=u#gSs(e*O+ z`NUqzJbe2BfHrtbowV?QVXDzC-7GENjVyK76pYx#_*F20Tn3S)5JWJ^x%oDmAew_H znr~jyNcF%A0$di%5(QO?mqm)V`h3PIyt|w5BiQQ<6@hD@zLm*4L~8mbY!gg!RjK05 z3=L2!X$WS)v|&WI`1pY=4=Ye-=~PTyh#~#{sH(7G^9U{(VDnpc73lXPY##J`Y(xO- z`!=Yj-*XHFT-<09p*qXYZbCN%p@bw6|2fFh0}e=LYS|nIJ)89fd3w(s+8&py({%vE z$i$ZjRD%<;Tm!Gbxsif(59R74V-r*VKYXi>o>uHk5wBYH!s)dMyy~F#qY`+px9Jm{ zW0+Ej!i?XsOzZ#j&(BF@hPCL;_P(PC%Ek)P*#HCqevvl?%c|PSB-xpm-`Jv>48xK? zUvJ2>*oybI zMRv-)UF?7tV(S$9Y|*I9QcbLy7k z8O}kh{VX#c!&#AdyQR}V&N6RTzP=yFI?j6AIYSV{^s>vy2h(d2t@UD%Q+kM zV7n58YfPoL2Wt!idJ#fcs-nkCex?BkbUC`U3gHw;9qt`FG)xAv1>P@0-DcQNe~wjD z?8WNryPbj2*K_s^f_B=y38vUqvmb(y)8lw5i4Lv#qmS2?!L}!RW7WjglCf%8u-{VE zwN+#|STlWxp~uzNRskeP>`qFC)c}6&JdqjxuW9x!6ge|!S`h{7ik1W)8!nJ$t3Z9r z?8gL)Nv{@9LPJt+y#7O;UqpiT43VWkV&Mf6wlIr`?Rs##9$x2zCBoJe3~S00F;c(7 zY`?>7U)F!fVo*WA2yj59(IR`UR%E-?l3aHCG8p2cAj)wKe+!-98E*?u512Ixx<*GTh23> zj34;81z8HgQfZ$U;(7fQ78&@gP|D2#G9h(hD?_|##aMop<8xir$I6)<1+PKYMlnVT z9Q87YUc)wV+++C^1h9#dKH59woS_q23|Hs%)sllY7F71|)k?a+GeF@-_3D;j&_D0I z4HuXkQ|x`A_WL{O_AJ;qlcoiAn5zA2#1C$JaD>nY)}5oL^a&=*Bb{&gOUYJRTJwk# zJ7@_1n>UKeTPbpk75HS8*;g;lh{{K|^!z8P*Jb5FG;Rmi`S?=0tho92chwn{RBv$ez*JmK?`6^7qy_;?TBzUCQ2lnZjc< z+tO;ZJ@(1YB~${sAW1eDF0|!O5l{;5m8MqJc z8-kO36y*KCa~y(0uj<3c@8Bi}@y81$ux+@Qy{&?b|2LCQDBnp2 zoK*D&E>{hrr?!m&*7hLmffs8r(H`GM?XEheYB_yWpvm0C;qOmIXbE(aP;T5pX`VS^2zjW3wo(l_S{X&x*n=k;J z!mMA$J9YZvv;GPb1|D24SaSlbSvNoN*Pr!I+_GJ;CTIPsU{f(+kO!{+Jq6Yj;?n9A zh{{N&=IQwpS76Nq%ufq4)@J<+NIeQs>gBV3W;{pb3SU3#pC8kq51pf4-oDs^N4o66 zpr@#)0INWXw=g3#1@RcmLN6s2hV$T9w}L=z5`xUjBDlSz(Jls6(q2|Hr=7mpVhFca zIY2lRq;3guH5}w;5Ja~OKoCT<<{%EOQAJf2DZE!0O2CF^?YL}rah1~XQw9L^#u8OM z5(6z>B?yDf0IG=KGcT&}fnuP<;vFC8CU zA}5)SxA3Z+3$S6sOvI4ZL+aoM&f9Fp^ zSZK6irIk=631IPNjW_%&5f(fQtoaxN3qjdqsKUs=`i*U9-wdp82G%zN%d?^F5^UzH zcZ@TjFvAL&)LU3XSOf_MQFwLpzc}}wnRX}D@JJL6T>!pw=Q*`|9oIYiwBNb>Ki<{~ z0k#zmlHW85y(6HbpJZd5EZmLb18ujP8M;L#6o7B1bC()9dXp|1SBrhnezfBI!tsXn zAl99XZOpC9V3>)y4v2$b-1K%7EnQUp4sBmB4z^fr{8=+JyMhDGD^3BF5?JZTnkxf7 zhqwooW}+$s(e>Qi@$&P%W^xG~DpnEQgLLJ6flWT}D+X-5#zNqI<+&{cWYNSfX>{N3 zKhXicrT^Ggkp?k&87-pbs@o*{Iv{i*dkEeZfDAIDiNBTN9 zsG zUDkt}ULc!NA`t>v#ClEzc4QWnGGd6~6pii|0A*a1ddkAC+x_g}s5%<0|#B z?I+WAZ#Xs2`=wmh#j#VVP4RFK1|(&-kU_o(BoTyL2!GkObBuN_D&RQ|Y3k ziP-9;=R%4-W4p#r(^GNVM2z81_(1nYqk|s5gNfL{bP@xw%}gm-5B#HysO02(#R5CY z100)oxU4#9E;cR?WEf=Ot=(J-V;Dx)mD5< zt(o;&GtVHf!yQw)o;#PQ?#v@k8Xy21JuJiG;hE>$t=lQj%xO90`x>jAdGn@qz?nC& zh~SyGADlR_goUMs5o@p%vR<;ba03G2QIUj2T^<_*c?^6%61e8-4GSv_W!G&Xd)5zk zbsr1zYXjhwg2H^DCET*UdA1l}u5lsjB|0%y9ouM8x8A1tZ4S4a+HhOMyr_^J`hu@+ zT?rHgMCovP4hV!&$uL?1p|rgU;WfVC7JX#AjzN8oyFg^e9t5Ye{OcQ+0BHs<@FiGE z#hC~vsiG&B!kcIYW|LRs{TOx(t12QNp&GQr`f)TR>|FDZYA_**Qr68nivwcUSN|Li zh(h@}>wx%iRD(};K$LYpa3oYH3PNq@oD+ZJ4v1h3qk2vy4awH zcC;3@yFK5Iut9%oVY@#)8`Qg_rDHo<2I*@1y*=_2R?bm2sPevlm9X82KiC=+qt0u^ zR+xM{T1ltLQ$wBCo_qinkE=D$1nPk#MtK4@2hmc8m<`yf4hmjMUN08}jw%>R=YZkw zV2Ur(k(Mrt4^&)$*((cyMCo_(%P3=RR2;2PAcYCmIyx#Y^=k@U*4S%^B-c1UZ{Hjz zcm$z^5>RqLVWJ=iZGW4;e(dbR>jv9US~Tl0P109rdrD|>VSrQ&J>`(CWKGfH3kHK- zEc_U8pY=snk*WvN&aBCJnk8B0U_&DirxdbTb-}Sr-Qq+uqJMH4&1#gOfTKHw%ab-7qWHq z*9ycz=0*wh6^nTmxBu*%i}2X9tNv#<$IW-hkN)6Eyw`4wL|Keko86H9Xz?VmPjS9$ zvF90si0-sPDc8%!<$mB^4!5*9p$d~Xty(=a5AK*g^PvEa1l<{h!58M&O}46cx%?0> z(C|=p4nLF3(<>}MQN&k$_=(8O85L29z~w&r^>^E0;7oxdgcNhJPvLB1JNXX82<`PZ zbQi}XRkPEw9s@|Yb_Ds|!Q!H@tK=zfxmZUY_(eiZ42Vu{-noWl@rO~|-*q0e%=yi{ z0Jdbjz>X9xs!^14@L4)FP;%njnG7d+mZ!&7-bpdXR@e_jpH+GfBV|JKPiqZW%qlEw!3kYV%pO zn*1H8)uQZ((Xx%~n#M55%cq+SA&ZODGz;u|(^H3NGIJd#`&@c(k(?%Hv=4|zWIbT7 zvJ_8e{L{0W(FM{j)fnIh4Wyc2lTa57siw-2Y4XmrSw5DX*fj<%hlm|Ax$1GMr(@7E z@{pL;Su{qVysfwC-PK&;ryPUA?vnS;H3nV2{up%Y1+g~!PK;>`VEWL1VHW>|Y{rx1 zH%$xt7i43br2d#@i@NROWy)_Wy-{PMBf_DDbi{S@x}7Wgu7g(Zpe0C4 zfAs;Ca~pa=T@DH_ZJHENoYAqj+k8UG;y_++w4d9zXSXZPa5qy3qQsOb$>^d)o!AR% zN~MGbo4WyMHK@s`2da>NrFXgRLT7_tMsjM8|L!}_|aXT#H(N0Xn==0c4?6>B8F4XPi5XU!!pU(Mw z!Q@`6JT=GkpnPwy6vULv$%vEpv1-2HU&i@-J<9P#4Vj$&h;dcO!Mmj(u2FKP&H+R7 zS7k5|7@}Ip0St|Xc-}}ys01q!V%f%O(#wK=h$s8Q@|qCl%gN}Rg2=uWU?1EDN*|mo zSlkI#;CkT6Q_Zc{pp4qXs)WnF#g)TGTFh?Z3+Qmbre=`E26VWMXgSHXpQpolgJ(Y9 zyz7K+&gZS=Jie`2tU1nN^sHI@>BMChBfR> z4k()&jbrx15?Du*OMeQq%#Z0x8DI~l?v4qqMr+XJgPu{^j5P*ISJFnuOwf@IYDG5KDTJ zfu|+Qsf=KuZYU<*j6 zT6l%($!0hjyz+Rtn7dUf2VdDg_&^y4B3La~w{NxZzE64yJyH&5YIqVX5C-NksN^uJ z5bUD&@$W*D8f^p42D9;1IDF`PjWP6_z_eLsuhAqDOGw;8vc(d6ym7ZW$h}7k z_Re;)z`{gtL1|%9HhXk2)N?1!A8(b!Z5nMaz4UbGLr(F8eVcDWFhC!sLOr-akSrTk zq1=OM;)91Im_Da(v}xKFxV>K+@xlpGlvA#8(>Cb$Kh2@ z3vyi10U}{PCk4mLEpVCpE5o`4dmlWlEcrkZpX%uZxtaZG{D9V%x7b4H2^oG>!7?lKy8c^uL&E*W{^LQQJ2QmY= z!AnK;r@jdh{lQ6s!p}6wv{9YBjacqxnqvPpcpwF|)rB<*j#0w{*}aT>%~AD&$N~c< z*m|?8(Z@LS1(>+WVO01M*~oP^yLj_pd*=-4=;FZj8{ffo%;1`z%Y%KOI@K>gb-LyE zPAjQSVrSTOyFZ7Wp==k-FZbCQ7T6hnJ*v~Uo#D5gp^xhH5q5?LcQhGJb}=J<9Z#5| zc#5?w*qgBDXU&5B@;aV^icAx_JpUSu8M2qW`Pn)iI66*#)C**rmwb2$md=A(_e>lLrn)&6wT6Eol&4 zvI=hl^f$JQ)zC5yj`jci`#;|f^y$!PE;V*Pb$mF@A-8=8AoSDx#wiOya)U0%`>j7| z5z9|bb7Tz8Fkz^Q%g@(4&84xL4@og5sak7uYk&P|F2`2eA8e<&Y?E0v3*M1pIQx^i zv4T9O`7EwWbE9C|PIC-BX63BYPIEZ-M#Z^TJI#@^K5JINukKIgptKo#r}=dszI8ax z(|myxLvZ*56bd)wb;JgkjxR`^!eFFNEP0I$@UVXIl7IlZlDdH0j**GlMqFFO8^&a! zX3}3QPW^@K?|}(~GSD*lca1A5?>zL=jc%`DzB~GgOF`o-3^UZZDDv8ra-hqRRgIB$ zV+yHML1qWS<+7Q2yuu6a{nDK(SDBs!(0YS(Y9XECvs~AbcRDvI;;5mcnY^6M(F|4L z2TPH>cD>ZLwUQlCqiV_C7+r8hl>IB32FRnM!aa5S5zN7cM}<4-K5kYcx#fc`LDDuF z)1z|Eb#i0&y2F3E+~znX%v8Z;E+GWT9WlD)JpLbkFF{A78$M&0+%E zIVF%h^p1qi-{eiA$5z{8{wH)vLtlO>zw2=W^*(pl?KO9u_JLYs2<%0{5*^=PSYvpJ ztTGL$Tbg?r?4Zl0<8KxZ78~WU#pbd|(qOepJ6ZP0a!lm1qS-a>>(30 zy8|9L^4Vven6iyc2AFYAw;n~e9+LIcy#1^Ysw6Oq`+krdl8`0?z$QcGXFsdwip__n z%i_zB%C;7Hm@XHYF276p)ai1VkUuFGJ5}L|b=~#DbXjVII)TcAQvA{M;&-0u@&erj z|FSk+elodra>eQqu2?OYE~o7Pyq_+UO;xn{>(epuEHA~}4W)Oc%QCY{+o|n%y38!# zS>;C$3DFConu$W!S4smnRt#x8mJ4T~70&jHP#`%Lzl` zq%kJ6>2knySq_zZD!w8{%9zrG1+ir?u|)?(6pJwuP^}~n8k}2lH`AcfLYAqEkw$2=ybp?nun(YO5HRpb*Cbw z?hGR3O{5q?N7H;Lb){edx2e);HGS>VLC}V|)t|?Rl;Oip2X)&Wm%0@XK=qh@zRQCw zJUL|%pp(R{H}y`bD@Dtkou1Z+MbTsm%IScZlDiqF<#cEz^5T?5wBtx~m{z{HAf==@ zOI>X8dE58x5-AI+@-jLd_QA05R_f$7(rnJsn`y#8MT}fG`ZfVcJ?W|CjqFH*fL)F2 z1b=`lolZyq8DoM6qYOuKAKcuGG~vZY>Ku$2EOQ{u7~^`y&M6jy|463*Cw9Q{k%=9L zzoZ646}WAJYCL1W_!i$Z6YfB}O_7e8@;EJ#xwLWSgUm6R#aHN8_}5m-8X^K1uBWlo=pg`G2kVEazNB*>>Bw;5Kdvake#T{f^ai;zZ$vq$>xY6#TGGq zc9q+B0=22Q6Oi45h?yaqc+Z&X)z8!$E`tX8k0sA}a5LFV4wijl#xC za8su+L^j}7@obHjui99$>Wc{6rJ$bwk z@6Hy15R#3L02G}gk+&zQ(8WywD0_!Vx*aBqGd7yLYwRfNhP(Tx-~T~r-3(ijv+Tad z?qqI_b)eglVHyP9L=iMJ6{5eSff+ z1{!xnFJ6IFP12au+hyQ-4jwe!4+UQ`xgVZbp5O= zLC8w5s2~hRy!jd+=&Xk_sKLmyYhvm_oekI(bv6V@Y5ScgM!c-Eo5JjP19d0fuh)2B zUoFV-G23xbMd&?7oB?N|7F^q2Y24sO)HYW#5N>@CS*hWOR@m(VK_ zo?yX`V+thQ!9pYm2JQnawe;9D{vD9k0qZm{d$)>Iuy}8n(IRyqmDw467!Ns8k3VKf=sL2tX97s;}jG*uhlBd*|uDy zJ#)68d~b(wDpbC@YTk3o_rM9v3Y}fy27w}e$J?+mPQijt_4(gZtyb5gj8l-2lE!g; zIpY*ot0K}qL5_@vHZoc2SM!O%MrI^OW+5armr1dm>;fCU$&sHzj-<<^j~sc_W%AR> zk%B*V-quzfkn);qE|YX({w$Zt&nHLXFM3@jSI7g#E|Vt0nX@ww()9&Q7=vtTVKH>( zHy~;_Ot=~;d#CbwhHnc%r2tP%-7uyi^_vZjAX8mN@LedMK#)wHD(wSPgR?V7x%7js z$mZ6|fcY52oh?|L^L8V6-WP~>`W|3q1qPdV5hnd%5D0^kJc7k#AxH?% zu5i;l<$)Lj!3&sq5Y9G@3U*=UP~zJ!Zg*~EF>%I}_h(E%nVI+uQOQd}C*QBbOGQfn zT7f$SSsMBTn7mOES!lIm73JM$n~&Imd#V=wlY3%2nY0Rj08oa*V79nV*Hm zx9U#WNG;5Go^USAmb1uMPuuDJ=y~*laBc_NKC?!ST{$aWvF_>I?$`Tl7UR1p91^kw*%-f*-vVd*i)U9R3(Q6Fbx86ce`M30twOS_{?51h0kF>x+mbSQ5KX zbglz`3IWk0V*}(n-K_f#UX%X>0mk4qWD8T#SS@(VKselmE^JPx*HAB3wq>A&aBU(T zx<%oD;mPNysH_LZvhWxoDgODe=sDb}Hq*PWnoslsU@I{W2t1VfvF)`NSp+c3#_rDG zQ9Z@vQYP+p5cC)dXbl$Ky*6*hUEm%-@`xY_>mULFN(PdvKou3QY^A}naFvau>+I#t9g%^ zx3OSBQfHA4rhC_|vWvw257iaBF^eOwO>yRCU#yCa)W0QC#4=du6`UW0IFM;73j}(U>d>K#l0~ zOT7+GU5BgeZ2^#3kOC-J9EYq$nAe-1Rx==ZNLLVb&OcQk&}I=BPA4)duQ${;`wVg@ z(Kji`cq)req**O8yGzElO4x|+XUWS&yXHFNOV}}N3j5&Vm zKuFW{8gimJe4<8+&B-n+P|DzGF=y83cekUv46AqfGc=igcd|cQj%h=P)|J`g6)}*2o-qeIXJ~UdGrZBi46ozMPd>vS*ceXr*kp(x7+#>gz?3k< z``TJj+;(hqb(<5gV<0V^`n(lUeKE0@Fcxcd8b}L3TBA?wRq7#)#CzP>O-SI&O8FJUUU5}& z3HCG+6toHH?||{LJJA}46^h#&8XI*7Zp@X49P;+MLy-q*7s(D-FIrqpMrWdz>kq7p zVQZF73frr+*d>B{X~PD0)9tb$_(kY|G>tk>- zHj>=ZQYqn+52F4UlTFc{C0s|0|&x$SnuVQIx(Wgzy%Kp>`tA5+t{6e zs$CG*s4(~P03sY@=(~?RZgn6CenfQ6w>5s;0Tu@;G-Smhux5=AIwgCO1GEH2W5U}`x4(lc=S)d5WKZ2Z zi*qK~Nz+Q9B2YZw ztTvywJzBFEN!>|YNym$x$J^~Z9+JG7HL-cZEJ3$Lj{r}=&m~lQru=!l4(~PvqgV5`kvCg3 z)JVC{ByHCY#0@(KjK57@gKAg=;^pcT-h!0_$1<%s_&l_9#nL4i%LX@@TV`hyD|eSdkaAR*R73%0k|iCwYe!Y`T!Ikma2!x*(@Hq!Fgwh6VTQDu>N`h=iA~c ze0M+>uL1Sm7*cv(0BpZ~p zE49P3`jQN?2o4grHtA=^8BCY;RG{j%YLy9YHHo6Uosdr(w^)5$x%B`G+l|`?g5*~n zcRZ#Cs4SReK?BLx*VQRKfN2aDR_lh=pgZYC7$1LU7pFpZ<#Fp_pqQ>Kj~NF%cTVOT7@2=SS-M1P~kvll1^zWuRq^QaG{4V8cOWydIJ+=ML(f4oRy-?-ko2L z|H`=;r0hEFwn)Fa0A4FX*qLWr43dGG;0;vHGIwtwQ!r(p2DLljHLN_?r1W(%Uz`GN z5=$RBjiTYv4Y;oVxBvF{|Mu5+wriRWv0ae_-+81xuw4bzT;E?DjqN(EvtVW@Zr38i z!!928LG2E{ga>VY?QvU2}N;_f)p)bWrU=(yA8T zq^ez(gKC#{jl)Ec5#eL@&T9b5&K$>ryrB!IrIKu*scdbjH&t$BqTp+&jI+4unFt>i zp+Y{ibz?f;kqVv*6-Hb4RmbrDp9N76U$*SqULHDk*9W13oZwBUAcgw3P~q1WDtz@Y zCt*7$Z$?L;YMXA1~La;pv>qGToqw4$gs8pB?TKM%sHX73Ohv*4M8XL!vGvO?sH;t&t^1{UMh-4L^@ z!E|=Ak>p1QU}2L;YlFotaG3t(4{4H?jZxVMPtq~NX~dCJNJHZWR3W*)&3jkg;WdL5ia z?`}cO(7M@ubxYp%!f}^(M1}4RaC1sBSe~qY%q+!Z^Js%*`M@$*9zABKJ-AHfni-u` zxTb*K;Y;adG=ZQ@;b{avK`qB=a2pvKH}j3tUdoCj0iHQ9xYc8h@U&)kr%`e#zO&lHjvj5EhH$Kx&*a4J7o^16||(IiUq2oo424 zv%k5h%k{c4e5ebVzLilQctMSU(+cR~jWFb00|ky}bI)XIVWsQ#yumhL3FaA%@ZA}3 zaE8nqT6gUeLfB|Z;V?61dTsKTQ;3&0W$=(x8UC4A!v#qTmNI{cczNxad9~`F2?E9nXWvHi*KB)Fug-q z`ndQegSq#$lW}oZY2A;D<-yJn8CH3)b^YQ@ANk^3PmYVH%};#&xY)<^IXo_w_oA+< z&)kl$?RMb2%@C#FjKoI3w3UCWNCVkBJB@RP^yG|#OWl5dE)Dm2h% z#G%)t+B=CP@XwB5)gD+YMPZl=1U6$5FpxzC-V_B?+I`6Tb+|i<4R&cjOYU;kw8u@0 zH9Wcm+ny~*-ySzKp|w*?oUv`VK5nl9_>fl>Dl=--4{p&>(&f#SM$ z@LU790~w}8QWqC5WC+q_q=gpRECXby8IFLKvo3GJ3i}eOlASw~mg7j!()vF6LA6|V zx;N`AoDUmx7P^@tRM&8e#tn^EtbC@SNu4w4se#AkmcDzF=I~}Ux~EnRTE1;-{{rwl zNG@tztj-by_wY_raAgd9b`PTw(>ptwVkLKQunf~VT1Jq)F77*|vX$edsca$c@3l~` zQP~bF-rzN>lOn$)4vgS=F)+G8l?)6VmLPR|$*lyUHyxb9p!&BMx{WW{Yjn1(+`HxH z%Ow}R$j1S|f*q@=`%b%Lx;)IAs?RnR97uz`49m}x1_Q_L3f-=}d{I=`;FNdU&>kv| zkrXD2sc>9!(#X~8j{Ir59tb(v%y7hPASw)Jn!!2K#1+@=Hd@X&d|dCnH&2IpQ1W@f z6;fx=ae-ek%3DF}qAhPaJ*OjH?xGW|pXImC7!6lBv*#|$rO~e!Fy&`JjTmXFhPPE9 zfC^WrBL7IW#83}k^iLdvHoYzUGA;a^VHfN*RE-(ts3^?j4IF`a=ZOEiZa7!?#cRbz z9%AEQ%4-zW0y9mBE(Z$(ub$P;h#9#*E?VUTEeB@ZGs0G0lvh>H z{L|0%nhaF)4A_-{4)ZECd`JM&T;GBdK@MVu7|?}J(ny71slzonOTH3}4*iZ6?H%pE z!$z?38l7@$TNzo6!Op{_PAZZs8=Ds^^s z8(_gboGr+*X+fS)XMY5>))+8yqW?u65V5PAG4fOEZRmuX))ceG!I%)ZTHYE+7ej-j z14fUpl6OWr!_geToj^JRscO4nsBC7j5bF#31|5NDMUPsk(E7DVe^WS$&|;))y@`h{ zwBAs9&4pt}YKC%47sNhmEPzyS!??^(?d+NLvkuzX2m)2CNGUY(<(08< z_6_%$DF~LZ%br;sL_FS4x92`iLC#aTcyYu;RdG&y1!F2wTsk6$No5 zTnt&59=3zPODZ$jMf8ctn;4oPC>|P!Z>=feuz9nrO2E22W$Ai?M zTjk3!ch|;dexS9n95+%PkN7?#f$gbJ`2YC(zh7Rr|Mga`MX5E5fh!$MKlq@)vfkq9 zFnZ&>ze8Ry93zdvb8b{ri&trO%qc%?PG;K4SWadwnDTMI@OGhK^Awie(2DB>yo%UG zwA0hHITiXhL7Lly&9YU-Du10n1*s%)K__IoUjv?pm*!t&UQvK8m5O1Du1-C~^T4PJ z_!=@o7k$Gx#q+S?gjPc2r{jc6g9GFPxM8c|E$47Tqg7jV08c=$zn(}1!@K52E>m#5 zA*!0_U>N+1%~9zCu-ecQtU7>Z@LcYN z1zkWgNC}7qDZRj#Yed@790{5s;K!`ZR;B>a>j}SrQ^7eebTPWOJv{pYh4C`(vqE6{ z&vzSV$oe8qQz6mOcTR!L?tZ@P9@S6+88t1{`y9GR%DY!dTdry54kr6MB0jd?X<&86 z?u~5_xmU^Db1C?|Q@M}=SYN1k5jbpv&G!zLt3#4oS;VugOFPiRbhim#;3%Y3uUF{7 zM)Ewel?TRFo64PWBo^C7ZE*OwXq^RfMIN%ed;pi@WDfVyg$aU3mNobyhZwv?$c%N} z>CM%PJd-_*K?m}}^<%fd^BFZq5z~}n@n5%u<&`VF!g(Dy7q{0j(9wWG3|G9SrW=Ii z!AXxb8m3Dfh}6RJ&|9P4LRg*!M6wW-<|9NUZpB@k_z|Mg?UKS3W4(P)#gU*Z#lDG3 zpGs6}VJI%5QrSj$d@Z6;fEnACH%$YBq~*LE=Xl) zKwulBd9Btl6p1V7$E-Qj(P<-=a7qb!uuWRAa~=6Qv+`aCjQtv@F94fv5D;fb;D8U^ zC3>ELCCkAH(aJ%9&~hvS$NhrfitAZt6Q|O1a}(Tez(GBc`apUv0jsh#jZNpP(wIaq zo2;EG3GircC>)>d-Ogw_QM|xNm>=&?4nQz;pU@-I2{}YESm#OTWVu&sykpA=^nB?`VkZ>IJnrHjI0TS z1;R4m@tPCA6#&HQ&Csz>+wf=?)hq!xJrHSv4Tg7RCq4AP{@Y*v{y*Q_`a=Pt;I^f1 z&h(f<_r6nw%K{j5_0w7tE~UE0}dZ-1x4kF7r4^a=MnGta$UV_y>rX2JQg4t zH$U-pU44bpRcm{d08#mC%hru>udByAO_c*Z{~ms36XzRk1qFTZ+6u~`v~Ghy_X0%s z(lxaJ(E`goC9qWO>Y;a<6c|DKVxARuzz+)$&9oJq4|Vnb?Js}1>|lTU>tFx=cKx51 zp64yS{Sqdx`2hXkQE$UIPdAvrL7t&LA*;YbNxl5EfBNI|WBNwhyOp^iS>^DzUlq!2 z?+jI_4Pm}rT3E7a@@$#9d((M}^b8el`*dLI=5y`zlTm7&Y1<7dh)z?0q?s%mq&Qej z$#y40P7kmE5+#^vu!W8mKOwCY(iXjw!3+lVR3Cp^ugL{*qHRLJ60qb~EZx44RFcFx@5y^sC$jH1b09mXbCG;8w>b>w+>j`b#p+ZZKK{+Xu{x~ ze`m25{r>0a_Ef`2A9zKAymJqVT+PrHRBuM#q#9>!c%YVq6$3iM(?swa^H zzJ&?z{BdE?g}*~Cr{S%%%wm^ArV&6dyMWarv)CJ~8G?9$T(&#;pfjlZp2dF8V$YjK zfQDJL%hP7K=q{C>=C?bGoe?@-eY4m+iQ7aH#Mx={s~tv8;|5ts5uu1d)YB%`mFmZ( z{W!0^lKhwoq#HnR)h*SamzgmsqDPk{S#`(5__8gR_07v{TaoGqQ(0IpBtyY$RoXfg zVds>#{u;?yWW<0OJM<^gmd4&KqKWAt3I|s}NMdm|%dB-M0rz>fmhYW5l!H8{=vFa+ zemD6p^PAPci`@id{lZ=dGO-QTY^Pm9h1I4PzewEMLZpd}d@vcxb=xoU*-;0X^aTuG zo1M)GWA}8$Rli??f9YmBZ8IC*B27eri$L+%gbY`;JA`~; zFZlSuJc#5zFxLmGXJTS7;($MBu0LKF&bfY5O)>`*8k#{;5Qf;yYKML1ASnp&6^7Wg zxtE)^U4;u^wL;;xdnSc^^gEo#Gd13{xsZavvay+m`r*Td`eB-cga3}zzj~-I<}(YN zFsdj|=S}Fy@4gy5^!11O4AXOE1s2)X%B;dQUt_2bi?(^|eY%$wIP-+`z(ZGenj1W{ z`QF}8AMj9C{@&La>L3@ebqCr*!@+D9Jz(~@fxOF2J-Y^whG^ExE1cXVjt(4-z61L~%Q3P+ANk9}K?Vx1Jo75JN&z0Vom~>m< zs+-H0LWc2r9?|oLh8<$+Qgy09k%NWPh9ZYv?FGZkGntzU`|Sg%(C=oH+gEayTRY>R ztQd=0_)JD_hBvOgIqgzm4Jq`%*A&h<=u4R!rdvjkn?Vw{gPUQQ>aWM9`e4^@;h95I zeMxi|h~iRyNfboq5&J#W|D375yp$Av+1fVodbl&}`I=LG#1a+t?#TuV8U*{7nHgWuCRDM0uK} z2AVj^C~&pdbq_)Yv)5oSi%n}rfK`LA^-=+*UWHz6x1V1owYDk~3~xX*mi@6A^S!b_ zb{0%gm|}6E8WaO6-38#zm`R2iu#J3)o59tU!JC8Ej0iBC;|HpGwhiYn2gZdZ!$zU| z@oSI9%Y;YBAc}G{!9_=}vRo3w46*vE$v%4DA^E*1cM_(901J_>5oyc%?KcV#Wtisz zyZWe3m!k|cBR#sMH%&!#Jf-*<3>~gz3(v}bSU5K+aHnLUqQO{{eGSA~Ul7`fa zkvINa-G1F;-I{h8apCS#hnv-(A7soE6E*D!6ICIlAk>5Ar(GsK*4<0qRr|beNWS6T zB-_t~E1v{gnJf+^DjcpYE3bqB4wnqRl{R_gtSI-m5$0j(z3P0A3cknI_hw)gyEf~M z+XrChSgfzTd$Myu-$Oxf{}(!pmkMvc<_dd!PUl{2r7S5JOpFa`x_*Aog+gk+p)Tl|q!0HAG3u zG+7@RO7L{`68FZ=;>5#y-1-9bWQ_&ZkDxz*N@;GzL1>&+< z09O50VPcHdgRg2Ys%)HKfnBgA;8o3*S7gBNwd(U*ZHF*&JTvfn^}UJz31;T8LIi67 zcLrR-*=wc+D*4t-%OcJh9)@1jBDAwlK7c);-m!}0C4(8-!f17a2aJR!zLkhkQ3DuL zYRqwY!&dtnHtdXx^B_Fd8B3vthuk`26@6@-F}i7QXRIwyC+Qa4R&cTJ?cZLoxp|pk z6nk%-xb?XL%{r0EloLKs&GuS&DoQr_M@)5yG5KWm?(9fD0pYty?OAoF!5T3PlvlJ$ zja&5uq84=0I@J@H6(MUx^t| zGJWYgh?WV2E)M5)MC73X3EB}s$LVqgy;++l0xmeEiO0(kT+T$jYCD=Y^c8unfst=; zSk|q}@EF>ZY2|}@#e3xqT7@6jHnOtnDmzSWXV}Uh*Q#3b*lbiZ_voetvf=cDO_07p zH!2$KQc;$CkWJ9Y+0<(gO>rPqq*DD9JtLO{;)Om3QZ!f!r2WXx?eWrmTXZWFRul@^UMM(yN}^No zEv{cpkuSwmuy8^222}fX&RQTyA0AQDv_ZYP`h&dm9J)uL#H2p!uSFRni=Gi0m`Rue z*PiWJ?*pE-Oi+sWLM0m92B=(~R?3D6&rp(UwMK6+Ih3*FCaFPDZ9bcLyvTPZR>q>8YeJQRiww(bJ8>+9fX=oja?0!gd?W~yim8z=Rh$RDZg1K??Z#|`$ym!8rmskDj7k z1PrKfwJw6p)E;H)lGY=AS)lGx=^poH*_-=jBbz^;vpnz(yn%U1=rMP`N%BFjsBd1@ z(EDQlWD1tP=L#DptyHh#2A<(sTvv#*kD~cJiO3B4(Tg}hsKnu8P{C5MM{b%cj&N^Ru@Y;4_X$h$ENMz^f3()6Am|N0;QDj`0{a(p;*?`A;{+EIA`>-*8O`48{3 zqmYWqJ0GSfAH?l?xYv$~qG;wOKO>g@u?YCxSJRHlYM&b;$fF&N36?+GpZGfMC_Qm} zj?feA9Gu!wrsb-+I?ulsVU@f6nS0t%Q61;)UD?r&Vv5%QE}M1~!pf~x(4KZQLpz$E z|2<6t3RkGR6U3$+jT648Bo*yyN1cw)ciPe07f+EJ-Snf^kQ?Cvq!UcZNk<7za-$_a zWK?W;X={gyjl{iPj%)cxCS6^1s@T{c6ng0!pb1u#$EhM|d*G@q`!oRVlAs+wnK|h; z+Yx}E(c}aj$-PSli(;u;GDr1@(-^TUWj+(Og;~@&;~73SOu&)~%F@5`}S9 zb;WsmFB!5b-?8u^NB7d}a2~dZr`%l2dAxrsy`3_I=z%T?i0eF=5f%U$U^a-J!J4x~s2f+IwZL6eK5c7KK9JimbQWYksH5R2s#LLWS!SjGTaTHVp1tVkx0Csg{ zTM<;E28J7nzgllJMSjJC%q03($h&A#5RYj}F(r_voU)`=D^px0WlJ%%7*!?jeQH|^{294gmHJZCvwP@_yJjU$FXI#B_xk0hw#gML$KEl@kE9`KB0Y45FR znX_-VJ{LQ%!$A1`U;gs9|MJ&=`@jDFuYY^zA;e=Wgta05|LMtpCoBXhbk~|kEQD~w zLId1JgUBte)>i`-f~XGLkVuGFb;F_h-B)8Fz{R~;P#TY`uBwM7y>*8ZLnJS0_1R%Y}X3jv1GVZ&Y+vk>wG7Q#9` z|9ktvLrxX~Ba)h-hmD0$@E5Z)cxaA~F5R!9&Ra8Bn?)O#@(B5)8>()w3yU_!S$izn zcB+gdq~pce8AsWf6Xy#n221Dd0^`J7Vy7S*=8A!3D;ye5!G>Pb!gCYMox3wS_I*KwP{CyPGTL1Z!Yqe&7Y}v#P+BP7<=(FA2z5A0 zjV{BB%j*>P6KjW z5Rr1QIUDax9;~z2mYo=R2FjW!TqIhb*E_?b=rP>NVQJ{j&HF6=%x9N|!@a^g;Qk@@ ziV?{nhOa#)Wf)N}lBt0P_e@%8b2 zWQ>q*rGJheKHTZPEVml9;nquka2|Y&2z8 zF|!4c4x7=u5yf6Gs(E)dX>Zu#r*G2UynyW7tiDiQ03V9Cwfgk^*8hGvw+sroGuFKT za&cCRps?bdYTapB?|w*`#TCi`F0qqFs;?!i-oN@yQaq;-!fnW1K+n z&>cAkXyTI?{DuItIx+a2I*9d~CjKcjaWYQw=4(5Q6G$Vs%#p7~6NfxoHOEtE;;1E^ zjFYJFICdB(S19eCjnVzRFGLeBM`_~l)hk2B$xfEkZI^ZClt|?=0k@b0$)7%^3UQvs z0n#AWhO9#LXz>VkG3!aFepS?$uJE*p7Y9ExfqOTN+?wZ&D;KmrK&m_m(LGqk2BzUz z@aASm;pIfcBt>A2{S>eTq^C{q0m-!~7|M{pT(04dz8X)??UuicI#nkeWg*fq>wyV% zMXha=CiHoIN6B&+s5TZ0C5W_JqS)*Ool5J>#(UoFtH9k)~(8m6c)YViA7|wzE`r^Kyvo z99FQMKd$yXYm~gL>BhC^EMky>WKdyA=MAiBtUb%NKQ`8$5{_Pj^2EQ2Q8J6&s8xc> z7awa&@b8IKEZ01F0 zdF9QhEy~Jmev~@1Z>DpA6qY6fn*sO1YpU8bmeOYg8_%g>){WbNvX@6Gy zNVhSu$I@xa=g62lZN)0yEK~96mE(+A8isf?bkl}e@9wDA%NC%s*NlgO(4eAojuFj^ z9J4evbI#pM*dJGCZ+iqm8131Gy*hGg1phlDxtjKO#?k+?r2+f9GQ9<>-z4D}=rQCX z0_et;@t(0%@qz}J12Jwsz_xS{5Ghm=47X@3Q`CWTLIVWLX?h9t-avf25o;g#9r$m* z#0B0OSJy-cf=Nea1i*t)XHda|5mfdvqbaeKTY4e!W!cSV5Qbgao0n0+L^(`8b47&v zKskbpR|L2+^i`+5A>xVkd&U^;H9Mx;+ZJwpb-QEg$F`JwGraAJTtl@4#t(}QFE9GD z6d-g)rlUVRPd>_XdiO!Qop-U3l5bfzM|FR^L)imqR9s*{^P0u#cDLQ`tZ-Ew?5tR< zun297)v&XUDHcy=Jk}a8Y&QP7kghIJyT0ARjdZSz&=HQfC8%|O>vSij!-N&ye1QG> z-M>8**(rg!5)0`KCR=SV^WB!Wa$+lRwu%qpPf{mqcJ{W4pIF7q$igxk&^f>l`a$oD z0{h`BF<)-441IJCOqTgpOJz@B_irC#WBwvi8Ft>#`(#UajobLEI9r;SS~GmBq=jY> z`#{e|7lg(K-w}WKcRryKEJz- z0tyitbqkJtS|adlMS6>10op19HZY>e`gSQypc%@>0&371G1P;xt`kpchExkk6M~Fd z?4#XY?N&x(gAgDeg?bDfmcAJmQK=O!8^K6RzxmNqTDF%0+utl5ZL!2fxJf<5?e`*} zZrRXClD?lu0b3e974h9Y9hv<#7_21qfO=x_X7S$!ZzPu>1Tk~~x!P|BY3^d^BDvI} z5v-jwb^b2rZQD8`?J+U~j2pU4`6EQyRs5Fadc$<+0L`sDuMyD%TvB5HEoUGY_{!R#3gQT&E5e}aLDE10bsJ&b|%dP z>E{F313`oc*FN#g7W=pV{D=SYzPqMFVJ6KXe_Q@O?5$z|v%b%2w7vEE|GsUdm~*bT z-YrbsxasF8uHlP3AK6=DL2+F_Y;Tnsqc_7x-8bH zaXdXKI}%ztxRxfPhBEJKD81ye8~_r4Dv&TQ)(IS#8wBF{GB@b$Md)saj7U%qvzT*~ zo_d%$YmgEIj(7z_pm37al-!0zEr3r}`}!fPUEc*i+wGB)STBDjNmRu=Z9LBEAWRb2 zDtLQELdffUl5FWU+xr!Fa<7%__^G*lx-oDKkJnuX&U6WF6ezMDQp525bVHqB*MJNy zhD=Y?(C1Dg(C;uKE6`wdcjyTv-O*$KCSwXjdj5RFy6f)|R&?o%~h`-Y;_* z7f0IOQ^KHbXfeafn+|uf30jr+5~ZZFkOo>Kn?yi`AWO6@JPoT)tUt6(aR=!n|}wDa2rKCeq$--0!=4L=B} z8fZkCzvxG3A*}9_?8gc^N@kOly(vHp@CE`}BiDGTzg37JHTYQSO-$E~x53wzdcz&i zg=P-_p)MVC+S1BWdo1^*^sOv!gUm}(V#Ez{>{L6n*6m`TSl}07s8*fwwd(Fs;lcw5 zo6>$2Vxrg3q|&I5KrPx8IXj~kJ4XOT1^?R>ZH3qzfE&uZ%TN2KKZb!md|H5mTP-$j zzbi9C7@Zs|@K0F|wSGc?0 zn(PonXcnfMJzNMK)#sZJ|ILSgfx9cJ;cXSY$A_18YinWp&4;Jv%UcZ>RKv2Z9p%HP zw{q#KeI@6L02vJUFh_{zw%EppKbYDghvK}gbsqNC+wlFWCLQdpm;bNu;Sp25R!MD1 z1F`8W#EJfQ} zVSwGD@~{iqZcE2Js@-o* zMS2UflfeSl7^Yc9c+**;%2ejaFLkoIt&-UwTW%XKK*xHLkmojUlzUR(4n)4hqSFZl z1-NrGl{Hm$?Xfid30$!@eA^GrCaRHemyGtZ&q|Z)P4a?rVg|DU1C#e5%x9mLo8H{W zEQ0gEv~iFr8y;WYw7^GGrymd&0nGvQ$wj3fv?WZM15BD;5ytIhYP5xo0epr1zn%~v zKu&=NGHgoAq~EHgkx^xIC>!4?!?1SUUVboRhw*#By69w_ou%Lm>)--$;A+5sv`cv4 z4*4y$;Z=aWngf3I@wISQ`UxVY*YGIzpM+OyByDK}WhWr6>eiZMu)`yY8D#M#lv?l5 zm<-y9uHYEd>)yU{sLMAH5LxHJ8R_-pOmF6=Kwc4bYmf~iSo8;m zH^s}IOO5}uIZO06h3vV4ssU$-(RaC4ciA*gAp1NI!OZrMf2l1U$6pm=Ov zD5pfv6L`S1Z%1gD%9uU32w6^u6=9P3ZRp&&?lJ8OOgm!AB@kzL+)sY@)iCX^&z^(F zzt=!fFzu}UwXfmvGEdv#hY{08KT;HK*{*@2LT1x2?QoDi_xT<#6-nepf$cR+dm*O1 zzK}iV1bBl~TLAz7MG6+*1}D{MKGqfW!7R@43g{x62?P3MaUZZH~tt0#>Vn&*Qih)ry4FPnN&9jA3JP}PpyuqYT)YAsY z)B7&?JWN;uLhTad$A)l9&941AH%(0zGV*aKneDwuTaUX5W2H!>hgn#*3x76)tVfKu zf9nX%AesXmP8KVrVu3XbLZPck?CR{G8CQuiDae2==&G6+VMYZe1vDTQ(U$);b+!pn z8vIBT6)G52$cgS7oH9tXO$zGliJ}$0+B846*Wu5$(T=lM4%OfpRN}41iWJDW&EUyb^(~GXYJt27glD8f$QQGw1d8;73U0af%TSNcBM_@0tdaQ`xm5&QjJ<3s9G#o zxyl%NU)50-^_3{$d33P5j~I(ZVO+#>qTa`4?G=sB+gk80GhOR%C>m!pvO_dJT!UT` z%V$xJ^r@^KcW`Pdy9=bd$7V-t4)E$N+=g4*bZXOc(zs8trgrB<-ceVJ)W!-D+_!XP zcNd+PXZUA^Wp~v9=K^kj;q49p*?)7+q_miyHKf7+#RSDYX1#iBjKxd|uir z1EcZyBA$&-Pq=$p@_`(7RTX;T@nzDdx~$)$+oX4}2?v=#t3-qc>IAmjc2YT0F*4V) zVKaO)(S^hJzfbUMT)(1xC!N@16j)(#7Fz`dDmWYkn+)+Im=MdscahL(M2dMFkUKyK zp&#)=^z0=7#((|W+jXEQIK}}GgRy#DO?U@lw1MC}1Tm&nbcR)QpHq?Vb==K65Mz-E z@(SMBEJUR?Y9 zB+B4&RzZ{JAO_6yvMO{`Knxi5DNkG(2(BwY443dQLUf-*%q2Yj%4fC1FGY1vf}*J$;9^@c^;Wb!0I%E4|79RRoM6GO&C`)J6lP z$~~f5oRptNbi=5D?iXsu5LX<`uu(?!zv$i~ILtArqn+#^IRV^q7J4A^M8jmqOD#Gy z)@T*ME8?TTK+1@Z5~r@ITV6khG1u3Rk2+|~g%eX9GkghZQIpGR%&DERMpB;mtBtu( zNmT88{xZ}eDuT227nO>j!hB3t=*v1cudOyz0y9w*fd%7bAzud}xnGo%@yTV!RQ55Dji^ zX7)zU+RxnCqgSjP=ja)>teTn1tL(-@ z0OZ|{e~5@b|Ec6wB}tP>S8K53`bjHKCD^-FdV35f>@oP`z$8)U+YlzV3>J(%*E1Z82P3QKMUgObY$F``kv(Cx4-UY{7sS|JDcNb$ zFsmaSd!RoS-Xuw`QCJN}*c}xQ=$WuY*LT!a@62xh z*}ajckb-|sBTtZm*F#306y{CapZLReW)-&f&dw}CDCg|V(9spuMqjbDm)S&EpFi=h z(wR;8;;JYC_h7e zJ-_%AReeX^661=FVfCp=VC>iQ32;`lbPSr>R~qKa@%$FO5?^HURmeMq6%Z_01bZ>T zL2lC;e7~ykgh{)XWtc5M%r3b2z6zZ{Ov7-|?8&o?z2Og$IEFBT01f4b$-q{9oqoAg zx!#uudUiNZ!4W+SFkT2{L4IxmV)IM%EKBI75sMOSot*I*5Y;y@oe#nmQ~R7&{R zt$m=WpkM-YTivG-&<-_GfYW+tw^7UC-GKcW%xla3JffC+JwmfQ1uD84Q*B@)3mk_k zu!e4FSB$jWg{HrGgE97}7*sofl9$P`eGKlBTXN7F=VIbCaLPtJ4Av3?s``+{c9$U7 z0ncoO9bvcr24=g6NjAEXDO;1(h|I3(SaLGH`o86WtCRFk9fj4Jx5QW*9_4d9&=Y0nxT# z4EI8Wcm!w2A@L1VafUG6)I;xrf}x|C0H-1V3saR3I>O9@quK37E+G97c-Y7_z8=mX zzjHp~rZA(4tgRRNGB^WxpI)2+p&-sYP&$lx6OaS|tzO!p;0)dbB*b%WJqq@4hWbeN z_yn8*%#_IsoGt)Poj5~FKw3sP!(JODg>tp3q`A{#Gp3jMRFcIlYqBKUA}=Gm;ZZ^g;ZylPs6kxd&88!_AXnXZaReK;uV^weYw{U zvde!hEe<2N+x#;wH5 zR+e3pMO%)Ttm?X2h|l5bTSRC(TVj3uE8lDA025d+c7u3fL_Ii#rj^)HqsVll2{s!= zh_^@M#DmC}tz;{LQLDq?uzV}DOTx(UybQI&gANht;CNQ1?NvPBL9^gG??|=Usf636 z7NWSrG^dJgn)zT%aa-dnh^@0M;AKO#{c@ek4}b@0jt@JX3Wz^Whx^aQgQUZ0Ic!dc z`>ob;uTv=p@gR`Qs?V6>SHOeXB|42M+BFVksKZXhD>-d54HSKlT@OslWX%)|iwny4 z{yVKx0lOVxiBMDMd5;-sOf7f^RyiM1ka|b{6vaRrB=<7v)_F0(+YvrXP~#NC$X|c* z594WX$y6fD$5H;wh~OJE3qn)ESx#(B7m*-;?#TTH{o&CrmzHy!q12%M3H`$L|%DfxjIFfICQ&3(C!IAxlD7R)% z8TjT-U)+8~*e!FT*Se(b0SNUc*pFxgV8wob^jQy4QXL&~{QLohskB9_1Ue?#rXP?@zzirR`qfaF+Ij zz%e-|S%nlBu)Z*J5Ai}e^N1}!a7Y2|OgeDy@$F4Pt=PSYM}T;vLPhOA*@RzbOW?`) z_;w0Li#)?8rMpV6;b|>8y(@KzK(Si73rj6A;3n)28BUe%-skRx2!%11L9u5(iXP}m zCN`s4Gq~_)@w7|je7Oj(fE|?5N-93q;UMl-D1D@%(t6xTFW<`?y2hfJE(5a@*$qOX zlkV-V28(`qjL+Y0s~4L`D$PU&t@OGBM&^pS6WS+ejw~&TM;14q)ADmHe#_#1Mp>LFfZEu}Sf);y`3_7(8J$FmgfDLW{C3M! zGV*5SFYY=pjl+M2xZ7=4b{1-gc4=eW2Bwn|HX<4H$J{0ym z5dsZ@Kc;!~{&DB6gt&X4nqBdp>cdiDg3b`B6-f-Kv*EZK@GiXCH1aNFQ8eC#VI-~! zecHYBPB(={bG5B}tbuhnm>DyLB1}2die8QjTAODeW8$?{EN)?!%lW52hTWB&7OQUc z_Nzj;HHRU}D;fk057AQa?*s^Rc-?XcuM=pdbkpXCLa2(RWExsg#v7~`0R3JHqFaDK z>af#$-oD5u=Yk}1_)+BPcp>yDDTs0oAF`sXMZlOlL&TQ{2*?zEuD(KuhW^|6=eQ7> zMV2(H!RH!Y7Z2fevjDqym8h){qJ^c;iW1I{X;G>Ktq?+ypjlsPIRFbp_p>%N)xL-T zf#M4gXdaeZpW)p(Ew6D{2&q<-4|e-r=ejII#*8hb=|Vf*emiC`OhMy6gLpIWjE6h` z(@iRzE%trC*Kn><+>Qt-Zw@>_FFNtBN$AkWi><;)OA7I2*`1~w>Tq5pVCk&>LlmK(XMPDK$0b@RoXw9;1?EhuzM82qaWTsy%Sg3bK zcL@AofwgY0{J@M(z^O}V1Mp)JSxKj7{GQQ$&WsM7hjT_(evBENJmkJM*;A3o-`j#1 z))`&&fghaF&C1{VIx{+6qK5CNDiX}-##%kigXRBubzsRN)Vo}-uhSJf=m6Y)lAa#GaEgXZ((vc>6$Tyv+n= z+|cRd6R$Y!hajI=$+ph*lxO(+p&by9rlKD>K-de0{RQrnaX`36Y6Fs`VI0&qfn;q& zblUVDM2BZsB1S!zljQ;weFn=Kj^IN*mw;X%kCwbe!FFo6VNZVb!kLYA2KH#VijYvk zNmn>oBGdOvpZAsMEq#`>*`gPuO{w(XB%N|1`UG~tWGLYb11xWRJ@`xoRSzP9$^Z?M z|76+nHL3x&xRr03ZT{^doD~n8|B2V62^@DlhZ|IF;x+PmmufyM#~0!DGLyd#n1i6> zewa9uC{8YcEY+$e}+?TZzt=Ju!VVT>#Mz?OpqtLt#hS$hPD4~6ci zxn~dXFCi^N=*AiXVZb9TtX*%9Aakc$Q3bBsV#vbU6(+oewX3F6y#u`|R-w^Ytsdk@ zO#+Ap6j76$%9Dj3=J8}Zbke=plkLW!xr+>v4}Q+bASu}A<(VH3)u)xx7WM>o&QxupAQLCuGBjOGD5er=Z2h_npM_ z2p*o7v$4wAcov*|FFLG^DZv8S7s^T{ARrlCDkMu`MzvKEu&7g`nrq?b9N-2)|00%Y zkcmy`EKytGCqn+a!cR=4CcDx}Bl$j*C;3o$LU@0ubh-gmVG3g-rbz<8RvL552W8ZV z!$Yr5I33}Wvm7r^K7-&Z@ZH?#mo9=Y8u9dT1Yg;b=B}#QA^S+9n!E&G`E!et;Jb8$ zTU#S8cV(`c&(x09?CcZdPiQu`v0CHuB(743#??pmNy`eBkm3NKcJTP6ss(^jo&?l2 zsAW-2Ki$bj|`ruWt{5#uCIpZz1n|f4CDQ;nMf_D<;-!l@-^)V;{ zF~O&3bN23tZ!>df#L0((W0hLH!p1SJphz&F%eY#fvv00djZ@IZv>5&r7`|qmb0fqE zuGW~r2~%$qqpqkfEHIWeEQ}F5-Yek)@2i<=iRW$B+nTf@W(W&|NlQAJV!?XjcjOQE z(_$_R*zbY?l|;LiEY`?ILQnMCwn^RIhGT#;vpV+1({0Q}c}=2aWNaJXCojVaFB#oS zKzHd=f_NR|0Ab`$4v^u23`HGYjRKouXa~5C)%N4Z5Oo-zwpU#Wy3T&V z@t@$J7a%Ma8*xilnYVYy>-{qWVZ_dl4|LnfEC%wqPLJ>16BDPVGzS}pew|_%8O@Xs zoyIz^6`YXdOfJeGcY|J=@JtK~YgYlkplS2TG(xydkwTQ?DMZPTH!*1T0ZOa4(w0_M zxlLihIWTu1Hx7mb2qCEkfYP|!-JVP{@pJi+sBm|~LCQq-Yu(*$g*8?1TG8j&;ux}T zDB&&eDC{L0ZG}06ZkLbhhBjs7XR1ly&A0-&tAeyQ1M9(c4-7q=!|xzicwnBe9CyK3 zobIZvFDH?=>{`(nF_=bfux^o?3PN}1P5D^WwMSkpN*tb5(URP2d+)sVh$y!Uj|^CL z>+hY=i89ic@xc?TU%q#Da&CF=q*k)1B@A>D>+!-3M_8ye&>v6=FO%Zi}I zQ(A-TYve3*Kxh7NTj!Lx93D&B-c{f=w$7HC&@1m*5FL;DE<&oauT!G1OJ0mu)`VUd z2uWaN!HWlvZMC0nFDt#(JZT8_?WP!t1X_BVX z#B>`!Ij>roeF1`OA=%SeVkLrCNy8)AMEX%Rw@IeVA+?of9r>*U8;QybN> zvv;ZyguD}4tdn5h{bFrnXZcS!i<3UsGUO6z8Dnsm zRCImvX?v$-yR4h{^GTD^cbRC$fr44KzR{S|3)O>k(l+k9alKY%$UB$ukQ?SS!0^;D zr~3YIIzMm5gUM=|veS!4Z^CbdARSsydWPup8N2}KN1HYDDGQtHvvxCT!{ZCx$S69o z;Pi}4??jzl$&pT!iFbr81-eW9vir)NsJE0(8R||zyx9EGU;-!g3nt$Mvla^(W^Zbh zA+TP2O#cvWg3}PC5eo9yL$VCl0C7N$zlSZE+v(#+Xvqxz4{lC`Y~DN;@kZoVd&(aw#kd$V#1^; z9mIsmCN>97h~*zCuNi%M#}F*UGf2&{JxBdXz$GYQ%-vR?p%C|4ey_)3dF9yc+~Lo} z@(P9aQgMilPY2R`TYi6vT$bMj6-4;jmfy3qFLTi@Pg4PQwm~+_?}GDOIhM7` z1Dd?zQvv=8mfth&czh-W?~IC@aJHr~avx6`a5eGR7>=F^tz z7)}tp9+1Z-2tqrGwE2T@O*f1FIN_Ri4jOTuF>yrT9vyG|F%&?%%!&8)?M%CqK|;V% zon&qqlA-WYpWB0{?dsOSV5cB|OdhGuoug_nl29xE$Y6`Y{DXQ20l#ujPUI6Lrib@Q z?w3PB{S4&0E_AQd4aPH&?&cZ6-GiN6zC%Np1=63>0&uVNN1j+j-_ndi^tpgAXrW)f zGYT_4AWD4}SS^0$Q6c9emu>S8$QMP5md26ACnRPUk@kh_}tTwW$xAF~m+& zp8>UNxnW;toDh#@cNPE_dFVWN8z(H+%RM^GQA!WhDQ=`>fOg&41%J?(m9~nr?sI*=HlX%$<3O z1>f5m%kAy6f+=ge&{rAT9``bz6tlP8eRjq^>vVXxc*mk$odl#AbA|rwzXCboH zLtLT()f=)uy`4W9%49VQex=WAo6i!v5cy7Dy{8UTVG7Qq!D5a5DHT6_qv-r)nyG3e zs0Wi9tARo@xsh#+zX>piP5`C%JM*Dr6k9D&!_d;m&Gm(FfVMEg>(Z@ln!X%lNIG%p zT5;<2`JF&iRDIgg0xmR*0{Qn8*Z2BTxbdWEgC`Y0o4Vo_$%&aXS$U;b17%N_?>w$n zx1lr+Vc>f58kVfn_C$GF&}TO``}R9c$b)~D+NFTL$LPhZ(FUfszy0;Ee}B9F&$KRY zj{Ge=O96ZXFnpPpU;ZD4=b~CiTz-sGS12cVK+PBu^45$Zp5f{!*9;~fcPL2B6ksYi z$(+rU**g%NUG9xn!&Bj}usrTdSx<(|1tbcbT)_G}K4)8}7#!030XTPj$yD(D9ra>i zbfUzGQq+M_!@@Q#S`y7uE4y}4*{7p}%cJuO=U_LFbYiOFvikFD1bGqL#R|%VN{Ox+ zzT_0!!8_mB;?8MbH$SuT&$mSf4PX|0hqn!ZeGO63|RAjPtU_ZZ4%;w&698irj9fsc=)B);o<%Ca==CrD z0%ig_WAc=lfc8EqOV>%Alxbx^W_?T9H1vgrzQyaS$;^5Nk>CT}IU`Oi6a)hl*xQ2N z{{ByU_-DLq)sweJj|h0*q^^!)>uE!c&{ZC2rQ4@)s+NEJ2c$0zEEgw>B?EDbuJnDZ4X; z{o%JZ%`M=`*5)hW7~)5sE%rK#Le=tWxF?sEZ;ZAX% zwP=Uw(I~4;CvE2fUvhj0wr!nFK?BY2aCP}d;0AZ92v?SucUC9+L950=+SMhZZN7&Z z3#yb^A8AgosplQ=iM3i92mJOn-5FzIYiBlu!yV4jl{$CX7AcdqyR2^OAnPNp>||w^ zwff4c(nYUsg0=yLo&dYCI-hTTI9{sW4j^LxVPpmp_4K7Gu)$sHqgnLz98kwjoQ z7d>p@dX6nTGhX{k5s4WPbbqx4NEvWN)lm3y zrg|%0P$O}?7kDZy>hpMFcp;le9FV0UI43Dvbz5wP7dVGuH7%UgVzG}OS6g0}S=p5@ zMI#1es2=qJ*{4@qfBe%wdvFP_hd$i<3sXJBAhzfnV)|2WVWu+c2^y*K_2syS(TTYs zRH%T~a5TOiFbPdthjiVEz4>jUiKIcp_LXAE$-9ESxdf!(oedrJM*AGwp}|R;4(!nT z!=B>Zl%eb{psi7Ss_%iuh`>YRsUGxpiy~!@AAzqzby%gAWQ5lub4HV^_n!OB1 zoYTwv{E2H`3*ZeV#ri<$>I-d+HNK(dwSYf3X?#P?Yhgafkmfa5dN41j?d-+caYl+Fc>4MgC=P~+NmeKaES>d;*65b8 z9ACgOv0k*Jsc(F?f%O>e{%ILi*46l8A)%?uDy=}ci; z!wo}6W{L`0SmsmV-j*hQqw4D%tD z{V-MQ$u{C9Y)H)scko1-r4GZQprS!FhD#4aImQV`nmU|GtuN`0z(qrJ=4ju|wWj(x~+gIN)XN@^VjL1*UHcP?G|`cn8# zcE~y?^e(LdS5J0mu`rZ`peq>qLLF$hdnar3UPzErB|x9jy!_P?rI~7jNPvH2dCi#0 zxj*8}sg0Zl4!(W@LxMzelF8?Nyu%VM-dy+@GYgXP)C}py5(cu5`4--kqVA2H1{Cr~ zQC7Uf^ZBa9vidL$UZ#Z~s4o+CMtp~65;nh{z31niIY|-AWH5432BT6CF#!}M5F}Nx zK@P~7V4^g9ie7BNIv-2U)LcJ~kScG&{V+kmvEDj`Fm-dhp95R^CRKiuD!)mU9#Z8H z?{K$S%XT{kZ9tE3{vl+XmcfWu^P184Z>T|~4rIjeIAao~Yz&s122(Ima=Pu(umc_s zGNTH~Pz??FVqSNL&u8>nMwec|Q=qR1DpB)3-B0hpN}>VEatg|O7+zK{Vetry=7@p~ z^sGVy;A!)nomXPV$N16_I#t;)AFbdZMu#ffP*{7%$l%|Rm<5K9Ghit8{XNRfuzrf_r93gDWC za6`>P?6S=6it9ztw*{@*EIsJFJZ_k-;u_~)YxlI``bptxEv{uK-*_M%ZWGc)YTEw9 zzPM)6O6TN1EUsrcQRl8E9~aj`4yi4?*;HIJSf>RR`f_Bt9$=5#B$t<+gInn+y*V;d zQcBiwas8gOcl#f{9GQgqYnpxGuzXf73{$kCp!?%HOa!(I|3*LZkS*H<@(>?H^_2mI}mlUHR z2G`^^{yazEaZuzOl4Uu|n{#t8pMG*qR8lOm~`a|Vw!Qq zs9N#twK(7wMXk7OV*PZR1+XB@F@a(sAWrN|UhcHwTYWivBv%6}y$^VKp~oae{N+r; zh6R_=eZMg5)o}TI0+KbrEAyWfxDwh?!zSlT9I=`O&(ZG7nRZ=tm+x zO+))RG}Z9hpfo4s&gNL>ItZt+fVpiN27`bCZe~HjZal{AWN&BON=T4dWlV*ID3Q&b zj@B2rBUt@dMv$IAf?ey1VBI+{^Biu+We!%SlCG!6MkTv+vidZ8LQ|~Vm~H~m{IkLC z(BE1Zxw0^skWpeF)C|D;zy0Sw{FmdC^C*uyb@Hlv@O}|QWqn`d8hC&Cf8T1x2{fiv z1{7=8WZu(KE0QfCNwFBPQ3E;hgrE3#+P&OAuVy%MrAc;=v z^FO!`-fMqR%RNi@qH}T%tu#Q_BS4tnI3E$?bl66CmAViA3Z{wsrQ;QLaif6zQ9u$% zi_|65018QAW9fLx3IDMMb`xf|CUFK4XP?O-4ZtXq1I}zv*Ls}UcGJYKO|LZ@b_)h^ zpf9%~%&(e4M8CKleRpM+eRFWt9*0zscT)&Rzt2x0W@1{^cYC8?igy}O=`2rM@!e1t zuW95dB^x?jD%o^<(+^g%)wg1<=bMrZJ0`fy1;K-Q3NlbBgk?mzfyr_m?k2#CPJ+=r zcZZKUjffGU$6FS7Iu-Bmc#wGgSmg`|@HpftG05gbY))i&8(`J}_$2>q$lFuYaQKWU zcV1cSlUOpq2|su&nad+9AEH|0=X89mBOX~dif#xIY_CZ^n6|sy&!J=d={>T7^4GpS zvK$TLyJY&?Bg;}8#zhz^Rx$x=3;0c;Hk<=L*sqax2kGzKfVUCaN}k|m)B6$LH9|PK zFyO{CKB?_2)G~>4RcR$t?EVgqB^kZ)Si1M@FxFyE&C4&Rx3iSYbF)*Ht$W&QQ0R8g z9uu(?r%`&ZKJ@eL?=92$OT*^P2b;I_c+ft&;H!2WXuzf|tZ(sX_@jCu*T>+MUBIrC z8C-A?(@j_l@kV@SMb?Ck{kUr#b=frBzV@<^X_%H_d!cjLWTPpl_zUt~`??gHMv-=> zP8%Dj+DlGo9VG>RjkKs*3H2M{CT-L35@hKSw=MY>ojV4Q3DZqVXo8+X2>Rv3NEwhi z4V*b6!Zv$}r6*Ev%S4Yu%zE{UhdKTX-~ljDJU8Q_KqVe?zK2`EP@q!8Q{`PwtG6tx z5T!)R9Hv=XmM(bp=MbofinWbce&Yg_1+y{lj0?6}+gX)S9a_{DX~+4#K7ZmLCQwoS z-tLSmC_R!osp#c%dDHQ5Yj_3u63)TFVUt8;JP}Ahw)ZSV3xBoGeyziJ(u5Z71%?+D5C7_E1ALt1Z0Wwd-*wLKQ zCP^{q&!Sh4UcjM+{*314gV7dfSA?Ggg0Yyh8=i%;Lr8Obv2Hh7G%$BnRj(hE(y(=e zFu<@GP3q3jw`=g4onk@*Ry(ynRb`N;6{je4lhf9GVQ>vTVyRV{3Yt;_TltomQ>tguZ1Wk0~k?UWLvom^fj z35ZGwv=HW+4fy2ppJ3~ZqKRp&jZp=$<9m6%2=7=1X|}Wm$h!hA@W$qdQO?>7%T34c zt`uwWt_@LdaMA+b%V;Pty__R2=aUp8Ob97FNGesQIUP}32H}|HipJwtQu7w)_!UZW zBydN8xuVInb${`CHOwePivI%>~ zTsd?9lyxkF@2dO2$n<}PtwKSNOffu`JxO}oA&k!hg7h1qJ4E?a*ZGQIl&2khpDY%) z0&FWY2WN6t?{mzsMc@JhaByP3xULLc4V@Gs zoSQndE%VI-RU3o+1UA#F_7d$bdiCH!kckoHC&@trYacndz=sO3ys(IRcEj(klyZ0O zkPu7~EIOOLmd=f@urJ_Qnl@YN&O=glWnQ61kh;3V~~>>LNO}3|~~G=}5oi&)|TE z9rHB6a8r+020hR+e1V(Z5&cqEu{NT(^z^OP!Uu+Z|HPSgv_v7W-%f+pI5;YqQxJ^d1>r?=qLaVOtySFQVd1t1v4+>Nr_C1||^j!UX{rO(GWky^& z{WRrH4nV0D7OkKkgTOthx|SlBTneTMGpwd8zRaTy+B*w@z_bOX3EN!963J4g51JIr z!%PP$_9#k4rbBPxJP?@52PW&|cWn3>>~ll=k_3%stEz{;O17+d-fYM9)Z6yh#(E94 z@ZLo_t;wD^4JFX zIE)x~epbKcwQC7d6vl*?0=d`&$NUM70yG?Lc`Q~iz|5yUCK5rJ+R0lUBE+hsk-R$x zsCa;Iw?YgOAvVeIB6I`&J3L?!i?|g7f?1%=WwUj-@PJR@Q;Qt?AfI{$pL!n;ILfD% zugyM@NEP8!%|2aw<5R0T^D&>=D-z-5IeAUsZE4123LX&9EKiEeT#FE!5g~S%PwnG1 zy{jaS@u}B6>opAzfJj7oJs8A`1A8!lD(oI0D?fF_a+V*RQ-~-uwTLF7jmrl1=QAJe?SlkfjiK05 zc{SJNmvgvWgLCO&x6ZWrFI(WUdv;QW&7}Yp7qyPnX+WO?d71qa#y<1rZt6H&5D`O6 zwB-gy^g;fD`%ldaA90oqpE76{iwYmXk-Ng*t4v^28Ae(Am*AdQ!A+hhq^fXl3$NvH z-Yx+wV~ff35I*p-cz%6+SNEqnh41b^XlFO_D?I4EEARr*Y&_5(Gh=h$qXD%LHYVgP zt%i}mMH_Oghr-OaY=8M7ZHSmj1G8Okh9hAr+n3;58}d2Ykb`E@(1vU!C0|S%QZX`i z&QxWPR8x5V#5HY5QRM4;+7N^yFUku0I@*xLOZ1va(@bF4L+x=-R{^8rYUR-i`83{& zmjHwR5O0eyv_R=&`zwu1(lO0{=6S8}uT~?I+dp=VyBCZq3r024{C^vnd>fe@G%}I7 zli$eXM@icKd?S;?NxMMB#!0*TI-BT?aLjNKqeu+py6!}UEe8%~v?4Py6L#u#FPx@! z$64hcoa>Hl{(XyAO9n@7j+Ckv1#{PTU{Xb52}m<1$US@#UeOjiPb?;xV18KVbd@|T zy5u31!o)02$)rfOK-?`YT1MIkyNyOs(K=rn??p}(Pm7B_Rf8D5Hd z$SN{;<5odoNiw}jr^XlVHOUhi1Ot9kZL}5b!YP+WbdfmV)$jpo(c+b40ICUFwP;ZX z+AuRoq@;uTUJWR?ZrS+Yu;R_z$`G;PN9BluQ6B+teE~y5@NdWOYa;+GTWk(_#;D;X zIIQ!s&tY4@KRno$H+Z`^H%Ch*r7Ep>)IN=SJ$W)FRw6xMvi?O;BJ}q>yW8fMIcEQ#*1U$9+#Bf`5;_} z2x23cU5~H8<}inOQ;!vENjW}y+!GhM29R$P-iyJZn>OD8&9d0vbjR7_tuBv5B#Qbe zK_JPv6qA~Ie`R_1U%8=IInLwzqPs0*acHQtz+I6yY>iePUxRl(BD+j3^oN(KW;B7t z&V)3l0>^c|oP+i6$^V9Y{~F2{-D}FC|iR|*ng$)+*S%HlQJMr787-!VAc`W73viuVaU|UftAg28qz`9r@Whe;~`<&#Y-> z#bpabwId4%MPgbOuPi_)PYKID%EC&Pz_h*jP=r|F3cfggR*;P%L;)|ZE+sNBGO87x zbCmYUf5BVggZ#H{T)Cd)2eyFumgV-iWmon5=Yt}8GGobE%s~IN7khA(Z&>3W!*)p* zUWXJz14pt@d8tw_Au6FeZmAUG8;>m@K?8DXZ~moRb46U2{P{|;A=lHJDZ?n(h4=vU z6*{(lC<^v0*Hh&f&R%VwV5bp9YLuEI>R7_(a}4zWC&QL?&`2;Bdh!Y+o%NOlX(a*e zM{E?j-K%rCP1J9Gox&g!Cq z<#ZZF1^){DHcS2Idrg&wq+MCw8c1ag8Z66MYhiyZl9ry~*5<4W9#B^;~7KQ`v^ zy@~QdJ2O05sXP|>vd-Aye?s6DG?8qCb`DmWUIGb&P+_?Mi_Ucb5Mw}&?F?Y+WP~pd zzH&~yP&%9d2q?(f3d!&@$4#BLp1(_4?BFS_o=^Bsu!6)Gyw}ygsHxkBAg+_*)!C2d zX*+%?NR8K-3t-^j(WRv-*WMrqWYEyDkR73gm^C^uz2jI&l@{hk%FKUKG1Qh>%p@RK z?F_6>aLDp5;UDRalxBUhY~FWRpIAVu9gH)UctaDzmCWFISLx-zcD`Z=WoTaCAKnf% zphiFCM!a+oNdO;#67|_<-B28IMwpIzV$*b^Z4Q}lzV;YdPNBXkkWd+>_v2IEc{+v_ z#uf>uyiAN%-3K~gHo{XLey!(%eV&?O91|KuxbY0lFro+s;z-DK`Ol`?e})MOm0caN z?%oVTz+2jU&2rn8%{luGSA4oTrZeORzSo^#UawC`BV$_Gd-smu|@)aRy^X_C#?rj=peT%9SY1xl-JnCs3)23T1Q zW7|tB^SS&|k@{(AWk5kEO~0h!uK1-v)4*zJWz*5Lvdw0FDq++eO+#;1Kw+!4t+gtX zCO?qsfZSr?b66y-`Otnl z;7pTdbaf6jqEtWx_BkDh1He3Q0CNU`yhbpRyd)!;30+4a0zV4YHLIs-%SeOJPvi`3 zwtWb4Y8T<84Yh<&vS%00o3tl^VHiPTh%}0Ysya>uOt}h~(kincC@aW5tOmgcZ`1g} zuiB+}3i0%Mv^aiM2;z=`IG-T7Qz2R8TE1{cYy1NT>hkEpbqca0h1sw%IDeWqJrz?Y z{4{w@LVSsWCwXn)G6Zg1TgYJ3iO?bvsfhL~0jIv<_FDEU@BEDW6|+f&>^7L6alfMB z01{&iBL(B(;WbVW@n<3(7e;4`ij`B*Ur2G7HWS*>b^PfM%3$k3HtA-mLSQ)2kU~aW zop*Fou?pd#6omhgeW!9l8i$dZDzF??P6&sfbW7!TK0uM~6`X>`!$ub5pr{WAgRsvc zayUQ?2^Pl&%hBuY#*ZAmAQmt=M#fyxA`9F-}>%U zg-AUjBFJ@9i8Va#{8X4&Xjz7`zSMt-$%xl5ngBVT zrBi|`cPT8L1l4K>G=5dQ8hDsOQ9p(weAPEFc~zQ#Bf`E|*N>ytp=41=9>wCw;NJAy z7W!CIIPw|yt&<=Dz!!d}$;5WAXjk9*{!V)LZp16o9iAyNgNS>1CrRC95`dwgbatCg zjw3>3a5+Ix>tIn~4n`dRpa1&Tzy0Ml`nk zon<)EDH8989MWvfC{k<0(DSiz`rTI>a>#45G#5J0)H%s4a&9D!mk&8G&aE!`Op%*c zboI@S+DDxND?<(p$DKaNGgLxOpH5kEcPT!mAqRt3Cq)XQ3^|Y*l%h6r&{#0>pxUQE zmlUvaeFm z%}PVJN$R-8S&*}f`OlEDUt=odao5+Dw z$U&9OwZu?bg27(T&kI)wBqsV)JL=-`A{SFQ+N0H~#dmnPC%MhhpymP_LuglJFas0(cm|cPOps{3D8`~* zg_K{szZj{d7x&2kwJS91aB9?leG&(PjN}Ab?L~FGLdh&GNoGw?f2Wp44k6<;;PGcM zVc9q6%++fp(0n8?RD=*Bshof~gMW&L4V)vMV#HjZw%6;8zHJ!p!52lR4!6)>Q0ipr z5iDzUyk&UdHwZE_#-!?*bjGQxaQTm5MI8*S=XqNszOMbbb zfVD`_+%oyhkhy-_L=GRE3Ojz>QoYtSpXTx;+wdWmr(MuHOmA_rnh&xz++6x3T+0v) zZ=1#pxGq=Ux(Gr-4X|IB$8RSHbxu-P*OwQ6tUn~+C5rNSH0gD!l*1GDw z9#s{?<8AVdPU{OYV+-J$MWJ8Do|?;5PfsVBcY;fBaz}Iycw`_PXiz1MTCh0w8}djl zkHAZ_sLl38hBtuh)b_GjxKJA@=Y2N3DZ^UM%hLwSrK0m$i*A!6J&qAvKTkpfm+)H zd3X^hbt}z`F2aX5X>Sq+oC0a$hCqnHm;%Q3nZzH=2hZ9&R*_b#RA<7EQmL++4dhAj@!96tUdyIq!g~0HmhA$0wE2m@{?tyIZLh<$ zH1@hfl=8i_#RI*TO-588qx9`-X?BaV2 zV2qx`RKhRSSR0zn$qJfC^6kd7Imtr;A*>4gj@>8-_dsvOyk8?*Ji>D08&;sS^~;`N4FUzu&A+E{X# zmw8hvZL&?j+-@vg|J+KmW3}_eJ52 z`&$y;^q2eUSabb|KM5+AFk}<1QJBr)Z946xS?z=5g=+*kX7O6xntRt2<-0#Ct7_j6$|A&ng%&*ijD1d~77Dh4r+*Mx5h8 z%ug#!ykRUe+9FW6ag7K*Uac_|J1mEMDI(mQT!@b{-##!FbPIqxhZmWCfTyDt5e)ds zOyUAh(?%8DaqYCK0z0B5Jt^)sR&|e;?HNL?B1S7uj3U#fNXwAz(Z}LkvaGT-ILWd> zMuY*$&Qa8oG3!(AW#h6yTKA%d^N2mhm0ck3vq(uTLPVdziZz&x^cxKAX_g~1#PGsW z%FR6jCx}iK@}L%7dOLwU(fOji##hzL-pLd8$cv+&qdYPkDznJg4>T{{`x>wIiqT3?_{zQ5JaHy-bQ| z6`T*Gh?surRlGoeE!s!45hnV&yE5^nfTqS+kjg|#dR@VrWviQMLL=2=eVLP^!ix4L zd5~?s(8?Bh2s$tYSrhFL0cKTWXZ!4Z_@zw2IT4_IP2S8&*gccw%{(5l?G4+YnaoVn zcMOKqcOnUPl!zTkjhHMc0w=D`Jph4gENz5Eyw^GB+{sliOQV7n2`c9^I6}RYy0V{I zUj`2FKp!S~9NE1_dt*hF6pRqn7QIf8yGlC{E&lZ*qrpB}1z+FWWn7o_Iaq zW6SDQ=P&5PfllH0OM}K-{uAEX1&;5A7s=wOudQIO88CF3)GjhZUY`U&OOHuuD_>q> zuJ>MbdEZ2+Tr$@s?5A~PpUE1e2cRj|k$p~Y;ZO~8y?AO8dtH}aAAuFa72+&_e)oL@ zTIx73=ko{8A|6ebcGZ_<#4?@>3P*h~HyEKl_Xm1E5wAeYn|VzU_=PGHo4bIx*+6I}Y=mFQwwM~}2_nlp` zD{A(Fq6IxPf+J|9m(>cmz!nI5b6JrfsZLxo{ChxOYTPeX%7-ninMKKgUE--;?ZM>5 ztM=qzE72VQD6%eAWhx2EMpz64^Vn6Zt(@m-iC`0fu>%!L2PC)WU11Q1=PmN=(x)uo zc~=4aXUt`baKfr(v7hR*y%rJcym>efPIn=|cYU_NARt_{KKsNLeC*uog}Uig2|n7Rae{&^rG<_}7(DBb1}I6S;|E*;4JXJ@7`}Qf7buhV2*c$& zaHVU7-S%L)6NZDO*!>-2?WanfS1(oIju{}2V>f;5oa7lmg-7yyqE7J6w=t{cnNL7v zkZA({H{3(aw=H1DxRm$4fKrb6N_lzWB^)T_hd6@Um;rqh8e~v_2Pg>oHJYKKU+b;t z3^+o^#ON(gh&;m1-tdt3DTLnX0FcnK5;2mof7G$`fO+roSWDDuwr$Z}%M!abtJMu* z0I9PB_LBmqPaDRORFBTvt@RSPaaS_!!e#ua?}=5?m?~JQMW#1{K+Z}6C+6{RJeZ6M zfoBgxtZc)Yr?=qa`f?`#JDl4|Iz2tQJqfR4?WwHVZeV1nCoB@~L!!Z!JvTJeFiE%@ z{{vC3>kT*yQ}?fbOSnHr!Y!}ASHdmiv%KY1d@Tw04BO4T6&vn}1|d@P@jse`TT#?0 z{Er3l=Gn>r_mV%4J!h+_27y7urP1yg6AMGB`)40PaPc;%5gQP7-?KJAXlWDjokd{UEQLq0 zV1b4v+X=o8bb2Zpgmjjs(~H|ZZm*jiUxRn5CsVAle*JYOPj@^1LKni10y*< zAyq3A9;QWz>s*XpZWUA>jOdovx%7}_Ta4Ru4K7B*8*k}`omg$_hG}SK=!V6GAa2G- z^;~{Sy9n<%E`#DhU+gX8@yYZCLGA!pk$r8EM|)YVi#PfNNlJi!Gg$BX)Bw`Y8E&}L z+gS9hrhwM!WPsAYn~4VSjJIuDu3PNghh;`Ynj^Vyy382IO)A?-o5ke9un)Tl^Rf>k zx`KyY7hQOFmNeGn1A?17tG+v8(RLuULS}68#8Ad*4}w(h)H5TXEOINAo1q7NW%z;M zI%sa*`+*SHr?m_VqDD_Sx!XNi#ct<bTF*i zQ_)@NVe1i?S0_A?pt}Z%a5uxE#+5zmttQ;|4f0#S^k=QB5Ajh_)qo2^r){C8*>-%V zbY1Rfz(gwujkmcnA1$_@++uHb0|3?hSoX~~rJz}xqDEa>L^`X)tPLwE6)|*=N-QE4kmjsKQ zFq_GM%Ag$o>Mrdv(`Y4#YpAq-e75pYELIc(i;9gt$5au=IH@9EMU0HGqIPwfs2DBJ zL6`QVNN%;E5`fB}0F??Bn^$15nlGd#DrUB_Ch=9ih@|73y`o~qM?15XE1W3hbae_2 zg>x{+@Mh)wka8f5K@5QCU1Kpgx%`!X`eWF~RVQl<+3i;a@k0zzHvAwGiC~sx4nl@= z&2LtT1`l_+OOb{?V%TVjJ+vI8xq8)1_t7r559GqVaoPp@Vbz7f`!qj->R21%$#ph$X=)$g+7Ual@Cm)|8kU+hT9%EcKl8`mk0`uJNbyzO9O!-Rs?IT2|g&Q!jIy5n(D(lMGt4>dx))v z?g^Hm{;(2t4<6u-!rB|PithX(FGUUv?Tnjqw68>AAI=fd3J0YVR@`?ueT`mko`4`_ zCM(Ni)}*Q(Gmlb5i|mXxM_&`+n@LiYBwM(%rGU< zJxERsrVQ8Zbwm;rjasHGLyuh5f`Vo|t*e9gO7|UZvcg!%c-~pMI@5K(doU};3Rg^| zO!L)#-1lBAjX3hybXkNRyKic~0yWNGB*WSO1U*Ql6OzdcVaLwoeeZC$ADa~4oSW~6 zb_UCVltHg%y{Xq99QDu$enA_3hCcGZI`DS#DZ=RohgX1mmzyv*MiYv7PE@XV8PeQgo8;GYr#x0gx5a8ebgmU8=5EJw%qj7RRW$ z$KscIBgYD4N7+fbpE1m;!vIK&dT2hhkx4b3I}g)`jjW0a=$*5yZDc_T;ShyWbNRn- z-;2|h9(O;nmSwfjK}UiG=J2f^`c@AO<&4M**xG0BsfXluPRbMi?W%_&l%C#P@sx0l zWwepq{9)`=K)Kuv7FWMYzafV@Bm!|)*45qg`MW*GwpEio>np;+)L6^K!8f>)*= zJ5W1m4MXce+b;Ncg?+!yCSz{a9*@nqB@b9%3XffeH}4J7m_T%1fQNypwJ>4}hQhpI z9k;oyfCceU;7~Kp{sTl1t5*a8{|gR%3VcfSv>pWFFu)LXaI`V>T^Hn?KXL#Kgf*yW zX_FiPVdc~UeDn`^Nxet_!FN2N8SuP*LC9|9Qw3tyJE8C;C07AA0e8h>GUI)!UiK$B zDqDk4osAm>ElVKZ(1d(7;IB6JXFA0G1jN#b5f8CHrR0WOX07tQZa@BJe}1z+zuBL^ zJ@%(JPSR`t)}kRX2&GPckoP5nPz0AndE25+HjC4CbS3lRWTDrXq&U_TI!^pCy$5j# z{7y@tocGN1&Zlc5M&3REi!%&}WC+~l+*rP2(M}J}%>Uq-IUO^v0exvpB74NqZwq9d zM>u+zg1B&Dw$g052Ie&t{$%JF3&OlM#_wP5$duP}X~T0@3L9Lw8YuB)j!eK$TNvq! z!A~%+Q7=zRVG}#(t((?2`~(!qZ(f6+nukZGO~dAnK>u_No0g&cco{}Y52xiq$HbU< z5;P|SqiyaUU+-P2?(Gn#Mk2U<00p+5x)x>-_O=GVy}Z2@A+Q|U15xZu=Lg)|n-ZF~1H_V(>pvg$>>ayCA?~EVSqr9@C`jOG?W{lD0=$m}tP%1{U3uH{_7jBX))? zn0zU^6APh!0BBGZ=>+fu4(!aguW89Q-)RJJEK=WY zOV*;{XWqYCP68YBGF)c`Sqw&!-@!ZRbgrn|JD9dH6Nf5?>-*r%&H@P$Kjq$AP%9V2 zwktxYz}LH%Hl?mC*=B%Pa+b!I?A(9Df-8h2jX-iE z4;7?mJ(KAeZ)XlUcqaRLr_0yNY7xG?^;))MRC)$MzhEgRPdt2Q5cb^-eylVs0n$TV zj5m&sai)nK5{7rW_7J8wO(i1lTwk7WYt0anfK@<^qpph)x^rYoB=hAAi_D(QE}N6v zYECq#^X7{3q7UN>BH=dvRK;Rjx~DS9k`0ot!a>)1T(AhX;Ikz=@tVP>Dv-R+L5yO> zgtP>>e(8z#Fhzx9K!){MpU1<}7y4PC3Dn$M&b{&e>y8u3+g=5Oih=wWC*!*9RV;n; zuK!?syVOEnc1o&T|MG?)flF82Mm#!TAP5%Hxexb)q=(8bTE-p&>9aM)iq#^dF?a?E zErx}I@uxw*=+!$T5^|-Fn`lY;!msgyq@^UIijiu1zbJrCYC|f73Ux0h`$d6K>1D{k zPfOg*&XyN1|19=A>;BDyFR-+Ke5EXbT*f!Zn_Gx8 zHu|ZAZo{n0-4zmfL65Q^VijUxm=X4RIR-*ah}Mdj!U!CyTWD8#H)b>lH8)2?Wf9Nf zA26etL=??z%Fy0jELRzv*QmN>vQb2Zw^O%GpEi6@>Noq~y{2g1+6gfj)6x{HrM`F` z&SiNE))!@q8i(y=Sk|vacCj3jUC3e9g;E}pUA#Z4aCKFA|6qbUR#z2#%PziU7cY=q zw5?S6dy4D=mJZ?h6aVebz2gemMOFTyCcD5-jYsuk6v7_UkD(XpMhAW&Y?x%f3l$sL z4GIXMLkqrzu-`)17YJb`w=6mdJSYRJkMsIl5WWepG zwgs3la~YGj0kAFqN!olTtcJGGrbEcTz6&)tl*mS3XNd^xq*4FpPmu^H-P(FchXDEH zPgGpWDxW9q2+;O&Spkzu;v5&3#knV=zYjihf=@3lS?YOp2(n$#! zwGeFZ*o>~kD8QRF+=L@K5WIl+k}Yl+eA=j<-UK?#yzaOHuyxgKP(hC%9TAf>(*R*V z3L?KnYXI9;L$Gj`hYyUdY6C;eZUmxp~%cyGKRp&P^KAS{KC{7gOYpMQTF+r(pX#RC6@p<9-y0@h_3mIF67bO|PdP9NK6Hl-zP=m~3H+?VkI%~i zF@mX+v$I}+S~|-Cp(ET4p^b9IY3K5^azLi-+|+F4Kt?$bl}1-72hiuXP&zBxi9UC0 z>$TfiQ+25QMNO_46`1rz<-m9!c2eU>*DTBmK z1o9skrGA|v5_q|CziM^;}Xd@2e0!!Ymv&3@dnSe_O5o0T{PB_zFpPy47P-Wq; zR-%yC_>MYmkrURD31_G*kP%{TVGA3`V>NoS%X0#c6b^w>uH~vba0}#85)&`z6yg}bTYcD1+f$o;Ai@vesZ<$RQ0b$FCT#nq1J8N;+|yxqD@!?4xxAVc)s zJ_{ODJ_hA1CtF76rhybNh$whpt)V#jZS;2Dn0d~OG8YWE13T{|Q!w2l{0(CnqhxBB z;B;leI?1_{R45Y&&68}0lV$fCNpY?A35{AU8_P;}{> zl?o?HU5bk%zH*cTC6_hU^SMCgeZq4ocWx7&RDG|*<)pl|ymgWuxZa47mtE$Ra`b^N z>y3yb))2QZMj1riO^@$&lmSNUB|a2XEGey#!UqkHHZd0 zOfxuMgKUFuZt71%ANb^%$1KPCoSZg>8Mj3tJpFcO9y4H-nw7t(d~w2GTnzL9{csWX zK&@8S9MT6iVr70{(S%wUv&X3aC=)uA_XuP5CeH~$%Gxj`*|KMo7+)GX7rm zjg&JuPg|?JJ;p532;7WWV#wNNr+hJK2f^<43YXZTZRiSyykdJ5uc@KtddFtJ%#%}t zM`P)kPz9Eh3ZsBGJtNAyCXfsDFwqskppXiSn9XFfZ)4e(W7Hs|<_`Dq8-5w4I4+YX zA>+a!V7(RLmkoXkr~VWyTY?pCT;qt?Bmc{q&(cmO+MGwq!^Ac1jD7f z42-*GBi{V%ToD>*y*l2^zM4HOTV{_O879Ls8|Alp;b!<721aQjfmr9Sz|3``9TlQT zjK*!l1N;3leE9t&Ba8rZg-L$mw7h(@V6|Z6XaS234_%PykPX

g@STnTprXb3(Mp zmZ?3dMGZrcM19?od3*C;Lb!m~PzYrilx3@7o;n36URHp><;^$^V*%ZYrG>OGYZ{)s zhP7m3YsYW4_BUJm#Ume8K8miYQP?R9yD`)UQD zTOMBwDtj+Ra2#kJIoW^y=r;NuCrb)4zzZl#=Mvk<<~}Cwf-TarRWcu8b7QW#z`No` zw+xMr_|4}2TsF6Cqq7EaJpY=g6>9nFY;Iwl&)T3tD;MN@TbN>}Tqx6yh*&8Xny*9h zl?%TDn;XN1G&#!!_;Uz9%r*z9WleDnBLfOsINv6xauPB^$3iJ ziJgdY31zHwvzbn%#V8YI@~ZQkh~yGRzXXTxw(5^~y<)d3GtNG6;%0bi?uP2L?=0{a zG+1bYUY}6P8LF^bO7^aQX!NEJqbtT^XvVN=h+q~s-7*oi(h8`Sl1;YtmK1r)JN5dw zo%GBkKJ-_k+uH{S;t4uEQy3!|^?wU(R@1xP;d(tuWloJnbEES?~0OxJ@QtrtQ~umi*K z3)9is88F?gER>6A@)6i>xsF?Bxiiy`?jDmC+Y4k4GVaT>`id0UMGHcH-eYgy{}EHn zSTbCOb6u4HV|XY$U|=0}g-31x{3D8AI!!@C@nr)+ZF3)zWPHXWSK6h;W`iOXzvWS#j+y$RER`5s>cN9u_OhFU;ZeTRg5MwxM_oYHEKZ_Uj-lp z$#>a;1pIyvj(Wde-WD1#8qf)VGig;hc1f%uTD&aMn0uKf@7DzC9#D`w=-hJcmbKzF zBtRHkg`QVNG`Z^6zHCTmX-0sy-mZeEM&wlrfV8T)dgy4cQD*{_V;viKjF!pmF`^eA z<9Q#hORsqg8MwN?-9C`gWeNj_>+i|!w-49B$u06KyzwcV+s708x#t46E>_Msc=giU2b`*t?BSCI$hR#luk|OM9_aLVc_H^eur|4oVIPq%x1Bc z5#W4+J#oE7PTmfi__WP$?%bLhSOA6SSP6lE~pDv?8H~;)hpKN*9bRUT5qBXEN7())tfmU0RzCV5&FTZ)>>%= z*Npk$05(qRNlNb*VPfv4KsuJs#NyZPWmseNe^G8CO92M67FI2r2 zoAa8=+w45grMHO@^Je5!SoZt<2=Xf@%iC{dyfZapaj)FMweCZZ$~F)|JJM5<`4SP& zC;dtG4NIA|Zy*rf@>SvEJG1ZR5(1*jk6iWr5B{9+xJ?0cY@@03vsdt!S|?}6E=YTT zB7Ty!6s9wU&*gVG8@C4e9sV<->-a#ock6v~%ORAUwo2k)B_zw}z1}L>p5AXY_+ z?b_pj&3IcxVv(8|`Ji`t$z9z8dORYeEFsaVUg5nj%<=6PFEJ%yXh|b*xu_?p1Wl_T zJ9Cil6igzQ6UXTFK|2pmWOBEVPzMfsTXMT%18cL?d=O=NT$at4c2-qnMe5iJ+9SOa z%a(D_+#00rD(EPSXfsOBcH!a^Z=XVrg5=W`BhyEJMZH=(*xKVR@dMpuC`&S6PF$+^ zK<7iv&~cLEg0YUyhk^)mVR`O|nECS`_uo+`87c>CUnD~b7?Smyg_v$M$9UA$Vs<>n z_-+^BZ201W1_r7}!~p8)66mE9Hkv42IQ;y@U8rchl2v%G3`*netZnpG=ur;1le$D;0rqEuTc0ntvF| zRK{QQ+Lg{=w95;3;#~9+Wpm<@CI!LmyeNa@E|gkG)PgZqwKz%H3`G}bzY-A~w_>(| zb8qU(zqmb41Ho^g;V&vuyaobD1%=2KrB|tX(?`m;HHRnV)$qNx`tfrW4 z78NI}j(&{iwIn6^WTtowgcW`Igzsd2CvCoSvX1haiwL}U)ga4=LVjVN{K$(C4>%fy z!~muL%VQ0T*RZyk65l=mVWL_lb*k`NhCb^O-jWZdZ`3ro!AUCDHeNZh&9w*a?Dxpb zgBxj9K6to=I4_?2Q zc-xuSyfOqpkztN*`odu%0}J6hXxU4A6bGyE2Js+dDQ>j5COl~8H~}BndM@%X5sV6s z>Jhig;^<_+`@FM9A=6aU4M}>Lnsh7yCjY3J3bQ_p3{I7MVKuX$+!_m%8I^G4OnRvV zQcfkI2=$h#3yY$1j?e%_>BG`wsZ8GA+h;qvvd+DQuN?UW9^DyilKzUCFN@QI713fyzV-c7na4DqXAHf z^hYQqKm=?;Tj*l7Ow;P>Cy&tEDAdg;3^$?ZM;jhtg(x}0M8a~k{pt7W==t&t-Bx3A z5-^=ikjgSxfdy7$o1dR&^%*wn#bxuIMV)KWs}O4?i@-1^%ed6J{kl1EY1`}xo~1u` zy0$`=m;^V=mg7*<|4GvwV|oitUvp-+Qzt1KQn1|No3rOrn%-q0dIzj>D+&UKn!ruh zvk9hHaE4@gD7iC_XnvlcU^49nK?BL+dAD!MbR}4>-oS3u6}b2ycOxw7VxpoWZcLfN zYLpNR7E_wSTeOx~6bRjZ^MvAfl8SM%jG+f}!+-hvfBXBtzE2LPBZEUmAaxV;yTRc# z-|+fhg^)%$hb=W6qwQ5DG;8PcaW^=eh3LItLO)9vxJ`-w-B%kN7GciRLvi3R51Jd) z`|`oz3?C)e;E?W89mQ|YCQ9IlE+28vCJMAAbx^6~9Lkh}?~s)mIBy0Mw!t9=fU1X* zMk$HoCQ;*v&aa{!bYW4)@#qc#W&|8Zt%LxdM=8 z;jF8l(dM(x1T@e^dbBoQF3W!Z6Q)N0oxs4JlQ5R=Yl|H&P z&_L8VkZb_nZBN2FDqU|$YS2YYI1hokmkDgr7hHgsS_Bd*h&Xv_)K>KZz+{D2x(pv% z0e^g1gcM|9gvo8oAiHtG&WhbCy+q*_o#h@3acV`Uzrt_Rpm0MP4;5}!Ti~Iv>>aW2 z5))W)q67t89|w*J04PBEV1SjrMIo;T#TL1Qy~i67i=`!{J-mR;(cnle)SkJQAS16& zt{eml+hWzXb432ZqB{$#v&3#|=DmVy4Rj5E46Ip&a8R#}d(wTYgoaQb~T=)FXX;a`; zR=_r!XwNx+WofVcJq6QGJPV&baZLtFTX|>ZIq+_8<~hc|mlE8E>CZu#JMT_&@kO_D zK62fqOL)<7=Z2wBwvj$4$K6QYfK^LZjBN(hiAGvfh}U2+&v6kG1PD~SAvDfVdO1m& zR_o%fmYfLo3Cpo(A8x~kTlNL3?!;?QNXo{&y$mc!WKuMP!Cr(@X$OrQ zPsLj)RiI$x0t;V3w-s#ESv(a&X%>B&O%)P-YRZ$6so_d_a+lqmNMi!Y+K2Cst^x#W zVIp)RYd@bQ&EJ;37jEqF(s_56l$v0M@ncEwe6aL_dozb?X1!H)OOQb*ttrw+SUNEs zw8vUX$gHP5>tX;I3`muirwiw5nCs#+$^#x!2L4Z^3aFFnRhFSuC1G6rR!gx+z;}iS zRSr3)f!Db=edkA&brA<&D;J%=3KAE%4k47pvP1@*+JE_<|G(e=T5caq+YRlWf-C&F8RL_xAmm@J zX_I$lZk%>U(^gkBZOis2et>t6#)*CYHSEX5nbb;Rl-fio-;?e)I4QUl)wRYj)|$3; zdq&xswsnV}sx@s$F`b>>J&}B>>G>a2n>J9!a#8-G*0jYPdm^=IgLjXtnG@BwcbXL= zpQco=Qmpp&g{_Q|S2wazLkWM%4(`Fg*{ev8GIfnWrh`o9!>SS=7<F(u0FPkg|)DlmGWKBvA+jUG8QAs2*8#mF!~7pa3$_kD zfYFG1i1-Dp1QuiLTBhbY(98^^?}7{FDJ#)CzFDqbePi1~=|2F?pKgN{KntY*fczuD zwgPs@$nQO@JM3A=72J+Q&~R4-^nm5c=Y0E)+>9r~&?frE{2fhA#&G?V1=#h4cOLyQ zw2oUI!+zu*k{fu+;`v822To@Iqkm1y;-o|T%S@pMW}F|OGPd4Ahc(?T_l(spV>B@FIX=Wz1plbRYf$gslNb46~ zWQAvFSupumoAVN|o8dcnkw>(CIH{nwpr=dsokr_VJOmz2gs6 zy(`8A%;3tE2ul5#nApoxcDk-ePZIz|2N6Am`YO3}{gz9A%cZ~N(%*9F|NTqLrF9=O zFOTXzj_0uz)7=oWkbnrvHC2e}v&wuPSWY2JH}yIJ;!!|w3yUL!uo$2W6+D-N(+ekq zWKJv`1ASfh2vg|1P&ESoP*ri;=J(jiCvdP%Sxw$4>7!Zc)sP&-@t`_{Q~rQ}F+pcb z4^v1*bnpsJ?~L8ycMLGgo|4`xD1+JVb%6P>2Fk4StT?)&+HjljH7e)_N(!$srvZ*A zRplXOJv1Xp(VrPID3jgkPaNM)Vgtk0XHD*+^1m<1rqb6I^>q7#dBkv}Y*g~My|$dWfl1NwBf*fKqd z`q(K-R~Nw)Zbk!EQtn_Ia&Eo}+ZLNAcI1CoSM@z6* z94HWZ?k?MN*RXT%>zAx1i0vBWo|3p&cj-pW&2beoNNA`@C3@4+i-Y%uRxex`0%_&?A1(7pS zWOmB~VQqn>3E7lRt>pLt=qQwtCyg!JQ}G_k(19aBl32K28_`A)+}J34u*pct8#?J0 zOrXqISZ081s9zDlI?_)i(*JMW#%?HW4T;C1v zFcHVb!uba>v~@B#RR57QBDu+ygVFu6d&zGgvO!!5byVz^ewz?VFS<>b4Hl`;P@A%P z)lou{&xriZWy{f05BA3Bm#Qvz5N^|^2t$tQY>4ZN$xYQMF!E*#7O@_gshp9AIO`9w zNL{$VowUlHx7f56n)CbhA$qwCvU~~(n>?dO(bG~PPb9nr&0E%65~0lH$bpRP;U+H) zbwsZ`MDMI#CN2>eSrU)TJAG?kNZ{CIU5nygy$fKfa;}ClGN0Rzt`FY3`9O?;bC<~2 zq+_~`r>nXtfsp?8oIBjKfX)!S3ynmySTuyeYM+ktT)|s!ID}a_sPK zC(dp$$cYtjGE!VO=u0qRZ*(D4{_1wpbbi3|ilbZ`ZztXzl(FI1P5_!uy2U*SQK)~A zxoeH6+!csf9YJwJr9%$@&L^Sk2a}t;w_fzV_lR;}To%@h-d;syTm*hco{J6r)LE0O zn_C5!TD<0z&g%6$dOMK_f|UlClhApsT<05Gha>wj)?0 zQam9kScw$E0I5fa6cwE2+FBHqNKp&SK{cwuQ%1y$ob66Vx8rIfFhln7@>i7S#`1tbg@Y9W^;3HDJb4UL- z*{q)bMTHNsRwV;-k4O>m7sEc0!d~)ub=Wjt#95Gm@9WTky#6(kUjT6Dj77!uB=Zgf z07x+E5{wFcj0%@Sj`13LqMu}PQ_{+KOb`RR^S-f!fzgxFijwdY3*&m5+%6Px165T( zReI30j3rpnHv0p^K|F@Hye3Qub2mJ(3FZ@nw0hX6K)e7imd>!S!CUw{jL87O!vbb& zB;-5ROpR8X1Uv-kc>_|nf}kP6@}`*_58|O3NMQEdi6IU-9^6WvAdt`H8td(Y&NuMe z@!(S&4@yVnFlh#7P$;y&_B9+2X5hqW`#X1PAea!B_4yOmG=sEbR|*7$Oj=MoT$wiB*BP}_SY6@@ei>>Lo0>QROaR)z4qV9|z-FVxibQj5?_4k3C= zH;-s)d&r(pTGcH@bOa9me$V^qn%3!^E)fKb8wJNeWXV`X&{k7`8J8YJOA`kcS%SL- z%PjeiTAloO@m{_pPL;wi^=_Bz7YkH$m1T(PK^A%dH0z4n+BXt=zn@+k zJp>|U=Hx(Hs}Jb$B5|mXV_G+ojs^NLAoIyXmfWEZd#US?#nv`#l~q)A`K`1Vb0BpX zHlmjF)PWY$O91T5-^g;)8Mnt$tw7RaT(8vm9T?VkS=?wq(|MVz#{BK7@$IVd?W*zZ zs`0;nVONbkl|}N>AK|-6%SaAR^}O%04Xt>gK*1|?p;|2RFp)|w4>(aE3}MF%n5&p6 zUC%1eUvlwgMT5*ldoOCZy10Wow`75IaF;hUQ>?Jk=t#r7Jy;2t`?Aoe6^5%04YtmyyNxewV zbDB{=mPS|Jpd|I@{~%_M`)Z%;ZJZ$+<0+0Mp9hU|XJQ1kkKst$Eu_2=<5X>83`jRB zJ!1TnGG=@(c=0c!4@BzE!5i1Nm<78Kr?L>f>*qqnal^A@*{s$>53Q{{cLChCc6n|> zi7Nvd-5Fb@-F?y>0f;>!Y~G!2u6Fn6V9|mN0J2_7h{C>}C%db77~#_3PcV$0;NB{+ zvH{NIgKCOF*?9?gAv3(WJzEbuvwb6cG}S46)*iV(E{0 zC$CNJ{hyxvcRo|C8_hg~isXkZA9ORbZ&R($G1Zc7_a`;g!cjVVW9i|8qdf87uBlc6 zfnpnqVNW>BBb3+DVR^f0#L9EJkC$c8VbKtXvO`dDZ0W=XDVA69PTNHkO;K9@q`QOh zKOvsOn6Xn7&Gs<7%_5{=4B_Sz;+_j-7VlG;kr0B8NYrns&tT-} zn6bg=Tg37s6{Cl=LY&Q4jM4Y=;R4-KGa=1Xe?FyCTU~gxq*NJNC~t~7g!c3bkvFAw zMN0=}*Of)7>=LuhFy(nAetiPoGJFDU0EM66m%U8=&f#53l9j>ZTP&e3!wXs(%v3|Ifevcf`$8ttHh+7 z%10z%{k0_o>``qIE~foGl}L~k#_TSUV8$H;<(--AtD1WJURI zzbga@+l@fKMQHyGHMHPjVE`#9cu{ zu&lSS0al1b9!{;kz%({CfRM>aGKExlUjt{~9OP(g-o$d#2AaA!!C8W?Ez+t@hRKAn zZ+5l^u|ktikv^;pbcYPl#YO1Pqij%Dw)N|ujJJu7ZJBH~D0So#gsvPTGq)%Cj_t|p z9ygcn&#Sw@rU}RF7Llw+;K0aZWtFhZm1ib;Nb?=ZoGXrCjqug{t5hW4VZ@4In8ThG+Wm7^C6^E%)IT!hIEO~9irqmUW?75b7*0r6CZ zyZl}_Jr_mY3a8s$j*FFCD`iu;A#|b0pf*VSNSL$XWZpl=uK5Dq2w)m6VYh5nTsm|L zNjpd<#9u8YLj&@wmF7V5>zQcSJ>H0$RS5786iukTN4o*VN&pNQ9B1H-T<_%5MSA&v zyrqizF+0WcavcHh&LlrFSh5HQklr&Zp<^=o2(zPY$@qZn-9Ve`e0YHEP3uikcKOew zTwZU*Wtj5FN=SwUGnO8wdz(`3cV7+L6HsApMs6M}p%vrfW&0CfhwY(G@Qshlg2VWz zNXTg=BnDe^aLVXGvublf8@30F=B-1|-uQUMY*o?hDA?YdA91hl@2TTsYJId}t~Nf# zpgTLUy^6nBit-mV89m0w^Uz9YBnowt!aAz3Xc=EF3WZ%Q9lfQa={fGN5rytCfV~`M z!WO*;rEU^h;d<9GNiE4oI|YH)?;FM!By6G7AIV|FWTQnmqF*?tfwzv-Sz1XYbtuqt zM_1%!v*rLHHP~{9OYQ~E&7B^seS9ajNu~16WZ{cu;4@hSO(k16g}TVbJ$wE-BAdU} zOV{>`SB3}sje?$ybj_lVE|91dsmg)ln9c%S@y|lD0QzwZ8I25DENa{SWpB7*sOv=c0Eu zvBH6o)jvj)`ni}|jNR=6c#npTY1%58viZ)^l#u(yz(}`gMU$Em4y6DX2^mgMdc4RX z-6o8+!Cpf$#YgEj4Mf)BMPubnk02dJxvHqUFGNIC_4W~`E<%b8uZ=z?P7uVyzrESD zKe+?^_Du%~my2JKxSHA)TatN+r4&%J03-(;eFgi2{^ojL#ih zK-_ko(S8A!Rg^VBRrI!du-{-HQG!3hz*9kFXEPcO;o3jhWw2wQwJ!KOEf%O-s@O5G zc~xey0(P`)tQJAlG21K{%f=zw)mRqa1^^+5pIDe~H#bb3Zt=b>M&|_vO@Twj`nU~! zxw2TOKXY@uKZb~J{r_KB7GvnGIj<__`k?-8%Ui3UN-a}|-*cPm%Wgksm0ha{u>?+= z73+DWEH0>0lTtHkf-01ZvsR?OTu=p?Y*wsuRYV+>f6@508X^u?e%UtN$cfa&zCmsy z>jNnzL-b4lXe$7`$nDY?LsF(gu&Rr@pt!&_upsU2ReB>Mo^)`YGF!KfFWil^wjr7v zEc?D8BHX!yir|C&0;t%FoHC^kSl5hT)j{P09%rYy9|Tq-Fo9Yb_~cs%Gxs>fNFUh@(D9 zWAGf~VdXiRdZp`lC2M?(S@;%Zu`2XQvJ~pb#GyUNM0ys>Qv$I$ndQ8H`W95b_wkBJ~W8Gn~p2f+ck&ip-n4 zaH+-d|Z)9LXB;(P`rAT@0Z+vz-kA_YmExEYlF z4FtCdPMp!<7NyZ@l()~B*eX?PsLZMo>di~iv(j6ftmi6zQ3llqax3It6r+GFJuQ>3 z>%)0I&eDl3cy1tv&U!z($Z}ZGr~qGDdh-dak6Fl^3l6e*g3FS{flx2O-#4a%7HVv$ zVJJ51l>8z?!lj}Mloh|E7jqLu5??_8+HfHn0r3UHHR;wG~ zw$r2+DWk_<;$K|c!f;H40X32AHV}r(P75+3&53(s`rcy?jS-^5!dX|#C)=jm;TO*5|0GN|Jw6;U_yPBXQE%Y2a;e5tu>Ml+yTzRm* z>wtioI2awg2CiRlWUiav&fm>;AYxC%6IUV?rlnrgIYW0YWFVT|TxW z7ze?d7Yag(H!~fkW=<0$I5u~=rh6tvUEqvO=maWY^7&-W@u1MDnHXuZBaQ9IU+T+D z&md;zEfI8=?YQC^!w%cga@cq)H391gnj`^Ql3uPdIeg(D67nTWrS>Pq$zM5ayipVs z836W#>7AaWQBa*`f-cCj(D5=#wiq%mb-pF%<_Zn$nrm219JXKuqH88W+(R&QXxcCO zrB>^Np1(r^zG00OgfSYDb(Ery%)F${)_A}MLTcEmx4Nwp!E!+Az{#vrFV;h72L>)o zN;kY`CY{Int@HTSd3@_UzI7g7S?4jZKd#rTE7yS#q(YBiQ3YAJVbxju6R?uJ#XZa; z&cTu9B*3){9JJGj_2_fRyR5M0Wdat6l?SFw4ZKP9b;9`z3*l0d8tGdF!&e`cCpkYcMpc&Q2 z9VN|P7E+y`|2?(S2}e5}rZzdHI|PTfnxDN+XNGjA4zrMkrAGDAt--@&sR4Ua-I?c@ zxEs3pPTbv9WG@Qk-6@K_iXy2R?!2I&w9!KX890Ml3B2^#2)3%`kZXm7>Etwrlm`(2 zicQk%hEvs#Q~_@OH{fs#7I5Yak{3}fWrDSpAE>J@ZB`#YIK{G%rR42S1a59A#}Nv9 zy=X>!0NVJutinzd6bckN}_XMwW+)w&640!wK zEtqJ%Zo0~21@!wO^2XN@sUXKtPI6u02>Hi?GO^-=$tayrdBfgx@PhgwZKr8H2bc(t zpd>Tg-3qqgzN;s~6JW;&OeprJ*8oJ{K1!Ey4wmw8o4 zf+@{>X422rJ~O)kj&hvLe6c5Dxw}`OC)ivcv{!M7*J>qz13j|7v;`h*7AZ2A?*-~D zu13C7sC)zRaJB|`e`sEivf%9Cva1^2&K+EA0v5c`RbJ@DLfE@KG(~mojyae8=qj1p zJb;1Ody7Vt?=)Xi?V)jT;5HFseFa|Xl`F~=s1f1A*4MHxdtgJ#OcK;iR;Gj6#bnrX zT1OOYV#MJdBH>AfKht76)ptlz<#MWf9aEdefb4HM)%61|&Tx38Bp>-NhHY4TpC9-^ z)*z8)|AnUwvNQa^^za>ojkL=^f|W&_CBW>nMe>Y?n~&Km$&TEaNIYP)D*^}72%`#`H zE75|gXnc*QVC#%tsBXd59eX8&ZTi?Kb4BUl4HIoyNXp-PZm)#OCOa`&CY&pnse;i4 zFfP^&nm@*9BN*3lR;B<7)S8eR&|KB&Z$GmK5fhplsz8VN4d-;iBM!2PqOu>T~UIVeVA+hmS zJ&SddUnMomQhiSkKcZ0*klVBv;IDV$ZGHOq{{8ZM{?}W%?q=4YJyub|I!P2Rlh4RIiy9ump6;t=`u=_z5xXAYL)I-7p-Xm5ZP~ zJsrJ8eIP;!AQDj}!(AFsmz?YRLvtp)REi`GS_ZG5$&mx^Bhn&h;f5)b3b{0QX4tbL z`XJkeC_9CFy5^a>6@e(iQMfP2lh8R&%eEb42F0nl$S?NH+eWz*?~cguQbfe+fIN(o zVJ;9BXisgahgxFB``UBfe`b%&gzf;>=phX21Bl4~QsZXo!dhx`geHgCNcc7WA)R^RPnhXx0G15qzYBMM0) zqMM4s#fiF$E~$4sOz3iED1+4kDHp5xEL!FO4L#alz^@kkZd{IgDG+RaNw92TPFigt z=lB!a#I~NjE63yJ?-YnH3>B5l9mCr`(o2pSB$2YDq}Ju6fO2A5fKi{JrO7+srDn;Dzi*vJ$NMJ4Nw-7jbDp!xOJ1dw#(zcQb z2#&xw4qO7E|D|Xh7VOa*tV%oHC}D{Mo|^=93Kj>&-C04w^RqSs>;+&rNm{gwS?WuO zAn*Hu*J2KKaSTJl2T>(QGcJWwgMcLO6SKWb&pkVdHMED}NT@yceQ;xAM!S}KC;J5FH#wJ?0*|$CAX`07vPst*Y375Ufbz7T@AkMY|t8@!*dL+-9oif=b z&jRI>s_p^H|A;(Gu8@XUxa5z@-gL6Q$|2Sln)uH1;t1<&7H~rNTa523))yk$?mPfr&id;8Ax+=}Vp5{&3G|%xm7%Yhls~6{ z6U0!|5WnZ(1X*TI))&B#Me!z7KnBDhrY(DGkM%Wy^`$`t8hNWKsKy@as|wF7ZLNg% zzzNzc1!t&U!cP?ruL%i7C%=d_UYT#$<;iwcV1(Qj0!z7~ zIT(7B@yd1){WR|_W;mwe7hG}^umF9HY0m+Vf!h6*v-FPWP+;4%Co%Xa^Ia>=aQ zMaTC7SSAL-GFFKz;Z2~K7}3Id-jqAB<7bw=O%!p!8Kd1V7!*R zN^fXa`1TVg*SATSEdv?Qxgsx;7w!#avh%8&h1R$*tr5X&_W8!0?vDZqxPVYPYOt zY!I7Oseqr&XyoJ)@y^7#MA+USEPlY(l%G%k2PBzWmPkFYM6_vy>}bAm9723@OBh0k zk8vQO8q9e8M!;1r%f?9~uezs%_>I(whtRaUNWlea--+BA&X>AwR|7cWaQz@XTOGq7 zT5|@D4_lqt5{YWcuUwoSWpc&^H2Fb>bira1VchiGvFftvVKU0YXwf(P0Q2Kswha_>9BwkN@y5S87FywY)jm0!+mQ4jJP%nRn+0 z?K3^B#TMD*!dC#vWfGWkvZ!ZAL%pkUo^NZK5H^D|3Lc}qtx=iRp!1lZ5u&C z8HRnyna@{`rPQp-vwoNm3k`jGKULKU@pNl*16*k?OI~vQI&%E|gjfoQ1&V&jPKf1u-K2MCLM$cj zoSgJ^S@c!o1ZXKWE5@g;32`dx-n>@J=VL66&llufuqFwAz6o&!eZ4p*#0#8A=3z?B zfcv#LnR*|`{aTzS^&##TDDyM9Uo!Cx#;J2we*R?}cK-YszACWeMfIvXsYav ztySA^1fxlyKc$TJ?^Im-uPH6K`0VKCEVl!@%B^BC? z(yx4x0>}%k{}2U`+F;~jH8dg!kM$S;!b^i;A&`xDg4W3DMy6^+NGX26gw^jnaLl~P z7t4L^*4JSio}kDYNP(c!!jVHMkTnxRpZ>Th!;H;jGzvO>JvWei0#t8OS=#sn!#>ja zh8^o8Wgo)4H~jzEd$%6hk>pJ9uk@=Pc0j+AkF6OAYG9^Xqekr%_9fA)s#yt`RnNA% z2bh1q!JvKS5$<75Gcqzx=Ba#ABXpuNNILEMU1-s)>LW)BN3*K~92yvzN|)2GZf_jz zpvIH$AJ~Hv7rO5-ni9wZwWy3>(`v2JfHAGO4?u}$xk1X%hKTn7oUBolkuh5L^_CTg z186;qwBLZFrDvD0WoK9(P)_oyK4O`If&~lapww=Y4y)CE5h8Mq7Z@m=#lsrtCWVC) z6EM;z4ScS#&UyvBj|D?O=6cpENcWE!%A!@RV)yLrisxC)FCvxc75NrL>Rm0CLDAr4 zbZ9O_gm8Vp#~mnXfXI6SiHYNI|60I@07FRECCC%QcqBP?!cuy#ZW6fg}59KfCvZOv51aSE}6RWQuz zTHy%)?ak+l^T1qXa_>p=Dl%31*{XIY+*~ZX%DiX=(52U4o(6H@gK>aaGY9A2q=cX0+m1 z4`DH<_rqcW2P4YaJpLLelFsJQmT4NFXDOcv-=in6iq)GE%z~36Vm}2e=G3-yx&#Ad z-(X8H88W*`LPkmnCIE{mfImenW)L)p_YzFP7h|#|m;!yEJd_facKO76$v(cRhq*kG zA(9D7e@ENETGk$mu#W)mC2vJ+S85omn?QVeF!RTE*yas;0&(UasH&=X zLMlE(J`1w7x=Ztn_MJhtw=zpK0o&yaJ^^Ga-6bmk=H|!J2ecjPxqL6@4ANeTXVk&r zgR`7LcbGHS;WOp;hdIW~5=Uh&zdtivw^@!5$`JzsDm@O*i-oy4fP>p~T~j|^z-()+ znM8p@wn-bfw7vQ+lQM%V+a%c4)$qZ`x9*i}kB|1RnIT(X{dd}EpG*{Z2y1n(;Pqhg zVu7`OC|-~I)$w{(y6wU6_wfiT=lJ&n^F6ECI3f8QLia>5#%UPt!<=qbQv1L<0DP$N zA~5>*d0>P;vC=Az-P|dusKT8mWDgly!qb*DhFz z&2)-ffub~BnU@PKVAdVNl@CbuqL5*&RA49u@ynvvN)0&Wi;9!b%pt%ow{Miw3$uqQVHZs~cDaDZifBJxBC_$<7dq=~Xi{kQHp@2m#o?bsZ0F!@ zAtn*_Nx@yhrmVQGgu{!O>F*Slwx2C`Ntr~fZRnvSLd7<)`fNGbN57gxD0s7zB`1+{ zrc_2twn|mT%SON5Wu>ym2pOs+F;*Gr>Z?e1l9GhXqy|z!=MV4ltEDupIn?w@LAiwN;hZOcK#p4`EPFH{P?E|_CDJxUTe*>OPb&mibcG#P!z{hS^-vnJ0nr0p-9`MXTcN^B(M~zJbY|k%dF1XX3>o0z+mt9Z7W2S>r6VPcK;sV^(7Z zpCQYOOo84>*6H_XmDq;^cGntp=y5S*odi=gU*(WG`Ts#N^eRF1y+mgmgfPiE@!o{q zrk zp#>hzOd`NdF!_f0gDgl=nf@5n6d0Vy#5_{XPGAMGctVg35FD0UoGzfrpolvbkheP~ zxJzNYyHiI%-dl`y6L`GK7O36S(cr&8-d`Z^CxE=cKeGz%Li6McQdo3T@|R z03okb!R!BH{@9_6?e+YTP&Q?RviNSH3>)MwMvS4>$OdI%mvXywOj7v$=+mDAda-+V=P`J z3ds09)p9}h{^L%o2Z|9c;7OG0fgE7B zGwNgwJ%f{>a;MZOeN>!MiQ`gQ-n8~$3^fAQ78MReGBmowBeb*?&i_f!(ql!&NJ7iS zs21y~L-0^C^aY_q_ZY+|UxoiMh#c z``pBLJE6hK86a84g^6cGKQ4-}J0zZYW6kyb63@nQ*NW2TQ~kcBd`0PZ9C}%s9M&Mr zKfSSPIaP6bE93T4RGb^ZZI_2`b!Y)UoW=J8oK9rw4MKat@-G77lTOENt6}FyKH=|U zYkL2@O!3v{+$ZiEr^x5Kq6;@onu{#PHZ_J&JEHkv# zI{T*yQ(%lc6`8?nTC%-&c152jR7FkqT@U018TJvvLc7-(+puxGO3azAosi7`y( zF&xe1H2OD|MNc?}%bl7R;wg(B*DiY6SM+Pq^O{A^OL4g`U-U38SCT#5!{rK3{G;G< zU%%){@hC1g0+;JYi=NSR!`M4woQxqk+hB#Wy<_~Y6zATpcl74o5o%4a0DYf{Nc0e> z;^_wc;r{PDXCgAZP0>8seD$^r^EHL!>!}udhazW{B&iz;KZXmIynEbhB1ooSqC z`r5~Jqcumj9!xlXEj8@K@oQ;y<>S|~i(XININWjy>5_r*ll3VuZ(@EqiLt1hImai% zy5%G5#X1hiVDHMkSZN8qG#5^t=FbgT7xuY`c<`Xss8Wp6ztz9|yG{Q?n67ce5D1_U zJ$^lnL83fjKtK-&Gi==_yINLvKU^`ejGBX?)aJAzI(ipbHX4`T3J$64L5Sol>-j*a z1}xy-RQhlru(I~~3XwIT8#;?1mRKN*f$yO2o#Yg8Di91(M4ZfHe>%}@&zE*G1fr~qPAA^`K&Y}456ppdDZ;H|J_+(hs3%O>*Pk~3nfJV>S3@nHx z`BmQ(56Gk_QZhs#G%4ab{e6V{-i*%+1m$Pr^cPq@ltJ*U^|K-(D}j>M;Cu)uNmFZ& zmlf)YZqXs<6bcPip`E&CYcv)Ii?>+tBH(CIOOWK2fd2_Z#1aL!w8(EDDkFxHK-c6X zGg70%yGRz#x_!H+(Tel;68eOyAtIgH8{5*|?zt|1|i0dz7$E@*UuALXfQ^cpjU z5#Z5iuR`l~2b?)Wa=ji6@F-w*Xn=UA07TU2JPYm$z(fV08y0|Wi%AZ`)NU&DLD!|; z7JxKcFTiEw30Ctbq-`MhI`iux6@U+lD=%TSLI8_DUghFny6@U|= z2>dcV{(C|lcO9T!|Ho`A0Ixmpwxk!td!z!;p>muA^&Y7J3@8BIJS+hBry1qVPgb8p zo@QVU$J+FLcV4F%B#8<%o6C^bRzn5FVbP_%+w#^Wm>FwX)P5Km_!&IEvnU6<`t%20 zb2vDCupt+yO6sCR>_bWh&{ON)it>r3Q6XX)MSHrS5)mJtsY^QDewHsrW~K)-b7nzz zq6BKGqQZ$v%3UEBLvR`5eYT+(k^!V~GW*8@F~VyTI)lA+!i_@~Mvah=p{NtR%+(?8 zWi=LNuQ}0K6BvI+9{^EWCDo1}?MN8rXrz{%W0mf+rsE~*YyC$U!_11+Fhao`z>C%Z z%%iWv_?h30bK%vBqdyj2{cFPOsZqfmxjd9Nror0*uPKt?{(g4RQcURZconfZ9-sKz_I7iZ!Gl+9f@8OCRn|#+h zrq&#Z`vWc}p&BZG^LU-cbI)YUli+C8xo3frkQ&x3X#Hs?pPVN#v_gH8;gr(PpR4^L zZOBoc)$howd5$nFiAZs)+dJRPtf88S3;yOgtoeF<0uERaK6O|RAP=RO_K@iEQ3vob3PXv{ADid+t8)uf?s=jvg#uffRdgG`Ou3TR1 znl;-l@jCuorseHN?YK$qfT^bpJ#@UkfT{V8#v^w`ZZOJ)rN%$oL~f9oCEJZO#$GP` zFy5%l5*e!uAvxB30HsJayJcrW{to&;&2)E6Z!oWvUqsFVRe{rUa#C=75;<$=8O~ z|3fvRAIPzQdN4H?t&uNOSiXkUfXuqsf|1{ZrVLF-|0MYAkxYiqJgTi)4}1L&3s6F` zLZuwG^TJteBq^}6EpwPqvdBl)p%~)OSH_g#r#Y4B27qN>hMM7$g9{Zar;;VdFcQN&8eSd7{wjDfF^JQ_V zYrutJ$Y<}iSkt4pwgkb`+fts!XaAn_tbQcT4V7RGmHrk%V%qRhlhhQvv4GE`VLh!jc;=l+tAN3D6^;; zrDJMFSf>xH`KE;iLrWeLSY$P$@6u(I9_@FmX4Kk4?GRWp2rQzl)Z_1gP?AK#jG$&j z45CTIO9})Q;JA&p6-U*K;z2c|2{K5Fk-*|70cokXv6B9xL|}n6UmDt0XxZY{?d&18 zIHEbrBVvi&wuZgB#?0fVnZx_J@sqV!t`ZPHQAF5RD;CnATW4`(RmV>>GiO8ut8NZZ z6s=Mx#s?Y{#U6}r$tY@V4(XR3*R_2y;D(CwPMX}_%_p=^5#0*}YFLts4zg1@qz$R~ z*0%cyz=7VFgtj}C&Jd{I!BDz&Xz!VdFRQg+oH@60CmQ`vxm#UdJL_x(z^=j^Zfw{G z3w8P@&}DaXb^6fOfcqR4H@&@0$4D&j>1(^GdlJj_U%Ba8Ws60i+g>sU#K8@TWguz! ziAgN@;r#ebBo+q}%f6TCw<59Fyi5yC>q;>ef!Ku1RuT(U;n~Py2I#P)$4~sZB$g|N z<`9Xczy!5~$A3`zV$R@A3yqCPVwsPUSnwB}q6ie4e=Bw%IjrH(VDFcHiK0#7Mjkz2 z*9OE`CJ>Rp#Y9`jZ^JN@sJ57nfjFs*Y|UyIKtDKPFL+l z8VSr>dag(6?ASE^0TuZ*N?oy@?cc4DKn5_io3M8Z-|blUw+l2F+4rzA}t(fk>hBs<$PAp zlcmRJ1zs|;LvKWsCP*N$Dj8ZK5iuMm3>-c515*_LOI4E#> z8UXm-c#0QyyxU{AG$6#{EW*)TpEsRs^QaLe80KJWxBMw`u!KImQyj4^1}hE`RN;xH zuudcDF^sZSFZ2%`WofdMfQOraA-}l6wACa#c04QDwJ_^X%*lHVGChCQT#|fh=6ZC4kdrb(Is~U zHTNBfD%G`Eptm9OJ=lLSCA7s|oS&_{DcJ5rzz!(#KcE!U6jvRyDSuJ5*{ei_E-eB# z7y+`h6qtc2?vFEZu5-XF59JfaS+e{G+VMJ!X||e*6bT!=Ef{y7#7| zK0(G(n#T&$(THt6-J3%kLaR3;@)ZoIYvhp2tRv7U;-B3}3c|lwZe=6r9;|yuWtK{k zU3B)QOR~u-DtlflKBZ zh|_)I%tb%E9NFs?tWe%Fa}lN@7fHQM*z4hC7Omri3`G0DMOq^Y-^13@xYs)m?h-b+ zQs#mIoD-ybh0HZWV=hZuHc6eBGncnzt_WFUZ&W9KZ*bR6=j+V#9nyzPY-I$&NRbWz zRoWTj(M(BZR!L#}@P9tU9YMdvPx;ME)66YFAwnikJ4_g4Ib%N?GNj2lV_~vAuo$85 z@UjPf8%dGnc1Mu&DpzQfL8JApLx)|d(9dDvtXyd8R@TiR&;aD4FofWFHh+hPs~Yl( z%s054*G)vxziH`?-1HM|4S=-Zo~X&;KsB)HlM~h1W31rm9jYoO$L5xKRo1l?)I5Xi zc0+@?<$>K0d;fs)0#`6MSj(Q-4XtnL(Ca*{5_2{^po#ou9b8f-D*vWg@um*A@ zf^g-^GtTmykksXun3s#ee1NKX3jKWE>-RDRe1L$N%s4=daq0d}#aYsJBsD@(D*n^> zHklh&+QAlnXP})WPhP3CO|!>$;0z@$cPhM@;SY4lbN8Kko8TG7Hc4RQpA{j{s`~Y7 z6h?tl4=roOB%1BqpuoL!0_$zUdXrJ$z*fqqsk^m&noelxtvAUQgwDPE;_rx)*7caT zoth$9KG6vA*TVj1{~`ukZl8$HzA|Z)`^f zt+=iNTvtO#IPc}AwWRH#Z1^b|%SDl_$c7NXHvz}VR26mv1(XeVI1SF{ryq8P?CrO$8)CxM=FI-m7#Yea4onqio+@rHNXd#qclT^t z6=Fz4Dzmlogax)7#Aq*GmPXIEsPG|sXWL9_AyE`GD4vL7zMtOLvrWTgsML9C9la*2 z?j0g49E(r|g~JPtzDpc*W!pcQv2)M#S`1iOdGtR@c0rq~<^d0gkAY{=43EYG94f}H>v7R(zK<$2;up`SGYCpF`+KOsO` zXLdB)$_&`WQQ6lSn>lqluyW3b?A@r%yQHmt`FzZf*28EC0F%x;=|*d>Js-3c2tlK@ zClX0%pzG5mhZ<4lY_QCLGXCIfk6f%o5Sp%3PgPcomBCd^5nu&6Eac?nE;S4hf>;%& zECL`Sz1eBv@{=~vUU8c&x)=FN_u@n;M(Ma`!=w6`O5m&K~zZZaJ6rT9AK|Sg7 z=w4u_8nf^hh1F;Qa4GxIYIJuE=HBA^LRc~p7!*D*6`g41Uc-hEij*}ES+O$#)=}su z!+vJ(EN8*q(hLX3Y1As@N;T&t{x*TQ_a*Y(ci;`mqh{Gcl~pl-k>XLajFECsKEtpJ ziq+bgp)f-=Zs6W=fns*7f7!?zQp4TId$55!GxC;4tM_5T*g(|Eira znMUvLbwi$|?GePZV}P8VA|8#;hv3s(8z&7vdbk8J6Qsej$I)TZxvcbBe|~Cjlg#JGyT(RU5XsUYBE})fI3BAN z117$_(3ag?4pkZ8^S`pnkX{AbhP1*(;Bi4S#YrPVedVS8*J={aPuLU%W2?uu z!H7rL11S#FR-ji=rQPWYVp6OxQ$3UPO}vVU!JVQvhZTsh>pil3Ze7bIL9@0OqU8 zg`M?SuqH$-&LgwMOFN$K>#FT3@!~YoU6{SjYi0P<%yw^d^SJznx>9eQSY<5Qz7whj zy(C3N6t^y+=sQ&2>U%N3*t78>4-#w;YYOu2iocUs;Av^TGq?hij%GNfqX{#Rv5w|u zq%d896XH_Iff&AkDV~XM*Jax8Lr0T#8Wk*H($P%N(JakR{IoinG;u8*Z*Gq%jgCpWLzUxy+f*zX{Pyvp1n*Arn1U1!ciI3{tRn0wW*Fg)0t?dlNK$YmqD=5 zen;s(VT!C#9~g}Wtx7wulkz_z^?63hUt+J`F)ghNK;|gswgHxfi5Q&7uCr8cc#S!s z1&&mX!I20XH`W-^!jZND)6p)+O%r|aohmha_i!XpIpGVAWT+fJlMT-c;79^6WIiTu za1a=>i!@#V4^|t->J<#3Rfunn{7*4egevvoNN&2dlx5&^P=45@je|d7|2txdy#KWDAFLqujb})9X_E}jL-x^4|*_$Ey^z? z!xp((fKP}#2w>PEV}t-(>~3w1T~#QZVpmJmKYTLG_8Rn1SvV5b&T@Un4;8{jH5SV! z!?k)k0e@IAG#C{-Rtg{&J4mkq#p$5fIS+7@o|my!tGOn$xkkn;@$;J7=OtS-@$-u9 z^E#bgt*iJ~UGfd=vk6|OD8M&N&r5M+ym)eMxs^48(1|RSz#fTAW+4Ew22(J8c2-0I z2R3#Gs}ECrcBM&HH*-_**-iX-=|6%Ey`ml28H{IIBE*%0XsG}^=`jR6JYOnr_r!_X zwlh|DH&4^%8%;zy1EBMbakc@K!Vwba^QrX_$XC0pLCQMfP=Q2t8DowF@=B= zI^^RN=&11}TWc746Y|6x!Fms*Dx1<-VrX}%BM|A5G4WvOAkbXm8OsDZrq)^&n-xY; zdGs1G-^7(+o$!_)T77tKO?FT+{EunWwWp%Fzr zUy!H0K4aVl7(9H?G%$D(fO+>Itn*kHO+e}@pbd>OijfvT1(@DMSu1Pw^LKEhTH)LJ zMr`?H=Df93Q50UeO?6bRo(rt_5GinL`w99lELM4P^pehzJVcUBqXq zr>scVcnGfmbBqq4a>Ns9D}&5LJ)B8B`qz`cLt&tYlJu|V)V`jlx|Tb+PV3Un z(eL)yA39o#IQfY9scj<*B=1j6R@UcjwWKF~agXlN5iZE}EAIO95u0-R^EFr%k&Tn* zVjgTU`hh3P;PT3})(QoHXNH5PwrDWVWE{^OGhSH@`e7G=wu0ickWwxLn8$(@6tzr# zaP*)IF{2QGGK6*!8pNY#tYfgbWG5(|Ny_zDJ+7EZ(xl(_*rdSU!Hfp=5*1LYOCAPS z>kPfCeK1t-_zX9}l@2&ag z?-H+p--=RX_CIh|u-@rpuIe>oEy(isu~IChLjv$4OK<^;8g#(@(KTBI+-P;n5(uH7 z@{$qn^kT%q3TQ!!IidRwV|H6pwL{(ecF|@*Fc7XA;%S-0Xpm`iJR>t!;fk|p+QnL+ z975A#9?4imtgi>8#aGL44$!oV)$xgHPCPD0U5L}b;?sLCii0mj;{V@ARlg@R&3zGhfIt$8p9ys-UpKd=54_kS8rK!$i~Lj*Wd;JgI=X7^fTGLV;U& z#C%r6n@i@T0(cw`Drt9pxzoE~9KLn{DEb7!jYJIh_lpf)`UAp^1XRmVJopUtA;rTU zVwTLt9{|A5II9eR->-`+y0~&B#1MW4T|5p0Rz+vX8+i0V1X;-P79IGc#LJq3jgNx7 zliG4)V4I3N$r5BOl5eN1d^|W>Plj=HGH<`uO^BBBRv@}*7H`v1NLUI5!Lp6LoR@D? zNOekdpHMZbl;e}}QVUdw@qR=-_)#Hx)B+VI^i1ngt0&58t|Sbj7QSZeUWIaR9{`7^ z8GElcCrEFNQFfSyZG@4D z>CIzx24*vG7cbjDEh#rZt~>!ZDo!WDjOieg@@o1LU$$ydKrfro{#@|+)e6+`{Fk!b$BPbr+m1drWA7VB7rEU|+z|m~UdF2loc&DY9-xi}*1laD_209vTIj<-uekVqu z_0AY|Td5FOmD%o#J54+s*K*c&JfY3VZH*HSIQ&E4U{st0MFb#N)N5z88I=2 z{;&pM{L{`evkFho72uQoD*^w*#{``xijxmB%avI;XPP7In8b za?NlPKSgr=F=c1<$pN*M5e}%VJ0r;(SOFyRncAB4^}y~sV9hrex$~}N*=PR1UYTcb zfWkgiJ#U4hr(f@e%nv5?-D#>t426)J>hs) zbLmd7c?XUT=2R7;(819s9I*2EiGLI~PnJP;{_!7_z8Il`=LNvM1V{J4vGZ0`2}h5( zM!Gjgv$}OfLkTWaTN`lhWifnOH3V2s@Hj=|=A{8{#bO0n`iv<$P)tB14Zyu@t3y5=c z!VEzlU|ea4>G?Y}8V#cBAscRE{lFMk4gGms!_i35Kn z;AdI&kq-DXtoLFe=e?peXYPjJ8AI?wL-14G_j(Ggu--$hAKgR@4@|A!`t#$S*Xt!i zSv3khD1`Bt_q^ zd>U4$3yKe}7jGi4m<(|9^Zn@!v#9Ti61tRF+``&kWww?0uopYZ+g%b!|bvwk}>+XG^TT zZeapc&R`QWSk|DxVVP}Ok7#tEWrLZ2`1?Ps$M5n!OmAujW5xthqlN_%E2L{4!?i+q zynnd|5ol#%sX!o~a_7m;(~&@&3#VmCt&-%J)}@IL2UdFnU@iENjT>oifDZ1+)Eau++%JQ_-eLUsk{KtCQi4IC=`8l{xQg^gHeen< zWvhxIbC94^2ZhXmG!n3Pl~Pmq?U0@_=!%*7i_m4)I8=^NN@;@mw|4Kzg+S!ysrlgS z+S#lR?Xj+$+O)8{JWH9+YbE|jXK#THHO64rcLyb)aWs@O3xQMhR=CbUkm=O+ZXDXS z@62fb0T(TL4Nxgy92OX~DD59mtG*eE+#e2=7?~2|r&U1ZzLDlkZ1YN+L$6Wk+?%so zg~J(O$Od^V#U*#XP$gmKFj|KCd~WyokN@(&fBW;#fBwfm{p-K|<(EHS7ogKI*V&0% z=D&IH?`ZkC713_GPA}x;=Z4pC6Gq6(Oxw_IHgM}YOYoxtMlCMh?Y3^Ud){Gy z9vPRPyiydTv4rL43=h~69{)XY`5E^-V5Q|}!7nws&W42ju5tNU^~TC*`MI-lGn)h8 z6%672WiB$Y1J30$Vse6{TTudAhm*2(^{E90VNyoxEteVEx|O>wXr)62x72EoNkrm` zZbc{^3@kx^WBxz$;RcKd>Te@gDJWZ>VB~9+?FXl$@D)IAL6+@L1;{dV9JCuO%P9d@ zx&mWsd5h(v@&w~n8Qd3J-4lZHmW52_O=g{B>7)<#TXqQL@ge{$6gsw^N7Hj43wa-p+vLay$Y~sH2V>65&o}pR zRT_Zi%#c1AxMrb86bPP|{I(Rd&3@~jG;pJSIdzGQ3V`YA4XZ~32rx0|$5=^g3`Dc3JdmqB6+n0d$aAhJJ)HC-a;zqfXr}*%?awj_eIZdKHAcP*SGvd z6Qm+xY4LuLirmV^=$01vT2-J@E-moqtdI&qxfKz@A=sM%dt+Q$z_>XI5C>stfx*0S zX>k>)zYg^4c*_W>aKaxHmll(-d)T!%0~VvbHEMe^it2|_%RxJ^2*_QXBM)rN7EYct ze=R};d{Sz;l7r->g;fzX(KL-ssA0`IQue_bI4}^MCJ-_!sA|h%F743{DCWiE=WLy^ zK+L{U1%HMiE1dm0~I=Kfj$Q<%4`P##)(+SB;02vg{Wdh_U#tW`i6JIT1OMC~p+U9*{>W@$T4L}r4+ zi`w^7bEy$ZVlvya1-$GC_b3dKtRWHstYBLv+6(0H4Ag2`P5z8ZwF$D&kSCxWjMLc3 zXelE`o@u3wFyVq$bkB06i^U2As)`ycy9j2y>_|s(-7hS=NV_BrZ)^k!z8qJpgh2sm zzQOdwf#-`u@qyXv1Q{0DU(C3TrJPi+Kd6O`px}H26nqsM z{6DDH*sIqWHk+i0*TcfQfc({!`8)MGmHDBi0*&kSLVVUDwg-Flx(yUuXbfMXalYo^ zU?OyA(wr;^bFy*0?iZ@p{W3lNdqUZLb@F(~b=s-duVAFDdKRuwD!Uh|*Kfdmx_TX> zz%UK#_5Gq?#VF2JHYsHNnXW--_~69lB(Nc##oHh=qXF#gsLEVw=dI?7T48L)ueIWQ z9H6yYl5%BjMScR(<{G7`unffBs{SD5RcaM9mGy?Wd30BQOgWgz>Tp))BA)`iJe6Ys z4A9xYZ%a{QvotPI%;;|#rGd)Js9Kpt6^VHjtE@WeoPn()zJp2;UTPY#EAfS1pP03k zgLpJhg8^>FvZw;Oy?RKYFr^Xa*m+`B@mR)yvS%9b4udBKUm_L(2bFKY_KWvVnFqsa z!C5&-Sp6KKz{cQN>c_&M{bv8B7HoV{5+Y@*qFlv2FU{ePay!O8A#H0_H=E+o=5#_@wR97D|tCmi}1ACCj*mD$WyxqI3 zpnMFx469&1#XNj)R*hFHF~|^A(o?E#NsQu_tt%;ctcthvAA!S?E)+$@h{zg}AXLes z$oOEecFl#)CLLBfz4GH`8ySx{fDd}FY<9+C4^_x#(aV=+9cN;vdXB5rr!fY?grw># zV#YR5Va8cmTH8*i;2I2HZtKh&H9}!$Ovl4%@#Tigd1_wn*cBT6%blB-yFVfI+{cl`S;5&`d@FCG9;`8v#If+QYkDpN3{O`6F0PCV95^cqWLA+}sKi?937|yG$b1;PFf_1KMEpesO?139~(E_YZBL zsoALS?EV7*;DYq`q!s>zS>j@pKjO*WViXv_PrWI-l-@7+sbMI8w6AI%R_7Ee9(<|| zAa%I(fjmp(eH`59nL+Bru?tJgpz!xJ&GzRC|3Cix^V{_H=Rf`FFK_Gr40E~Mh98}F zSI0#mZ5#qhBu`ClkV(WaH>k5{CCFVX2T>y<}nzYbKg0YO5IU$7fF z2f2FykoU&`@)D%1XQX)&kg`sXZ^+#_XlY1QwxOCn(<%UKFIi-S7I+ba@Idxot5V5+7b{3G++T*R zFPmtr;2=9c5!h$a;Ir*1-RcQPm5oo#h9?-56DK8}CiC?>6e7GobxA*_6?|#3fTjq7mqq81&NfFj~4$apa(QIMHaqO&U1V?}ZWC-RRW58)(Ro zf%?)BlYuJ5xO6NRc~VZbZotsa)3`Nsn)G#;#seW9#n3QKBkVcc8b#}BS>77SD-^y> z>=X~+t!z5Z{v?bZ!`kJP5QlVtjT>r+c!!DWeR@R~8H>q10{0cUdGdSN$MQ-VH@KcO zXaXd3`~v4J*{^Mx`54kPj?QILhDdR>XVpllkO$1dnLIyG@O|}aPazOZoP*_n+!bfY2cz6;0&32TsEZzIatA7==49|J*T%LnjC;~r)wco_3(K{?5Iz?$=@T3f=d~{~IXW=)K21~$> zi-l+;&43%sh;JhEZjz8*A@iD_lC(pPV{L2k=Q~mn^H_d*3JKrDwKkgHHLQqP*d8~3 zU{nbGLyUphwN}Js9dfOWbC286&&PNF~yiPTC9NmpU7cNg1jb1a8%aaA57CQE3hd?$Asq>a0vcI7$Rl?u zEDE^x348HbO-8fiDyyRPg1HnAEU}LpEVM#19Oe}PO#V<$g^YQVI5KAbCoiWGC<)>i%O~(bFd$@SyHn%-`}1!e{9|VCF`V*y z!zs5+{LJo0pBjUb(F8J?7slADV~icZHM&{oU&X5-%Cx8dR{l!9tm~tv3@$npMBcj$G@Q;M$)DD-cKPV&7?^)JyUhlw+@s zK&}zWG2>{RdH+FGc4C?{?PLZ7QVh!=q6~grj*6JF?`(AqDQ2WMy(|HFeg@b@tiJ+0 z$axh?iQ)l3pgLQ%93ndA!JP#NBs0FJ-5|1ule~3&IY3}PeO@28_1H!NN3il1XrZz- zR5iC36`!;`Ux_<&?yxzb7N@d5J7Mqu{{3TQR5dna85QTqDM zsj3)@y+p8v#7I93`DC1JqLw7*W(!sZuN?)nb;`eezzW!E^RoeE7UpMJYo3jt1?cwN z{wxi}eF$|(){QoN8*w^3z2IKPX*!B`51v|&r1ND)N?#nm8Wj`1te zBy`dLl&Asrs0)4237mZ)WWEqGU!n#d4K5~9J-~NQMz=d0juVz{*%|3X{gmq$2I)e{u zgwYh=lYSc35a5j#=A?=vXb%?;un!Eg^M1)2-HW#qcabV2=_OWGi6@s##_$2&LzQQJCu zH^IhanaLBf>jCZ{Y9!&_L)84oF0EL5emYWG0k~AEn9FCEt~d-)gO{YyD=MP~7q#vM zh#GxQa#GrruE?g+>bP{p>mh3RVk^t9_ljCZrPXjgpUr9ncCw74{bF5jQ)%EQ1!#CU zpU-AM0@~VcJ};|Wfw0c3cgQw}Hh@2AKEGEwI+)4(#U|C^2pZx%F{Ri4as5CCSrSoa z*Pk_)i9POZ3#cl^%J6kMG8$!UdtqeVm{SE&pmPQ{n@jW+Hye}EkF=4|i;xo8CX8Uhmk&A=VMCX2m4$*gV^PNMX>ux3Pt)MEd2pMlf zOGcR(Srt@D`yB;bXqWMK?Ix7Z5Wk32CmiJDCz{zgr)dO-S-}c`b)ba+j0DjdC*msr zw+oEy1h+(MnIZ1Ww41xSFT;+Re+q@49&+~WsKI-iOFu#ES1W&mCjqWdW<3+Sd=2jE z2T>YpeG}vt=wE*~{$bP&9z;TM~tfI({&>;D| z@C6O0KfCb7K8j*prU$Em6NL4v+CRZ6Wap58I0|HQWo5`HiVTmWrMbsZF`CuDV=u?l zYQBXr>e%xSo#1S z)f-FK_n5%{00co;C}}V&+dyv@emYyXS8y(JEKUh|DiJx%)`(bIGS@81;*?ASXfFPw zq?L3AtXv{?R0qHdG{8YG%hFhgO%Z*m7|%Ll8h4Sia^E|ghs4#wz_|FM6~DvjcSQ1V zSvhf2jGD}G^~k7+=j9kRQ5WenzU|k=b(WW^ZkjJ0q1RIR@SEt=dpLwT!rM6w>cC3C zw%Qq1Q(I&&3d`$gPzvRsr=WnTZcvK8d%eryaSS6fsB-CzwK9*451{89c%nx~+N%MI z@^pU6+k9wQY{(8jS6LXkbC}#Ij^i#226+2L8}PBp$b^F-2(u$Pwo_TL?HC#7KtF5N zZkU=9x3&?ela(dz0CGT$zplD<4pvW-m_S0yO}X0h;X4Lc(+Nu|)o7UQK3UxENJZGox|=G=I9zQ97n`L>+C1*FORx*&eoY1R`-pdy68hq45Xsd1#3$+;`6J`G3L<%x&JpC8+OeKe=V&(i zpLl5B2<{*fh-3l6LYS6GAd>WQ5J@@;B3TaNb2ET4bV5&Ylda84B+Z+Mb~=CyImyEp zka>AuGC^cQR(I*@Lr_{&i#MH~vb9@hZR9FzO|^qe%uZ;unJWqn0;ec1%s>gNl`;(B zGJ}ALT{J|HlGPYPajjR|ISC|qF}PRj z0Z2dJ8YHQaPYDw`nms1jFCaM((OGnbz)l*!0CPmKdaba_I7_G!15lO-V9OuYH-ZI? zX4qyH`>|S(RL2pHEi@eJ91d<`3yllWECLi-2VDI6ztjX3dym3@fYCC>X<=+A^iP6#eGJSbyd#2FzCmH z!qe=;+f^v|lx1qZ<3-Vq3`h(lW-_UO7Fl1B+u4~13{c=Y1#rAuGcCr1bgQLDu@0M4 z*+zupW55ys!*jJ0kFfOAlA|h86O9jJ@v+B|%@9vX%}GSMt7g$9FaxwW8@D}}m1FwE zTsjSz|F^&Y-5}&xL5r}DMVXuf;7)RlLK!l1@k$RS_p{PEU!_QfzM{rD@g3qgJT*xv zB}FfyK^Gq5z)g^k8yawIYe+Y_(fG1b-VnYQnrBX(4%hd=fum4bj*TzV3pj8<7fL$j zkI{t*Hh$LerQNn4l-+SDOO{HRO5ah z?Xb?FX+zZT>ULlpF*706dbA>+r0s)*S5Ps}*!C=j@s!!{n3|siv@Xx9dLQwM=)iIq zBO2=3^Q6{0-_;>pjHfK2Qe>7ajbf5)%9#jdoEhl3l;%5ob2h_x*X&l1&|hKxgl9Ey zh=sBuPtWi;dklKbzyPEm_$}ZOsDU#B|By?s|K_!7jP0(BG31PSSGD9K&3`SZY!89; zz9Dbvuv#6+LIV2h+u$XG`ui(3SVrtCBVGfyF*fjOyD%}e@CQ=GfyBwm`x%mtXLhi6 zlS%91swzA(z?h~^GU?vWaHB)gf(CujNt7y-Dy`Pt6?*_%5qjIhfEx`~K~>zu2Kl67 zhSMg(I#2J^FBX1Jq@oP2jg9D|!|M_b5kfb3QmBmX@}qzPgr?)%p?>^zQveR=_UW z2(ifARzfUfF&2@a`uKYpej-LfEU45`9FYlxSSlK`O}2>#K`o9=J+g|A|DHH`iui-V zj7<7sW)DPRC?}1qG7xu3P^OYbRtrm04HYrXTYIfwBPS-*H&{V9S`GN#)1}+mC-w)U zb(lXz-@99KN9#%sL_P;70X(wgR`p!VJz7}L)&xuKOhnVn9faJ=vAtPcJ_C9`Xta-z zm8qdGj60!`i-xRh=DL|nEAUO3yNI>Y0MmvAXhG{tAh*y9A90x=N0x%I%*J2Q~>0EojxO`SsG%UVpst z$r6UfI|iKMgR>I^>llZ2Ady!IFi9J@W_jF2i2kv2r8vg99T?ol8r2xb9AT{-`HVEl z(ohJWaubfEQHhoGfs7wxUOh`t0cPz_IZ)l#6^CWwLR%wPWa0pYJhhWp3kz#@geV(Z zFk%uRL%YuD8w|Cytil{svt@4TB6)L`KoDZPMIz89jlAVBepn@F*m5p45mh#eWDh#7 z>LN-Lv8t;hR!oX8CDZH*bq15#^jw$F@WsOc^F zp3N2qtwAFzV?ukP$kw0}hAAwVPuaqC->FYK@Wb6cAf%bkN+985QPK61joo9K@Mf{X zErdPA;F#F_l%h+bN-p*FIs->RDMG!T=#tZ{(MysD)$0{oB~$5$#=;Mb-f<@{-Jmzj z-^p#~@BrpV=Ku&(g%x>S&LZb#Bw@AM?5;kk6&J}8La3p*co@(E65tVYb0M-hSC!&q zMg{&OI+IF9vgvSsqxze2W;y{hCh?W}XQR1_6?E|!GEAgJ zhQ~SYYx(5el%n2TrPQ3=4mRQ-XJhn*SBOV!vL7!87B&l~Dn;Wpw7+;>?I9Oql&!iw zD37rW&vO6AqDTx^1l?I_PrbW8w+c_OXA<)~Xt=ME`Pr{*uD{9ZyqT-KIaM|&%w@Gi zkY>PS#poyW_Q;)Jc&&ygiMw-4ab|BE6Q5b?e*bSC{5uPKL|V{W+~>L9e6&?~1WBF$hKapFtMI(MzxYh;T~*>UVV6xIus6V_5FLdC z_J$;3y~o}l@tMWQ-Uwjx3Qt^Eg=3|CBerD}pE0h&rwsOnAVw{HF<^&2Ey7cEAw@$NF7ZtD0eVqI84lAMe^f3SNUR>gv3Tv*)-6wno+ZR+^~b(6C!*7-^!f$J{0S#r9>`Ei`bAd5F0i?S!0_gFvbY zE9HQ?CSWS$xeLCNc&-blfdM!PR(VKE0Ux|e9b;aiQ<4m*V{Qr?G1JmEgInbr*x~sp z#Jbb8P9Z4fz`$sl2oB;jd+{Lx%$XIjAb`b5o0e!nmL_6lK^FOkOmRDky|J*Q!~@;} zyZve9)J@0~^9Y9J>u~HRpjX9uhb=d-jjPgI;#iZsB!Vks#9$p+n8vOKh*RCi@I< zkIstNYZc3xh19RwYrdWMrZgX%6=t&PN-^>ZltqWOc^YWw(Laemc(It%sh=;ML96xw zh;E6oNpNM5Lo~!F*i#5wYRxilxW8P?05t5$x@Eq6pqMQ;HwUV7UwP90^7p^}-JrHG z7Hc^`(3@4MXvv<%p;Jz1b37*TUH{TXjaw7o?K^~%Nh&?d@t|s{F4RVu3;N+9V);c? zQqXue*k30roCZcdshRE#BSba-q3=|D>Zt(dtkN`oMo~ZA7cIeyMN6Q5C@^=Hf_#M% zAF4suXkbh))guW%1w;~Asep}qBG-;og!K+%Px1&hA3`f2oHGokDfmr^&>csw(Iu4Q z5W-AIQ7Mg^Cu>=R5RP(%;Xb`{U!~7LKosf>uHyW~m`YrBIe8c)*87As-s5WS3G zY=O;R2$mB>DTHrBFH@lYtyK%7U_~Lg4papTNbw| zHv##yw(MfomVGthWL)oC!Miu$P->Ye49a}yig7GWZg{VHe|MA-R);X-D1+#%E+Tx< z-pzR>{cmTul7rUKk5OMFr3eyZ{YB>+1Q$pa?DC%3_=&uajJ#weNS%gpqQPSwk|qI_ zBu#CUJA%jB;-N!8aZ{lWruH@X!ehMzk9AZ{m!eAD|9(t77Cq$L{Z6f#t__bBv3&H+ z@^Md^WH~5J@_Ia0fQwiyy_IUZSd&tTbt>Z9;8>1URJ>txG`etJ(f97Tj6!Apa;h!A z>XL>c#lG!VIpPF6)pB*cPmjual46~w@}@?#x8)wEYF9UtXA@&c8B(m?D1QL9CNCIa zAygC_htc5C|E6iH2ViR{G!8q^0DoF|rMsWq)3 zMP4g|>;I?*8P7)&(VZ+(&U&08iL#o#6|zj%c#<=ou|sR*OM8n-mKC8C{e+5R-M+ zTosn^@`Cr?FBxPdGwj=YrN63~fuqb)?sZK0glD+!7_7n0Col&PiLn@zP3mqXndt)> z4r@f{jEZd8IzXi6Ei*}z=670>rfF%Jk1}E5;0hpM=tf#ME1ec&=Y6wE7$r*y-nE8e zYi4AJ1mqDc&KDWV#kMb;N3fm~fy99TZFL(50L+=WH1)bJ=IPCAeGXcP9dN*o^1F-Y zTy&k*0Hxn!ydC$z6m zmCQ`~!}P<|J9>AuYb_hTB@?uwDjZIaufM z4A6ItLRO9+Y-z!zV4#M)p=1p?<^`g!lFMF}w@v&V*nOQ+W0X$g={+-q8f9%x@2fS` zeLJ9122{cL&FlUnmAnk&*Fa{*c1bC@ZT@>HA8Z96@4;aS%#4#o@w2SOscDA4E)ce& z0o7l1id7mP?d0KWATrZeXrB$i7(Z2>l<@3tWz8vPv}d?Sw{Xfs`eJ?A6Ghz`yNt@d zK-r{57ga4B9~9ZZnAWnd^Vn@5oM9~`!=35y6l4&#jqM@QcnF26$2szM2qK-|1Jjv} zm`*<i1lPy*-%gwWbI&Pg2{8Xga#1b0k}-_MD_NGc{d9{~3g}PiH-BE?e*cqeCA{Pm+k8D9fQBX6* z9z`^ptVI>3%1A4eXEdNGRa%MahPkE{YLFpB%kP3*-yTXU3%Cf3Qb#SLcz<^B19A1) zGA0x4Mw%c~7_jx_5weCRsI!Q(o|11>c<#e9itXll zS^#;>9XS^y1x#>5kM7t)dv#o>L_{cvLUk0>%U;U5nsd_Ld*aRx-Ls4%1>w;(gvX@O z{8ef76)S3!3Tr^ACkhizGxX8GBaf-bWaQD7@o)qlxjo%WK=6e9*cxo-x>3ljii`uw zkdj4qs2cC1({DZ+_M<@l<_PwqKqBNu1LghTkv8Wx$`@wmwgRbQQ9kbR_khI^uTH>l zP?*h0LVpV1bC7aMkDs{Y+%`khMF2(!*bivHg;srL7aj>f#Ug3CNT87!UzGl$fc@|V zpb?{7U4(O67(2J^2&s|Zc?m`xHTI-N%>{EDjZ?M?v#GU^Yxgri{W)SxIIpM)_Ar}O za8BD+0JOQqMF+m2^90We8cvCB)@NPf)h#ru4OWX+vE+*UeD6yx%>y^C1g!SFRho_K zT574~Zk0=4&kA}#B%PyAJV1#q-(GKStFqk$GvZ~dRzR@+db?^r3&)UC8%+d+xa*DB3ksW(T3$s%TM;d@n3!Bi;_0x)+K%^pgW zG#;X$#wH2dvg&IzRSIRg7kyP%3O?+VCejz7{&r(L@ZKm<)FvdgevZOitlF_WMZ<`U z#j@n(!VH}&=(L%P%f=;7@|4P#VAEZz!xF|&0C%BF<)YtFuuf+Ya}dojdQH82d$my@ zULN$u6733-tpAYc0uull37RY_sGejTaetny2qYRR*I_?hSdgcRFvN_ku*)CF&POo+ zVLUIE3YvmP)+k%+oS*;of4#*yUMd~yG>7^q-fY5}P^=PFfAA@+L64VOo^9^K%6}$&EH6*hTPN+cuiYvCP1PRfZzO41@rKwTA#KiX~DRzdu+!g0sL6 z9oicw5b{7NdUt6%jUYvq2f^Zjun?-n!%wQ1WyJ|$MwJ?S@GR&5NPvU_ICi3DvuJwx zk<^mYa{oKYSeAcfca;G~vC)yhmNWF?SQXt*^AldpU@x+qTFc+(S}Q=4@;?VCEVi5+ z)T*c#ereqy;TKKCuX@X^SZ4~RnSs~~Ha-JhQfUf)WK*w$2+JjvRp1W3(=09o zAZ8gjkYYa#q&5oyi}?OPt?q~znk{TnS=wn| zAJZe1N>dxt&jP4|Rjdv;9M6(l0Q1PHmE%OG8vMLqW`;t?HZZ~1S`Nw_%;q+jY09^q ziB%v-N^v&l&&m`+pN)K@0Y<9Sy^LI5M`S~X35KKOkUb3g z7)x_s|*Z+v>gbX1|D|Sw+7LTi-p@@t0izZq%PqU(@ zzTVkg6qPlRRXtJeVX>H!^#GQ@Iq3dBlAc2KT_I7kb@2<@E~6^}q#F#W3LviR!dhL? zcdtW(qdKOc12dZZ3>?)=u&IS*=15ehQ%Cs1QGMa4&f};+?WlFI5=TX&GjG$-`4!-( z>ULD}|B`T2kkTWV#Tg28UYAnFoK55Jh0U8?(*!@5umN4p@Es6HL>91H+EoKf^qgU@ z-)B`T)rUFhOy$OEPiBxp<214|59AaS>w-}>`t6pD!gLJPTML9iHllgea^;H3*@&zl z9bKcFND0n$E%2S98!AvVf`ELu51x(aca|C}OxY+S8@->R8)mIyxE8!2p?D^GH42y; z`g4mYLMkY_!Bf(P)hR^6EvDfPZ{KsZQ1BL#HWiLWg}I4u(FSA0hy3FZ2JU{qz8)iwLQq3d+tAQ3f7Ba#Bk|y zjN~gS${e$M(NSu|Tg@YXtJ`z+pyUM0Mfv;^UxnGAEI^>qv zjZ)L+D&)K_Rh3mepKebCMko{vgyroLt9QY7f+kaOAS}behfG!mC|Ys&b>MC*j)BRK zY{AMTdj+`Lx7VQ_A{*owXRG-c(ze5djs~)^h;SjOv(@iF;;kqK%SL_4`5-2uE;+b< zlre1lod%Bqr`y)hB& zCq+Od8&bl!iywTilv<66YKOCZ00@Id&U(1viN^V1wWnch2WjmMxVo+p>|)h$jW47O zI*`t-)uMWxw8k=Y}9lP+HVUkw(ZVJTFyP#VQ!BhOz=e z8nWa_Reofg5|kemsbYvBu`s zG(g83+(ubZcOWMW*gcE)LrXcxQy-@7aH(@dQ5PxhY~D9JOQO{U@|sba0}o!Ld8CS2 z+IQWwx-)mS_pR>Ky?uSFi#v-}R|5$irxz=jiq3&Ysl#AK85PDXw#@#abh;)@kEasy zv+C1w$;Jy)s3prWyn0G!91;3X5hokiLDvy=#4R~Vcw_orr6s^t>TWRUj)WGjubNuG_z<8gzlKY2u{dTAan~M z=4cgid2*ZL$QOj}3qtn}5V}G&R|%~U${uKeAas%~+^-ixR{)bpi|`i(2;BmwR`TOI zg=t>Qema07W4^>hZh43ew=J$`VK<|}n5+F=wVw6cuX1kd)tqNxJ2~j`| z0{tf@#Nqdf6BE9Wfaw8*7Tvg$fD;n1U|lYu28)2j(^{n`tHRfWj4xsMB!w^dz(yl# zFlFJ3dkJ_(kwIvM<8!waZDk!X@E#1j&k7rq$=@t@fjt<-ozNp-s`O3O)22=nz7O5s z;kjFZq7Fvb5l&XmXa?6sk`_eKPW)L@gWJ>R1Ctgb4ki>}S{R=~qaYa#5i$tccr2XO z6t{Rd@lR$Lk2vv{=RH_hFzFg2^G=`UNfUqF0)DY_`^7Nv-4};kXzqvHmt!67b zZ^y$T_&T|n-~Rjmgl4YMz==JPKyaC;+n#3SmQh@&B&*^Y{gk@sfO1?{vwg#bitg~02LA&!n z|G7P02d79!QdjPzu*~}K%&J=up28;O{kJc_6VBfKJ7k3yGE@+(ENlkk_>o8fFQLv! z1+-7`6vheAH>_-^&WXMD22Nr0uxG*>!WhNVVb*$^Sf+{h)_8kmyQ$}d*-0N}rQ+-U zgjlr&98xYSQ~>7&j$y1_j_MhzDVmZ?(FUZ|^T>)OCHAI|OgzAlMQ#HirkA-5poQ!5oG-`n^#S?pGp$X9CqGL9Q#EMdl}S z5B}^`|`rPaVX6KO8FuPgYHZ-|EUoeF7vLXWYc6wJ8m=k6C2k4}-qy}3;c^tMHGtmuP+ z3?ILx@lfuA!u7eFl)X8|q7X!kjc9TQI(_geM+*ZNjef3sT!t&n@-p#g0RI`M{Vko-;DsVaS43Y&-PoogN4^_KHPpe5KrT7>b zUK)9%EX5zn-x2&?o>UJk9q69~hV~^)s+Eaj{}CD+U)HnXJidT}Cr@p`xPuPzt@Eg2 z(6Kk?xL$`9Rg76eVloNE?O86quiC>*i)pHQSX|~>y-nPF-%aocg2dy$t>xYQ^s}^@=EQ|+U#*c_H9D; z`h>jui~Op%WfWg!Zc%A}kgbA=Bfn_4JIv;N0oAY2#*BpDNd3TMusg(m zL?(h${TlH)*Nu`=$3bj7vsJT!wwCO@;%V|c?6v8iuniC7MKp~k(;yMFpJC9x4lA|u z68s_$L^iw5(78Kj3$Q)}<3NyAvoWlCcOK(b>&HE-(_)NwRVbFzEXb~!>S~mytlNY` z)Mg+bFd?4N;C8S7x64!GlXsNjWSJQfSj9)yi(Yt+cH-yXah$B$1K-MGP=KjkU!u!f zq{Z5DcY=-vl~TvHJ^KEbDT08}@HP(oFBt{2)YpYRnD*Zv)Q0@dK|!Z$0O~vlgEibG zbOc#xxg|qZVto7WfUFo1#?B#sP(qn#*5xL}Yc1PJ-1XJgdOcBAm=On4gcqO~2QyV< zGYGNpZlBe1ZoAV}YuA*hs!G@iuppSMRDeBucD&rCb@2eoL1Cj#ahb~Yl>OJWWVg;{ zA!Ubv8y#Lwc{5INJi+cVX847Q!X)gA#LRJdo6Z0NSc*cwE5W^2W1%he6F=rMS&e&O z{f=^oV1G_CNmtoiXh&KtB$mY~sK|G-aSWB&?DB1WDQ9`Mb3$dn>n6p6 zl~d8>*BetitM+9!=fKctu$sUt=E#nYC7Q|se4HbZbTN{~rNR9R}WjINqtd`hE5BZ`~bKt2(@_?-YlSV7T ztaTESPKYtEqHzc4%TYRXK`}~D?S8FoRG_017OqGm#|0@wQMd^>f1XOUpgBv!0Bf!F zS^tg$Uz7shxd5Bm0E;GFtS?>?V9ygG4I0^)nOQWl_ifbIg^g9OH4t_wzzdW&Q3LY@ z#+0!%2DU!(ET2ar;#oO2`^!O_WV&h*12^*fazXKnG=kO9TRXLyk9ZY^ZKmu&cJrdr zorTnk>@v)1gDhECYY8Vg*F=^-7s zb(G{VZ`$KfjX%x}my3YMrTWRB>vR-gXAQ z*Klc8dV_1y+`e>T66O{bfDuQ~f2T3O91pQM<;AZ(SGR)Yvz_)v2+8`_*BAeDU;m9G~1+ zN4lzu-<8&l-bzvg)|p|dAwfk{9xEr{LAd5ee=1%)UfbYVewi|2KTG2TH~|uhaVC10 zMbpnK45OpBveqidu|qw|+Ug)fu-bM(qowH0m85XL*aXU*3#36t^BYGmwDTGpYsI@5 zS5>mZm?_rt%1!^@A4jHuaz>zbGRUH~Y*5sgodhjlQdr_SBb1c!ED$56>vgJDc(hK` z@?K7@6B$;Bi5n7$Mjm&tJaEQ6F?I#eHJ)gY?KEr6odh2X$^L0;We$0*M?`6ve7isD z5fEwP?OfiF?PoQbRMY_<6(ZnC%ANY7JGDMD8&Y$k^ENH41+)E*Nj2&lLme;g z6NSau_(s-!4g0v@sc9^DMmtkyoZ%(vMbpCjPlCSmv$Zoj(@6aO7?~wln=c_ZpWB&^ z1DADXbR=+j(83V77&Z8`#m#b*^aZ64u783&vc}Oe3qd*Hu z)R-7({%cT9<9vFlxrlM9r89GAMkI!)*$w6K85QgGt+jTKJfQAm4a6YrXs=Rb4JaoM zTW55srTvcjk+>{xO>#`jn|fZ~;woz`0ifj*R-O>#r`I%+{niI=(Ih z#bib2GFFV`HBUOKdv9pE|2|7mV6IEun-5MT+4}=;ofUC*Max2)W;bZj*wvc*gv9fc zXFU+(i+fww(Fc@&W&If@zMx$*QN@RQ7p+s|DRvP-EInhaSQqBxdfMuh{y><560$5z z!AfWhrGThkFXZ#>gejDV)okdB+3M6JWI6Fy|5nyDz=>Bp?cu`0?DGvr>xH$Rutt&> z7RW8A4S84Bc@;fzr!9`u&D13V{#4O48eaO|YU$gJ)Rm{z?5KGl*I_`+efNy+=M-=< znGMhDIm6f)o3hUyo5l&~6*irx5|BpV!rSF!W8H%`k50yHz)+R#v}ijW`iV*9AfYoy zIEF@Z@5x;`rRDx{`vREXSq1LdjFiA_>UPdDknzaQnK0}TuJU}ZwFDbEgj=C488{+5 zF?dPG0T}7kA$%D6%wjK)FkmF z?=N1p^VyjV1<1BVZ5Z$H&v@^6Jfd9aJ^D>#O%!5!b4GNBpYRUzR61~E(9~s*C(M5? z)AIJCRqvgl`aJPfScT>$4)elZl-^{dYC^pC2p?xUr|p`}?LD?{+}?xwD0fU;gCgM5 zJF3D7?Skl6x~Ua~UIn2UL=WE+`3+@O3aSPk=W1ww5 z)9iG}=nnF@-7e|Q=x&?#x#^mJntym>bO&Qu+U&V$%i+#-s#V{I)9iNdO6?gP>+WZcjqWnsH|hh>8QnPu$WM=- zxMXw(-#OoWZ^!5^)04jO>ed?*gmJ*72ZGL6tI0{n!+j_a{W>_-Z>`vTNVi^j@}8!HSn26c41 zsE1Uvrhro!_IUdMi+bGz1agW1pU7yc0C5C(M-Tub2U*CL<>j!00NbT!UdFC*V(Cb5 zz?2%N(o11fPkcW(s<$G6KMWl8=JoNbili;d&^!guZ#$y+tjdB1@{G~@rW zOfa3m?Z=QW1Jf=Jyjf6z@vjM7ZVg;#N4I{NW*QYuMwtK%602|l-4KVt)_~u)hBKwxc3Ss^-v-$u zZaJ)s&SNGubk}=do_FMaiSv7_|K%aE_IJb1SaHJ9qc;U%98=aTPZB=Gl18`SHS2%J zW}nY$H@%o|buggmcVl@V{mG= zn7nnSa#Srwz;g~Po%uq*j6Ns%o{Lo;^qd$hl+rC?usb8L%e|zVx=dCFws3#WS;kZ| znHxzcYF$;Tgd(UjKmxMX;Xp*oE}WTk__6m(-seat(r)O{8}Vp2sU_Q4N(-oI+kxAB%-f(Q?=XO5Z#kfHP5NPPWMOYH^IN{r3dhP$C0@YkI1*BM7QRO;RyP>Q8 z)bO+1IMEkZv5rAZQ^>tXZzRiirbv#O755C1x+jvl zI@=@mv&b;&4(wNy&YObZ-6ca>;XUYiEB}}>q>Kt?X+_U+D9}h9^dP_)0Ko&kkIL8xjR#RKP0D0tkhxuR_>KhaEQ z!os&|c_$9`H5f+R#+OL?2c5(|H(sdq(bJOWQr8Mc_KU2ape8LQV!5; zaD@An2u+dt{aY2#rMw6+Pk=!lz)6u@`9GUlIi94by7t5lVjwvutz_Evs~kZboF|p_ z%m3IKW0jJb%D2yDt8!7Lgka_hjewpXSC4Sre9(V{j{OsAmwI=t6FyPHOEDtbmF{ys zViB&55_s%e*Y2QB??`sUsb1dEkSv;qWFU&LkrRewvLYf&D5IQb(3JSf*8ePGHG`%U zo`S42k0F_+ef#}CJx0B+cggB>w_xyuAcYTl&CKd_2Y?#g*+gu-)N?1Q+Z%+ySs5H| zZ)bJ6;wwhdQ@gAILrr5mXGFaFBU4QtMt6FjcT-Kej^%1aB26`?Wh+rG^*cSSWs8$; zaxM1aW~!N~KqzotXVj4ULcE_vyc52&NRaTyU!$;+qx9E==_I`(dC9_`lct*C*i@6K z5haL!@9|U z?*(7X#;GQcLutf649SmXh-*kTHhZan&;dpi4UDp^-k&JiWcHd36|{IJB>(PG`25$u z{_@vfuF*KYy&Yp9!C}L-N#!mclgr&Qj~PfC-rhNitZtg~5ZSgpSgAAlZKlNr{po_-^*GR3wv7OFRu+lLC zn<-?3D({GPSWQO~#iBz<^s~}pRA~+bfv6@lw92Tdc{C)F2n=iPvhsI;VGpfr?Lfo; zJtM>20IZnA4yr1v0e*tnT2f4oCHDDx!A9eGm=}8Z%~0nt>BS$VUt&cX&aj)pR1PDz zeq&duQ`D1yWs&H5k_rL1vpJ1~p$l0nsL;tmFk%%m`ExR=+wZz05NMX#20GQWfGt?~ zm!4UY-EDP}*>f612K=UoF|*vkV>iGzm=_FMhjD4-VilK0QikdYj$~ToQww7?;J)k8 zmkn@1;)8wyda`W<1A&`p= zBa3NrkPx{aW15@^JXM@HEqVMs!h>b$iA(w^;n*~}%;@ZG7};+yO;&#+V#>Aq|+%+y`R>#KRJ zkEY7x8RSBl-qeB#mUN$@K_K7D2b_>tRkKuby+a11I#stK>PryQ9pqUm?nB^GJ1oRuQT;bL-%YtR^XaAUt%L(S{lpNWp+1 z9Uy9mMIP+1HLsXXSSn|ugK(g=Meora6d7NtLP$S;nf#kpqX?;qIwY4^o|3?m=lClP zlS`{P!ZpakuSqk%(h(08OR8*MQniv`(4Z+Iriu9~>~CrEanl|_D?13B5jE%?4Ua5(tL~YDq#(OlD4c2G zS_fwvZY%m)kO4ES7ue~1p4%Kh+Oov2Ez8%IMOewcNTvSOTNWDgl*u@hL>C^6TNYNS z7nUB+R;m96TNcb+qx2W0%`rAfX^0UuqG66LS`t(=+UegN8ir_suIwyY$a#Z!(3e<7 zA54RoHdEkVU};ilDlq#GzG(RbXcz~^VVG*w3Qz6EG=INLIxb}5 z)e@$RIi&uQ8KT7YcPgI8K}I-N&)3GLENB4Ql3U#R&Iw#}&IDW;cd*0_!=^2c0;^cL5_H z?~pPd%5H7}jNs!|>@A#XoZSj-LCYj!FNayNOvZ{GsxqCT;+}RO?ZCWHK}!`oBPdPT z?aH6I^;}YCx4?yWG-fw^Q36Vc*{vMRZV9-kFvLYk*^LJHF1%@FFV6QXfp%-&b*vPkQJC@UVqk1s-ssR~wg-{FLq z&<=tL$p#Lxsykc_xTi!P8WkJY0SqeJ;1G^*9jgb__5sj`UeTG4dRwDn$#Z&JTKB4Y zTa}Eo70tnltuUNo#a5Mk@!;@VS@eghL2S!09ARl<`S1rA8!-(SykL19e5x8$*@mW| zg;m!5d9w>o5uTtTMwC%_3CKO*=DoN-uR@ntA+y}`0ELH0)-sPZoZV5hFiI70v+nEgm4Oz2K>4UvbsJFW0k^z7y>DQI;bE^UB(0n2n2j&fX*-H z#$qtv-!DG{A}D9o2)HfJW#G%Jw&C3~I?t$cH5FNjYe-7o_8e^o;a*xeR036B1l%@RQ)Cwuqou z?q~}0*3jadS7^(mPadpvCGLP2EG3!U?Q#NyV8JH9x$HGuFg61PA5(8Z-Jdq^TPb zp~o;Yplx=jmb;iX6I%W18@jubb7&TMCpQl;GlkZt>uPH|a#TSVH+}4w_K6UoQjq!F zh*0eE@=S@g$xdr`gC*&lo^q{7i4BN~C_Y=d+tVzG{sPuUA&(u6CKtcb*4o6t=QP5v%vx z$`<3A=lk8t4mOioVfrT{U5I+E5!oL;Vl!+0MJQu>ToK>l#Zhk%`kWV1hoU{k;rv3; zexYc;P_$nt+83c{6&gX_Y1RIi!wH)j3OmyR4(HjL&t|6ii@TF zJ0}Tc#j3z{q|}321>@RDN$ke;p?}Y4s<(9NP#wmUkDat{jR-vQLZTs{ThvIiZH$Wji#MkJL;$@6Kx(3wDSHuo#a$`tmh2%R zAdU(mRR<9|vm6ectl-Ea2{1PZl$%sSe&Zt?BI&L!T7?e|KTs#-ofxRq8nxx|I5-nL zadosZ{V0}9g;Zby!$%E0lMNmN{i;j+F(QjOPN7HyJlv__&N7sf5OFJA!gjAR-|Lec~KkH=hz*MkW0T0&2ZrTE%+xl*4 zDmX2hI?Dnfg&fpaL>V8=VN$lYC$?)*WIRr%*K~8_HNA-`iO+! zrbr*ec8_ugfdP;KL|*z$dHUdZ>kJ6Yp_t|zATaNTw`QM16W$tif9f_Cdz+55w|Nj^ zp%cD0j%ke44@L+Kfl6}{kb9Dz7e@+POiS2wL?brQF~Hl3x5mOE7&je&7{(T@v+HvR zm`@q1RT}Zu$6$S!yrhBEp_>9?(^!Ew5sEKCffwHkgP2xmx;cXnnhDZUmJhzY{X}@{ zg9^Mhjl$O}@cK43DK!cy)Js*&vlVzz!+d|#xz7x#O(v-V%|Ch=eZVaRPdVQ z!A=5P=ZN7Lngm(+fz30Z3K%1X(cRzSIr2>hPv4<=wPxHUFDd96vt zfL`PPPeD(`k=LjK4>rA4?;gqoKElY3H@!-=2VrBOT>*W&O)n+?N8_mu(0|BJgjFi? z(BwD~d(;iP83Ir^x1gIrd(#cQ9X^FPR{MnHc#lKFEY z&DtAR3o-Mv%6zB8JIwrr)~KZ`7Y) zVgS#)dzFyErctSGQZ1vw#q{04*>MjZsHUK z^`OxD7X?BEyxhjyBN>)0bZ+3hCTq6=D@$OGQCpPNp1u_su2ClDI%k;&8oYL zt__0k+_jw)iq)b!J~_}##cUgeAgi7(J+K6YvV>j8BqO;O%wqkfo9FzZIOImOQ8_f!!UCzZxAus-;}+=YclLHUwpi zJ8Nr6-7)M<%Rdg<2y?q6Z3HB=5gZcB5JCCFm8td!pnnI4H#_$wz~Oo9)KWhCG=o#X z5PCQ~Xb8R*DYRp{yCHFbG>FX9xGs5&1O2s0p)KvA@OzU&YvH3ikvRj~F6!Vu{vKxn zTV&1)bay4&+8vF`7Qnq1nU6}Lv3{db3T*H(Uezi$E#!f(Y9MeGa>EwE~I8adsSNI-yH%56!jGo0}dPm+-LP<(EP>yLP zEpZt|@_sl_FNCJOn8xsZDQt@|jrG!}!z)y06;gcJ3KjNt-3nDEW1m`~>OeEckpEwx znJ>`H7ii`SH1h%6kC&Gy=YDP!hS&NMJ_R$gWA)@prpbGnlW`dWr6Sj9~M&gh*K@ zaiJgsFW?$=Bv7+IPQw9uYd6H1G&XnPhj`E@wsD z)|^TwWTO7Uxu?tpu8-T=&=O=|_2|NG zcJ%$V(|0^uR-mjNlm;N*V17w#oX{D2)RRs);pnJpvEKAQv1RX9qBh9R5Cle=6D(l%QiOmYwoG|FgsQeOt_)lS95!>u@Nk1V? z%m9gelBGEXwTua>Rf*=P*~xPXrlew4iQ(lta60BAm+o*HxDabOa)`+=y zJ*T6pEgCr;kkbxv4|B0=1?95Y374waHK9_Dp@M3#HYcl-TBa|+(shL!;yKJY0z#Ef z2Ez%357xTRGh+>T*n+fwV^}2)Xi&NFa;vp!=*x?M8^-&8{6`cj14hXBIU3B|KJ|M6 z!A%J8QdSO<*=p^u{j-@Wuw^?RZtCO-?+A*JfrG^ZmG(J*5*kd^uQN#p13);vpTz?s z^CSk}_-o~8GP6ntQ#sSoS@s~~oe-cBKnRkwO*$Bok473yoIrz_i!GT|$ha|?G0(6C zx9>v6@fZDKOJ=w?fk>@S;PoBzcYsoEr$%##*GGz|9*U@tNbTS&U%b9g!Rs?3qF>JI z!^tu7`oMvSLV$TTuMhl?kI(B%AeGk=Rq0uSpq+;SZC!jkG>^OdKi;CBS=z!VmPex# z7rWiZdGk1jUo~kZZy4b?HN`wz+C60>lUCPn>oA-;S`X(57HTQtG}#xRl^L6d3sX&o z2k;GVmA|JkTAr9bLmvd$gOcXuY3A_{x^r2!jn~Nx-vItchHtRx9R~F@97&U6XP~1! z9V5DO*D@TzDQQnz|8ePBs7YI98o}lEZr&w%P_SEkd>T?-}aMU*)ax)yRGoZ8}GkM^_(-`h*_(AD>*Bp=YVc$@4wNJv2v3)sum_O1oZ zN0f$s&o-vZ%f0#DAjvGHnLZ^dX2I{~f)2qA4gd{m$U#yQ9;?^3EV z3neA&Ttt+9BGgwxZm1L*as6KLq&z|cp0vZt#CA>znZ~;JKP4CGz0ElHta|b2aarN~ zl*&_N#Alm&9w0ukR%6I8Hbx?xzbd`5`wf&{#T{~eqEP)|eDf2qE(C1~Mu%ueLYmGI zM*LDlZ<9)&6orh8u)I^CwW?6N1@J_=y^c6^u74XU){?#hLn23&pIa0I8T~Qa? zRGt9um1JXSLjlw1%&K^yH-$yE%QmWU4-5VMlBrGTJKnX8nP!3NQmG z(R7I1QOvYLatj_RbZH2^p1Q@yO^TbFIGe>dTPaYKuCULK5i6j9x&+-?Aow}O&5D?xbthAx(d1p=>(OW`G^-Y1+hMR1GY@Dm(#J6d z5)vgV1b~+rL#aNkk00GSRJT1kroQ0O@xE0K-PC<0w)7*ntk~(T*GHFI`NNbrnw)$= zt))8Tw5A#HMP#8@q%0Vf%ieA3H`g<7t|{e(lWTx^hFWVmn_L`Mjp40gCT{QLe{7flucnW+*2D-Lo<{({FJScj$ui$DIPnB(api8gy0+ge2rQUf_o=Rf*R=L z!FF0tC`G|3S6^hAa?`#9;r)L>!Rf4yFNdm@jQ0{6MmJIm;=4?B?949%whM}7-S{b6 zN$w1L>&WMW@P%PhX>U{8iquO+BFG%_X1)g}!C18O|RG~6G|yD0>90ch?_ ze3(xc3f6eag+giHfB}=PCcLIlkc(w{J2`6}xMb-mTSq&9-b0J5Ht_N|TyEeM<=~M9 ze$SOA(`Z`@WoCFa4N#FkJski)tAo7s9KK7=);O;n<*jm;%n3*ymtpui^CcrKw`2dC zyf*QjY$`YnQ&*vo=2kZt)3tkQu{}0DOwR8$M5;m>{s{{ffMc!{l-a)wqmT>TS%*pf zMAezol)^tr^xi-I{PSBX%iE8}4geI)oSEtgEQB1sLCu-bvrX_{QG@{fyuhT!;bYnemVqemR#cs*aN}7k3Z8!&v9bN9Z5_V`ICSD^!!sp_uMi|93okM} zWZ^Z8RdEBz*I*S&gnS6g+)>Tyd(Afv1GFUeXvVxFK@810_NO0qpM!O%3Jf0F2Jo8F zAdJI;gSGCc8ps+2D8)5ct03CVcabB>KBBOUEde+};G|XT=l!!}71wzASu#?rjxiFL zia(@SDP1|pD$WJCa)6@HZL9CVituCrzz3!fO_TVWj|Nu!1QaWTUn9i|ZWkY%V#V$u zR*iNFM-rP>qF6C;$;20~6szN|9516-EjyREuhE`IU`0i4F%M~9ogeqQWlu;jH)-X< zSz3dF78-xlU{S-!-LC+xB4_~a*Q|}ZG^qDMNw5CR zlsjlJU7V5ZOrs(zH!!fQg~=Z)WT;wveC3YBd;?=9R&oQcfYn{)4(nzq&=4bH4jl;F z_&_PNP7SKQ1h@{-VLY?s8RcPAkO9Ow*0tOJKYwwCyz`&-+@+DxPDN@GrV!PYH{FZ|iy~oL# z6E7UYytoYZAP_AqO-_MXqj?}pllx%p$^#5v(3R6Pt2)3uSZ$J=Zq+%%B3?0A5H;S` zUvJ(aLR}g;k>DI5%XsP7FhE&zHi`7Wsq_Tw^evfslK zlD-?g-0b1$?_@ytSOe}E<>bmDw0eD^dIaBybwVbEoc|Ddr2Qp5dKL8>b3x^Y0I(_x z@iAO!0P>rghXA1Cq6iZMoPIDalkr;d8xKZzISX_%do3LT8{#{7T#S{LA*C6pFJ`LI zOQbUE8qrU!z6NKrzU@Ea`E_Z8=rKdxSTTcasV0=<4XOGZx5=B@1sjj ztWGf34^CY29YZf2bwy`(B4gbL;6~c~JG|LMWQ}P+`~XLcBM3FpMe}CwtZRTFvPxu7 ziuRe`S4?ltdKQ@dQ$K}gfkH0#dI+sBN9@QJew)BT9FPtH-)F`WSbOcky~*r2+f1HD zjix4PNX5)y!c}ey6B(NIavb#~p~ihkq}CRumxcz5!d1eJcFE|Nk;JEmjtIHpVzzUEQg!*@dsSj-mI{(Lj4bm);$An~#CO}P&h3J92jPU# zV-)_{vk^`tBR<(imW4X#5{!G*1gN$!&=W?M8R}@E*~ji7oOtqR+^bfElQ1I{5l+~v zN`iCZ$P$~}WRzo@fp8+c=bBy$+wpB)uc%iwTy)!>8K5gX{BxY3yYU3ba5 zHn!2KK?M>=-H%ciJwV(-g9_z=r%)SY^-+cbLF1o=W4-3$ciIaU*)7H-SpvB8_8qUa(IdFf#D&<1Uei&cL+XC!p5x-eG#BG20w>I@b8diZU$9 zSdA5>OJ*J5Zfq+)rMVQ{o=DZ3qXKEUgQ`%}(yk z7vr{o9+S(EmLa;O(`b$=rwiHoG!9J{I!Pm`Js(Iwp!fEg4V^-O%bCYYKG&f&eLyQu z*;TKSD1n12Fr-rn$I7C5W;08ECt!_ASv@-WJwl^|oDxXy{00u+A$BmPFSttubNg-} zQGKpyhs!?Ku?3+@QD;+jov$!X%b- zj$)GM)ouisL!4$h0)VibFR-V6R&U}3g(0ihX`%>`6U3gOr6r35LOnkxUOZ4y-tALs z-HyM*qSBO6v!8;tAP&UyBGiaG$0?|Rav8wD65uM_jRV{rGb^65$ z9R{nLHZQ_GqoxRn)O(uOMK~o#wD7!g*2_pgYJAs6XGM*W)@?vHtSfzO7>lQ>>>D>Chb1Sg-s+!%}0lxYT0U7-mkmAlN87I;l=m{)}2`^9K7< zwA8~Pf)$PP?XEbQu2^gYlDVOF_swz0U{%U9gd>dr6_YoO0AQwFG5MTEVAw8MOW}5e z@ZGda!+2p$2%rA#iRMM}_xkqiX0~-_p-m_qfr?rfN5f+0&kl>NlhaReQWfqcYkgsz zlsm#1djK(9h7*an8>o&a_zvlksN73bhe>-Ge3)n;$|fcb|HIX^!fsYM{m$S{QV!U@+m9R^37XcYmUiqt8kUvaCn|^ zUt}3s>V)WXj_rqOo}i%>i6`TJ&}D240ufds;G%&x%3&S=bzoz{pc_$9pU=qBh?II- zRI8LLhk+oN`|2*+f%`OAo*aOfav)fmK;X|)P#FEMwL{={m4Gm)Zag9Gd^w4cSfv(> z&jC{UED8?5fZUkG^_>qveSPgA|K`EJvq60qLiXZvm#vg= zQ*3Y17u5GPP+yW6utI%FLTNUt6TBGeo9O}M)IyS#VurPPG(vp^u>c9`ONiYYd-IP9 z_4QCe^ilYW0@ODZm6+kM#Wt(8f3I`4VJZH3wii!=Ksu{p!t2sIW);k21!L^wDneV{ zfB&z-R*bqV3S2I+Yz3(=#>q3+K8!LT+;T{#xS8vTH9PnM%jnw^D`O_PF<{aH8UvZM zaH=sFU-Dt6uy?-XQ#JVTCAE%$zTLj?TaJXSYCXrAB zfes~WaZxkx7lusZgR_3kS~I-Qm8kdZcBo=nwA`X@f(Pg=uT(Smnd_6s()#0L(77$HeIrnValZ8X>rVVaU|w z4HO5kkx77;VnUar5{o~}_{`v^DZUluB)tQ4)7^3;HtSD2xLbv*j%Bh}*RjS~@-aF7 zuUYcfELol@;^3TlQ3zpLL&ccO?hUA zUg|5jR-vN4;!L`fmjWEhP^*Bt)(hXER!OnSl+$gtoYAt)0-rlBTV)g`B>x$C56i+4iavdf~DSj1z+A=z3PRmRwJ`cU`KC75Lb>n3@ zBxaBJeUsQeoS3~e#Utg;wiM6Sn4;I7ur7v=t|*@W_38M!(#UFpqRZwil1g% ziVs{HSl7i!@!lwAAF(c`+Tg(W-L6aV%@En3>%7zWeHTf;`r2p}GtptQjSR5;Y$J0v z_r8Ka^qkyln2syV%u~?v@H%P?Dp8A>`S9H_k3GNF{qpdnejccWp-SB0OV7n$qJ<6G z$vQ+cn_y87r4bGo9@O)k%y$m*t_||vfRkxm!W;{!bZ42XGSh!V)>k;q;og;KN-J30 z7|Q56@Du`()}oU)I247FNArpsn8lYg(Bv^XMwd|U)a#V+387(GoN%gG3__7~RfIZi zOv9T^?}jU2XA*VAX)NW3gLbR%%ja0V4PVn&-~wJgbr?V7mq_`|%>(0yESp1g;&J?t zb>*#`vA)>in!EHRO{aQ&%*2*Y@%rp;(A`^{&FY1&tE6!q@*=hqOU2FTU59uo?V!1a z0VL*8UVM>~gR4QpgpSnIH5!jfp-wFyt3NF4fwC{<*9&!0$)XYJWEhe`sB@^K7R|^j zc+#>B2wY5LCN3;o*w*5PODOC>9{04ge@AT)C{s^D0>&WCT0dPJL=xi+ zfyYOLTGW`dYoCg>-NaKt9b50#KsmmBD*PWAXt9X4U}s4vW~r_WKs1Bus^^#Z79yG8 z@RAy9?Cg@>{ViPMra4o1eyDWGarcsQtMwlOM0PA6C1a8OY&RUf9X9$sE;K0|p z_9@O?E1big#OIOou7oY(7p?aS0zTWNBj8gf65>hgOJ|n37b7U!A6)qM=_ zK+Z4efvoigtVui<_mNYOc*zt0S_%Lap)X!C&NvGhxAxaZs^dG40&B~AYxKJXKXN+l zVcIu!GL^-worS_#Qzx5XXzmaUEkoLSh%{Hi(6&hLV7cz5?Lj-&`4NA?&^`qW&8k}R zY8V=g{&M>}cVK8Fil2RY{KTIPLrb3rh6aN|FL*GDswGfnA+W_MMXXs%fyds!LA4+I z3EmK&!2{e4(I?}QHBea+;nrIDb>d7oP%|5$&RB)qL_?8kzyVP<-bS5NYc`W{zdbAe zoixv7s0s~m61Osl?IFx|_ESVbk{6QB{M|u@3LZUM}6jH)nT_?8>sJdMJ zBE<R z<|lrf{-dRr?DZc+FIhz9>ErLgoJ(fbKJFu^hA30}J*8@51txz`8q6%T5qtedjA$c_ z{YPYqtU%@!nXWUgaUS&_8mF;K6=8K6LzD&Z$J0*Ichl9I$b@EQ*4lz7+uVCA-gEwyi>HYVj5$HJ*!|#8)*ZZ!hd}|?ITMr(&(D+1N z;s&@-03sABDJ|MsUp|GX99M+$ImW@Fn!+!f$~25c0r z>%#&((alR%sTr1j5eM>*zeoF}>W-_GnnB@ilr5(dms@S_odTRSy(eKhE)?Ja9Bn^6 z{(Dj#J)x>ymdAfktlUxZFV+IwqsNd|4$=Yuf017GBLn9zt!7n)^0LM& zso33N-2Fplxz278edBtK-3z8`Y=bTCUc!tz355Vb9b)e=%?ATc*#NMGfYYUDHn#oQ zBN4#+0jv_&)ggdc7L>+v8bzcbz{IS-PV@H^Scws*SEf=hDCJd{8reE>J*~#3&n*Nk zgIT;I@QafLD?Mq}delsgV6R^D#D+omycYL&A_g)J!e)Fdol#cc>SiAgRy9=gvFb45 zS~o4P=Q_3Ez=9o-2DB${r!MFEsMN*6YsK8WV%;St${`t%C@$c8>1;>kruY?qyl2k~NeDZkPu7BfW|*UsQiaHZst;Dp=5lJ-!4QCdNV$0f`q?iov% zOq0gqL$gqfB1Se7`=cP*WEv0U9@CA-U1&@)1AfeH?V=bdjkDWfDgp= zlUL9#yc20|D04b5aAVZsp6EACl%X8p%~j=84U-pCy{|H-A-#DmPowQiP*H}t^>;XY zGOR#{{wYIhT`muq3dPWlmH_+Y-O{eiKhe{QRv(BXGGu7*AW)uZ;NRme!o<8u7+X*z|SUg>u81Mrmc2$)6({Y z-v{7_3X7Ulf8~H5>H==ANVjjG{8*fHbrRu#4oVUU4#K{H_97A-=A!_9ScTRZ2?r$$ zxSd_XK{=>JarQB8~m{m=b*1e89WJ(3jpWEgB-QWInjjrL4q&T-ga~u5b zLhCkvcGGnbC5Bcv5hjLq+-}<58Rt5G_}f)3bu9&VCKJFmrw{HLLJdr*>NTd&I=w%a z>*y+%=IKS`Rtc+I*Y=;f&>FzyvaNCpjqFP_a=D05k+bl`h0q$}PNWk;D*%ciWLs#R zumfI%T5;rPf8>~4AM zjPPAo&_kQ*YP21cJT&tZku@nW-9tswD|mN61?H4Nt1t|B zXsseiG3e6n;*cj|v0fs*$wvFq#Zt{hF6fS;l?$2~_V9iBE?s(lxXNGdzE4&N0)||E z0y3Y@n3o|4o-W>JUQki^>~NL!;;C5nj5u+aD0N~wk#AUo)Cja}2<7a|-|XbXtO3K! zAIRB-C%ceP9LUhbCMxi<;gmMu9pl3cneO#;u(KK{e$MU1OVE~^bv~xpm{pNebDGbO zwKJ_eF14v~$jD;qZL#3g^Z@}cWcH$g+N5Xt0Eo#lxb5YloTF{>{NdeqUS-T6EtCKF z^UrV7+n@jRr@y@Y`(OX>OHAkgdAW?s>-(d(YBR8-03t7Sk)fL#-xRyR_yg-lJe817 z>MYD=5w_GkOo;e6c|CsU0MJ_wrEpJ0<9j-D$am>^Mmx{FOYD-3Y9T|7qHCO#-XE06C{EZ$_N)%9*<0KwJxs$acka=o@xf9h!Y<|cib2-2A+==nCaC}f4il0P){(deqJ5kW$ zA7mv=CDLGdLom)<>sFXKon(w!)jAI`Mxl5C$^mOhd?d!`-nxom?^?$P#PzKgcis^| z$Za@y=V!&csLwVgr0^vUY>Ly~^9+N2l}1!$NPnA-l8|3Ue>0(X!!h(O4ZEI$-X(QD zoFK^cP0K(nKo`(hu)Z)3jl}*M-%{5&xNdLB!=)UtAg$^b`MDgFsp_@9x8Uv zI9DE>uPD@5L2B@+MO>M8PHV@CE)g2*D7+k9ge!`Jp{|#0Ms=6UlnPM~kx55$5Y0?- z*z1@L6OX9lz$XTM3xNS*?-42YI;BeNyi2dM(YSZ%9#(l*hv_i}c=YHl1*{mI++5%L z?K=s2ucAhvqXNaV$^p>Ii-zVX=9B$oXU@1zkZph-6^FQv1|~i*mvm~rbEFWs zLvdN5G>|#+NISf{AE^J|7@blTK-iJ9AkF3TfHa>W+qr)#&9>!@Pa@A&Iv3s=*Ge6~ zPyhmiU5;xQ#bdR`+MXII&vDsjMeTp1vTqn-uWzC`#6}JVbRp2dk}>SSy}NWDh1{Z; zO`C=#<Hi&d`jC?$DVad`H z|0q=F*Lxd4A=N%C!8OvWegN>cScliZm_jqOVoc@r)~5ix4J)wkQm$WWu`l&TG!c|4 z`viJ09>V8mCDoSmUURQfodmk~om3}v4qMjkYzSD~K!}JpIbxw0O5)o?_X{dg-L+ST zEyx68fCQwG#@|j+T&7jy-`K8tf?4^Q3q_jJ^+Be#tJE3>CP>l{Nh7W{3^FfqXLxm+ zH+;FjbiLJMjO)v7J>L|TSMA>I1G*Vr=5BlV*)M>qQdO_gi5i~o&%@n*F4uImAF<}K zs<4LRlrdhCA02)-A9aT$CB|h&Ci8cIL6ncWr<}pZDgb(<=ZFhvt708>0d3`hg3_ph zlk#9y1&6WFz;>Sg3Gbnp<)@P$mSf;yS#5qRmKPN`<$VjB@xeGu|LhLN0CjfcV4Q*B z4a4Otbg5rn4h#X*W>+*m*K_;81{Ps>e|Yu~ZZ?^o&(8M24g31s+y6Yp=;x9b z;Yt26oK5VG;|ItDOdJ9T7cEP8CuIFN;8|;9Ip8TWVQAkUd8>bl?>@d{Yk^28;pCB& zLFmikZlFS~@%nr8t}Nl)VU5{CPBiUw|s!8L_V(HCDW3@LA?YR!&K2Q%zZ+Gsc;KZqCqV_Jdn$J&(Y-Mq9BuS;Nr9ZL)sWoIn$k`wj3 z2`oew?9=4z5q8p)nU9VjIHTw8BJnN=}n#pn?H&^OJb@9lb%TYZ-k9;z8&5iB}Z3 zhjN}x@z=SnRVL}cTenW)px*r4P96KZh=lxX>#fNn_(WaBBVRq;ESBz4QD&`!5_ z8!_=Hj(((Tp5gho!dkq;yD9PsFT2G%1R!B{!CaO3IartLg&4!(ZI;_UpoyNFMc^Lk zPG3?bs2KoKYqp-lk(gO{n(g9PJ`6&;vV0f2qVK-0bq2fMRVoY4IQW&A{q`}tq%tU|B zqAkwQdDo)xxZ;9&Edw}+K%#Mu8y`MvW002S@bT1L#`&H(d?ywS(&4k>>3ZcJ$G=TH zd{B($6%-Vs+amKxSd#Hmwk{1ZE@AWWRQF5LB_GnEJR{0DzGSl;(bD`BUJc8msZe1B zlt}1ccN_GlMpfyO&61C?ePWQ_v~19pCPF@WowTdywjzA3if)8&agi0>$fs0;OII_# zwHjgQ^*0{+jTX^Hz;`M-@Azn#H;vuVfYhfP=3g#EOPj_=52D{Rrem8%B-*yiy4y6; zkY>7i3W%FVw~Zy8Be4WW#?O}r-@b3R7J0-{EqTJCE7JhuJ`?`NvT4MKxo!caP2+vCsi6-GXwwX{2dTuCi^aO(U;fi@5oF zylJGhzm<|#2#;GrdslWgjij2Gz3{|^P2;*Z-~8a*{rAS3#;cRq_CKb_e@|E#UL_@H zk7wF6hD>KGNZWI1VL0P2&c;onqb+QhhMUGU*$Lk6S9KFNzuDweCr>B{4}y)OfsRUG zW;BYknrKuL7>wf3*7WqCs+i=PF$?wXL6Z^(NRC>37t zVXRYW7A41N!8#QS2$4Gd_Nzm3%^6eEsn@nQR9(9Z=aW z7$)fB+h{!npk(tCCD}JGlO=d37;)=;waGp4^Y1npTCRDOguwzIIyE#|aWV$FO2VKz zvPi;=&V#K=V?8WLLzC$J>t-DS`htT2@;*U|f-LD!VJ{5dq41!WQJcZ?CIfUN=pT@-6|$wF{_FN_NT#s@-94xTUNj7r1$L##j_ z2%6$NzK@yDhl(7PV;bhayY({lITH2l9)lllmtq62qacU{Y{ma<78GB z0^|ibszBOKEO$FsOXleWnZg0UuxAcGG_*U=1yeX~kdV})VWx9Nb?UwfGFH`rkf~K7 z7r>T*8dHb90A8ku0BfE+Wz2F|aN;RF^YyHlm6Zq?3A&GunLiMlPMA*uV4YFgsx)K< z*!XBX>Y3Ip?5~v*Xs$9go)}IEh~Z2YaJk31SGE!WmyGTLzNouRT)-l4U__D}63IF0 z`Q8uE)`waI1neGdVhvlK6J>D8`lM zJMI+B>#q6FW(PNb5JMAkWCNE-!hn(41PerB1`wCI32jg~)GT1f&A(NKzn_p|$|w(R zXm-)b@T=6n$FH5)3bwtI6ltP7@UI3X02tlqWJynqTXH6{L6cH3#M~?t_m8U)0-Xqs zSOTNI;e{w3*F>+`40D-GB!#MyeQ0oV?|7rd0r0+|P213{(9$PB-Jpf_No;~B5K_5e@GA!`n9wXnK@`Um8lxaep}%my$+4g}s=2$y zx+=9=CLUN^#W|GF1pBX;?F=~DH#!_lNBjckbrQx0-MXwg1l*oOfSkv$vg`zp6#++K zf|jh?;0q?G7~y3MD^9%=`!~djf5Yw+-F!9olD)iW8B%AB696vHd{2z4d}x}p@(Miy zrvVM=OMMgcrm}U2CGK1xLr#tAfcjP$(fknVhp-LF!K`kP+R?Pf_-SsUG7pXyhNL2=zCC=gOD2{L7ff0Dy#+v7vQLgqO zSskR`r-s{qN>;~okHm_Jj`Ph#=K;_dax~Z+U|<$c;UD)e&bs(R9>oNay?F)l`u#tJhhdKowlQC(fZ=qfbSQ7! zCMW@8W8dv%d#E%Z6s%{r08V>OZsl_S&Em(<_i06}20B8Fi$eS1Fu;&)DNdnARO{=@ z`)?MM4ZIDyo8up8`5A3$j{4EQwYofvTsX067mM6^@A+)?X928eH<6G8&JMV2Dwrj2(EuwWJ0 zK+JEhb4oxQlwUU@EBK1;;mAR#_e1`Jy>wbDbz^L1U*< z?OvdxqVa-OBRaGYA|N^($*mGO&pB$3z0)icwXc8?f(IC2eFd`q6*#d3w625VgysvY z2oV30ocQQ3!1p1|5ZjX6{M|6P~H(%&DA2{Nd2~d4yxKNI>)Grh43|c zmp@4*>i)GECmV$Z3^O_=xG2wycx^LvlnVe^h4yQU7p12>3r@6daGF4_PcJ5*;!V<< z+oX4DS+@U}tPE`G6uf6+N;001?W+6)q6ijfG(z+mGh0y;W&hf&stE9M;Gx&e;7=T` zh5PSRA6pqJ3&Bzrb}W!25RDZBEl(rt+N&?123kBo^~C7jesoq=6M;_yhC6{0Qk6aH zkHL**0=H`hS_WM*_I8LDaq)BBIXD!KQn=!3UW+|J3}D2)TC#{E4t$BZ^##pEF;(3^A=XV8zj=6DQ)|on z;FMG01Ls(u;MD${plQ{rgN6W#Dy(X9hkUvTn%ki+Fc42vh!xH3W}l{ZJ>}Lqf}%$R zFfSC0Nn~gn?4g+)3mMtI9p>750xba?9>{^Ic-GffTu|&a>vt8DPOW#O>`Tr<+zh9M zaI!{wXoP{@PM@(-PE@caAm|tb9c6I?xRJ)tTtXk0dDrA%c+857H29blyT8kcef-ew zPpoy)%9g(97TJ4u@a9$DSSllF(kc@I7;M6%Qd&XuX3w=-oUxuqO@V#ZX?KonCE?Jo zy%9qIDMBXUz#5*%wsY;s4(Eycr)@1x$(1|I9BU@7xTW|6;tg!yJ&k@tIE8>%pMrHg zggO*0E&8@7>w|I4ka)q1w@}1AFb!;G@o#!5vW5k`5Bk1VvsQx`0Nj~4!YB}VW<_l^ z+YGoFkiWCEi)?nR27U|BAs$N&?9wroVr|IWJckFEFZ>;b#x0znb}w0l6pvp>@h_zK z7gGESDgKd>;^W|L1?&< zXmT_h_C4RRcV>e;GZcSRwm72C()#R-bwi>-OG4sJ)L$lf-I_>PAj5|xQRS7Et|O}5 z4NXDNk7KwTRUo75wC2(N`8usBB&xca463fa1qZRK9^-j+s#+p~Rck6=#Zf>ZMePcx ziM(=*Dc-+!mw!viR%|lanHOD0y#=Bv5wO#NK^Akn%6r0VXWd_6z3SPjjM^u8uH|UJ z>oM1Yp^#e?y^1&%xkbu^$-2}i=uukVihjM%Jr{i8c~6S}h-N@C?tHDQa>B@am}%iL zxIPqAv2uD0SVb{ERRg9}>KV>f&^$GQ>rvP~V78Ok!aJHk+?*$aKj=6GTj?60uCS>Y zhExO2OsMS=#b^r2oovNT3I-d#qpd5w7av_Pc`xS4zpZcyO9-zPVCZ`((YW{=`uX)R zS*ddrF&%>+SVu#a@JH|MTQ)_107DxDtIuyOMxJ!>!Ro|Q!7?arSVMK6dp|ABg~(Ix zbMzA}%uWly<}nNuO#vO6VZ`v1Md$T_Sl1`mo3m;iypr5No(9MpUf8ybngj3oE{esh zmO?QadR`Mny-N^y;t?=v2xD2syG&kgp0X0zzj@Y_hxFxnYK=E{poRt>?9}A$XG-`Q z#d{d^n^2&F4f7mbu4@Xg?p+z?#PX8#7Rop~R<$Y(c_XR{ZSBo9>LUl=++2rc$9sa{ zwQO}R10q*z+Xv(g;)1fKJczrq%A4?(hn<-Ml;jyuH zX-@=V+#MEZj3!}}&J)q}TF`X&a(&;`_n42q3IVgTuw2}f@kQB2-m5Rg6aY+02tKYgX=2vhHe>~$D+7U(e6&PIM(G180`>wXP1(J znl&RSxW$3(ykf4f`yx};)nXv|Ev8^XPu3Af!l`*BNAI}Rc@$bBb1Ot3V~Aij7#s_h z)IwAfqIU46Ry1;;At&#*Dymw3^-keUcO`A}+vViiBp4Wq7WtAeSzqS*chn?1)SOx1uwKk6{PRYDIJ= zV5u=_O&-qB;w2;p1T2RB;6L^6bX88FML}x#M)5hW$YJ$7x5g%L@i;ay1!TZ7Nc>Ok z%7vhTX)2DOnLtDs9VQS_@XWooTZ_moP|JanYSF0j?PWCTBjcrVv!%4{-Eq)Vag+c= zK)b)Jor=G)e`Bq*Cg?%Iy0<=Pu~gupc*c-rLcmg7g5q!-r31N!nl(HeWbB9xEJ=RSz1K@%=+;)i+@#I8RrBBbSl#C}wz& z$$r4P2k^KOSRa736r-6Fx4D-*rYYj;s;9Wfh-(Meubs3Nw9}Gveq3b2*xgY@{%&}5CZ3k34PaPV|>T>8Z6aj&r zVHl9S^Pd3&YFCNcj_J|aASlV~-2CPj<2spj@_{LfcpREH^!LA$@qku=!?=Ji28bn$ z>?g4rfmCn;$vVy8{EG;ZDsTu61y+vznlf^>rGLVNI7)U&^Wan*igeCjeaN8*91bkuRb?F@zZiB7L0F_@aH67b~*u5>&yNt=TNMxOr2M|#?PeJoH#e^xm^Z!FX4L?h_@7Y5NKMArnRyBC#NCs% z`py>YG|ToIZjadI z46qeZ{>js5J_J@lhVGOWP4SpVeuod#uKuZtpoO;stjFc;eRQ*`XHy8Q=4jQ=?gdse zV12SA75pNZ)L9_vd1^Vgf7-0BlF-5~Ec*e%&A^L>;$3Ww*;H~9x`$*HO-qpd#%Kr1 ztFrJGOSyB$2t5;(clqu%UTd0Gb?c~H;C(iAup@m?hUF!!C7^<>{N-l;n^%y^11VW^d`)!Yrr3#esxGt&3!0LSk$pieH zI72s7o}6Pn=icfum%o^u(_*n-E zMUC80Loqr-F94{atQkyQ6{8;ymRAIpE%j0yj+srnpl2paj4%ojF9HOeovds=x5!0E zVfDJ&b$JOVBAgB+jpukztgAe$O(#|?o;7kZc5ZUjjWH19Zhc0tbW-nnoiy8iKA^); zlwn$(Ty6NN$|7#xVOpKy=PO<~>jVq+J|92Yg^aj71Vt|}6HQbtX5}7S+>FjvUHv1Q zQMPo)cfcc;cfn8IjB2SryLR?w%TN|<02Em^mu#64aXvD89Lc+Rt4bhCMX+ldvx9eu z9jgZ-r3=iq2J+ah`3|O-L6H?lt4gaL-{)9W?#l45M2%wY$V8 zxf$%-iqhUwlHp&q|A)^AXE&ir8uSwB?7m&Hk`Pe#L*_F@#2ncb z00y@}5~Yl_oPvIant|NM!mMnwFEI{j(MGaj5RY_?PMJP}&t?O0Tc`#?7l$ z$qE^qff;CCW!uBv5RUyL+FSdI=GDB7`z)bvSN}UltHZpbALR=7e-P{xXBDp0I7$5< zgv`Y{A8g&KGtRq7rOvY4@>*raCfs&(-`I-E)1?pA@|sy{pCRk*@>l43`ke(U3njwh=uNa1I|1Dh65)iam5E*fxNcrJAqb1(8P=Kt z&>N(d-XW~sM5t+TlEzKcDBsC9@g{wG`%aRLtx+8!y$qB;325yh!NdxY18xY|bdja{ zq21rzWWHhO6&aS%(W1@`09QP*RjoHs9;w4TsMhwRa2@~>!iUk20HtOPpbLuS0BZE8 zOCA8iB7mjn2ndywij@Zy?X6lBGo6 zxt6KM&As`}M_X@GZZ5T+v8=Zz+Fjl(gP*_NrtoiFZ&T_i?br5P?}_v^OMgysy~mo` zxZX~{ix%nc3G3}{Ev8y;hlp*nZM_}Iy#9unt#rNTeR_WU2SwL=d{MeWX}#^S7x&U% z6xQ2u!FoH7*4w*k`dV)tE|W5NFOb}%(NUJIHCb>UD)g)`A@4ePIL0Gw=6gLwh2Dx^ z2Wy07G*IVedD@EAZUH^73XQAB^80nJ*?)?DH(O~Za0X@C1x+&wYS!c`_kVwgy8A55 z{4o$Uxud4JMv}(`koaQ96<8x^>|_+@$%++;t#Cbpa+FuZxa-g*NE7mn;uKLp% z7-mx!Ug|~uKzbG#jXC$Gka1Bo%!+4WU0(CMUG(oZwSE~h(nzKKlU@lcAq@UORi0h( zO3*)Xtk@5F%^7aT9TMEm@&IE4uLWHECi%5sjdfm<(EMevB+0E?;8Z7WQpW@}m$~h- zR1Sk9*p*pm;ser=tf+}W1eBX&@c$}h)te$T^sCzDKeIopT<#{;CR{4b`Txo=; z(XJR`yPTEy*%qdC$RooV67XO=^Mj;Cd(@wV?b@&Vtpa5vGmr|`9VxjDs={ON+vXx#zk+2jhgr@3SyYRyFEl>a(B-q8QK6 z;gGZM%NM_j^kszwP^EIy5JItU&=7V45Rl+`UIA}KaC2y7k~Q7v!R{5+23JBiOzp$P z?|oLW>TzII#V;OZ;hsqJfM3q2E_&lNsICzXyqfwV4l_QRO*nH#$>GHPu9O_?q2OWqz%nr7eZa`q^O;i<#etM zMKA+Y89nBwX+u9e*Y!xqAGe`qy63C+O~YVmrEY%CV0o6c?yLkg_=wFcR}?rLh{YQr zc_xhbq1)EQyIQ=FA0LnS>jp(Z@{}+6j!kLRRjwwK2z?WP|FT>jUkGph07GuiRlRsx z)JMR~`JkOO7F<3&1M^TAyKR+L$CSGP+G zup))r;+y^r)*`)}AeSVLzLW7CrhCcy|Fn>1~5_MJGZtnK>?HL5-p^wCYT&8aIs2%3e49J1NI%4e! z4^WNz_;DJR6=5?IC~5)LHP7H>iL2>(Q{HeB3b~RCe<0lt+-AUnK{&1{=tu(HzaQ4~ zafpT$o7l-X6xmTG0-}KM@_}hs)&)Dy*ifT50I2%|@;r|A(Tg*-^o;DO{cYauZ>c-t zEip?vX*{81&i_CB{U6rCHkakiDpSM2__>*pL=E9!9TM--4YW>GI5jX$1r2$kSHfw^ ziNjuoR&l4`BfoU?7N+Jc?4LgsAgc*pQ%s+F{@1o9E@ZB|XkoPsQug;TnHh(g{Cpxu znW@6aQN@s>e4C@vZjQ=JlcTr>J~KAFuUE{COlMsswr;gvfdE9?$apay`2>k{tScfc z{La|g@1F&0`wKQZ58Bb&|3Qnb(Q2#b1Kzqkpf zVnLWmUo7fx!=fg-aFJ-nk1;Y-S>)-1v#7zKEk=xthxxnk#088D14vqK@(xC34aysk z#6A9dABRO9@Wn6*e^J87Bo#*HcpYjs8K;^Of->w$I->DWF!T)Ij!jlOUWa;8%ydyZ zl;K|mdoPTd`DdPRnPIekvKr>HZ6*s}?%HNTWhP8K`K!*sno@f28m#bVzybnFdxd`& zP5cYv5onyRGyPqsx%=gd+3klG2<-NQW~(>r~Judb>@e)Pdb5D74%ufGD67i`qN3X2;sdh0$FZo_m3(meN}i zh%)TtZBJmyBTj*vJoQV&np&qX)Ihao`nF%9dY}OEm1aP`?@#E5>z8P) zUY0}slAm9|DS!v=)O>A+QvgP<*uMze059}CJN=UH-XA5Wz#r?EpcPP|=c<0Gwl6kL z0jL3HPQe7Zs%3fn2gODU2>->}FM*6uIYvs=Dexwu8~00lwUco^pXlr`*)}?(%31&;cZHNa0YTsjT4T$q6Kb(@F|iH>v-^^*QVm=i@Q(+6G{LYQr^V1@MozC(9IH+~$k6wHn| zfa+nB1H&vY)6E$YSRpEN^PN+Lj&T6YYML;TNFH*H@-YX02&=tFK-ts}4C*llyom=B zIQN<(J1%sxBk+P26u<*lI({r;4Ji_h%=wT}=*^bi+h2A-(lDE4Wa&+qp~@(PzKFr! z3{F!TMxlz<%U?${3x}zSBl*~qMAQ3xWE>A2^86!g zPCZm)a^&TFR1tHmmR5t@nUPYJshE$g;3+Mpp-jbgn%#7r`bZcs1BvUc$y{sw~ zK*eSzI%4c27Fo6TVvYe-P<>(c?P$(&Ienk(tojOI`vUC5<&kV*RSk%cwQ1h$G@EC| zg_Jj!t)wBgt<8+tp7_ed3!x?g4UQv7snakv#|_R4+}2s=0svUPWDO>KTvQvI8%J|F z2h|q79+|`TdYO*n7-*~9=1g_$g4s-_CTt1fRC}Ij`!;#(rzZM9j6*OZjCFoY5CN5Y ztu)WszaLf$E}AK407H1NBG=TJ%I=IQAZm~ta5ZFA)$oxu_1NZ}d(m=ow(xr%m z47O2KJv$l!^Fj`)el}`c`2{FOut#9bsd-L2V=~e$$Xaww$3o?i5gou&E+G47$I7W= zQxDv`1lteUfpUhYxo^&!YSVfA+RUQKFpt4-9a~Acj;wG}@Wt3P_BfO|;&5fm0@9X7!k^!_uMh;jz_ox~UaNXtghLROa|F-)CswmvCJf z98z2PYXlG1gt040VJ+vQhhz=_7KFHovL`Row%9(*K_5-tRnWVYI60Imn5KG&2Th8t z0wsLrc~%SI5c!7i%8gBUU>7a(%suHHRG(hva&?iFJ$D@>61fLx8Lp%0%if+VTW#ck zeFk8aol5#mV+BLQP6A__0(TPy7`mm(1;yFXQP})3nP+;;U7K<znt?U3fr{I zG%incHz#AD_aO+f9UOexB)_%*i@G|3*fj zS-L!FeoogX&9fyV&@4=)cjo6aC~UMg#EMNa$NN}<#4e;`3#phRdzWp6c{!8;ApS78MXo8K(~FuCIVg=_ae=Rrrc3Y{ zJm1(y!oOs#a1(dTu+`yNH=NNlQhN%rUR+6{rUwFdSY{USG-rVGZFR*MZPa)E-8qb` zI|pS^jiu0FJE&ExIkg>RFCNUiKB5SiGK)g(Ch&cvDyXXAhB%II8})N#>)1WlfOg(v)~q z9PoKfE7oYR56xH#MM{tRYq8{q$Gmf5)zq706me;>-tB|>lW5c?_#f?<|L`YL$uj**=C36#5 z8-`78h}xs-n}-#$)qEy^s>GuIz>|mppm(cWAZT}_LhgExTJfR4!*{@aPl~&=KP9=I z5bKoWMmL7~y38MlQxeb|5t|5g7S2hhu8-Rabq81h>xPOb5f{0<Uvn^vHPzKwoa?6xz5c^uyqgEx^I5s7i|3tw*H;M)`$36s{)au z5wK&GAI8rPNNEV(oO|GIAj9EL0Dz1QW z{Jw}obd69(n4xg55~KK?#x2gOJ(3{c0%=edcbw%BSZu#TyPMSc9M`;rT$b3m1jYLq z55@ayx4J39^^TRqdEOz1cB<#&vNYErTd4+Wi&!SKw$UQWjU8Ax^3rTPs5~ckyZ^A- zBwI&&sqq%6TU}X|f|U>u*#QwyF?QwT}+H91e|9p=;cM(T*5!Y;TN%;*6UDeb9~hzYI8DW55A;uW^h@ zHE{Xh1|0nN+VSfieu4g8tD3rpUXGY*3UC5pj9QVsA0EAYc#K-{3wbm~y`p{}0~j`G z)ky9BqLox2)Nk76M=q0jhASIL#m$TtRnjj)O;R)_sAv$6*w8?T=3Do18ki_T+m zTJ#;rRC<~g`%dP(lhbl6F=vs}8jZHCEWi)2M1QBzwhA_Rh%^=GP=ro`|GwJ?+z!5eCd zfyW(jy*IYwjtDIdLShp!LEX7A(wc&-N%!Tzp7Aunx?_~531Ub6`e}lE$@c}5b(KP! zlN)C!y0XE76%;ZC;RZl3+k&ZHJT&_k)?^~|uZABsE|9IWPNMzyiq^du*0(E=r3v1B z%&PQu@kt|NF|1n6%b)gL03ugb0{k3R>o~GnkvcD5hmRwx6?Q`_?+1}p zW?}Yl?!-ssT_Wo^xL5%UR7FjsCkbDT;SeG22aObR@B5;_b7Y5fc(L}PYcTXdE@h`FSqTBg5q{xyGCgXIj+{#OD+cTKlcku=`ZuyFDj(2ORonUsT1SR7KXVJWN%S0|j5qrxGnid+g;z!&-cY*x>5~gU z+MSN#pP7(ZS9-^gL^oF9fAiqq(MpfXpk*ErGJkc})Stjd=(Q_7iZpo<36cVmh`u*} zpDR7=$;>M~2VIiMxYCOOm?z;(f2)<=upYH8py1&OaoO7ChzqDsRFCe^e=haX(VZ0l zJ8|xa*InbtdoI-cG^|V-2F!3OZYRDR5IJE^BQ9C7Z#*S(qO^drD&AM}7|I`Z$P_Q$ z(HOas69{rq>y)z>5`$H)4(?4JPo%OnWm|#>Iu52{SVd~gxW@&!nFD^rm=xSn)|JF$ zFzIlgb^FT(_I`;i>&*8#Rg!uJ^KfPTjsg z0KCQm>v|9az{m_hq9w={L)Chldsrt84S4{_8aTNI|{Vk1f{m_>BSql?l{4 zO=5ycp}JsDzeSorvV?IWwcuO{FaRcsdZ>w>OTu$uQnKcL?P+hr&}cSo!5k%E2k8Sx z`#-00kk^DbZ%(uIFa{h(ge$pJg^W<(aUj|}G0plJ22+@8W`n`hzM$Q@-zmm2or93$ z@D6caxvhaPF*ExC2as*}fJK^_}BMX{HZ40m?h+y6q%6w!} znlsJaZhTq9Nhz}uQ_C(KPD%>}(9#W9cN}9V=Z98;ZagV1R9}>Qa8lY)L&uZSLd8n4 zPD)XqWZR^4g7eGLd~at`I??#iFE-BQ)ivY)paf`{lwO(CW0t2dDRq8l7%WXnnc(4u zoXg?t%;P8()a*fpYfO=Eo$EL`_F0F3YJSUrw*hF(zZAA zYybJR|2)6{{3K2gpJ@O28Yc)Tcw04X;BSg-%aM7YY2)FEig`RwxKA?ht-#_3TqbHx zKRcXoPuptt^EOjRikkK{@HDc*4p_SN{)_PRLm%9N?wUq3e-3z*)}4Q za#zBXEz+bZdBvo3T+o}9nJe_RDd=S>&xG&b=R*Ud8~)USo;NRO0tf^iHKYy6pYU$E zp&hH$85aElUVkl6B$Y26P!U%9-~n?N1F8Tfz4Dn28`6&I?Aq_M<{!K!A%eus#X{6ViwQ!Vk}tH?&2)Gx}6ra&-+8%sR=N4l;$ zcKssOY?KCrh9sw=faPfBB_x0{um*7uU6wcFV8U=0NF811M+%|+4h|U8H#E|h60DdA zc_GF(rxh{44+0@DPz~b98PIv{iu+MMx<9?v__l!IkI+uUxisMSVBw2XRZn~uA$UfQ zatri?x*U+-b{2mI_lS@42@c^92t2vjwJslB&!jH{5X@z8M{T@87GDUk<+Y&42uoKJ^1E~}2!9f9j9*M5EB zjHO`ZcXT0He&Hq*Ay}Sf*rUqVeq$$0AJCyKibE?8M=z(=EPe(<;HgP=UKSY0fKi}e zrlUV)n z8(CDDM6+|K?Ir@?I!(2^4~D;5J-uG%43ATxgm`W2uo&P`4n)SK?U$>Dj9RtiyE zteP2;@a)Q5>=SlMF0q+~2u&#dj>dRxxS1?g;N6kUB$S1i$&FET2b_A+W^xFkD`pVg z5o2I@lCn<~Ky;!aR|U~EE0@#8z{QN+IARR^)Q#i-yK!bCIewL`zM+R+Woi&p`<>@_ zC|(nTu@Ph`IF?K0P?B zl=Oja;R7Dz;To?RuuubQD5()K(qT11N~*u)jDtr{P#&t?s`S!#=)_Owy8R04O<%G` zU$EX^7pynK|E(nz?X>w0V+`Sm|9WA)feq3GK9bbtudT%PKisIz-%q>iV%jQj$bd6m zGE=|`9RsH(E}CWW$|6MIm=tMu+zD7=YuFX^oq()Da0H{sq$B(tXcPs-f@-_uT@=q^ zt&s5>P^(7=^K~(s#sc|$e1}m6GUJN3fM8rPiuwsilw)@MSm;&9o^;E1@D%@g32xam12kC+g$~odQkULoZ3q_lxM5bwb5!sT1<5>jAM1 zZR=i{6~YKtr$KKFPpX-&`Kk8Gne+1gNtO4p&Md|MjD0Lxca3th%5_2Ow2DIqj|1pp zIBFtYRAem0FS@+dOuy(iG0aV*cyP21Z=RDr@5&#daaEbfGFO8sp@eH zdbsCv0`@3q*>qLTLC%Ix%POcDD-Gj*NF+~8J^&a-5wuiAu{TfR67?c^Vp$m4$Mul& zs?9~RP75oL(o(P6=5Pg;D32!qGm?YAmkP2bpAajw_~j9Mc)rafvlo?*9E~7C0F?M5 zPx((pBMZ*xm{l?ckV|`~?yZuy-@IH&i1Gk(n^#}*WWfNE)PlNG^j+YLC`6X|5YEUg zz!}BnCw^X>5q+;BRh2j+!u`zc_jU%5kT#Uni3DBsrI~PlP@Iu>M+cCA3pqgnYhPDA z3x81>r)meU4tt!h5)my9O?UF3$1I*#tBz@Br5yX-pecGy=uOHb?9D zv3g1(r7lpqlyS$j=LpOKCAxlaC&+zw@E>n%72zX?*2Yo1)|tMHhnoutJBC>cD{DvW zk;NfUznqcKxPRVe!PHjRkw?ceBLe$shxc4&QB=xvm71oPn6ABFN$@-Cz%k*o*ou>m zBipXXY7AzS62GFXhnzr-hgC z#y;@hr*@iZ?Wow;OF!uT>>6D-VY&&u+liJ3lS&P3N56G@$DLY*mk}?BpUhK*rR7t& zBx#l3w*oZ4(qFhuY7sRLP&kQyHhmEE*D*xNSxH;R{Nq0oO3vz5dCaZ-)1%~OD}3^U zqU4HkzgTCe{C-m68(as(t9gvUFRY@%Q30s(F6C= zqf})CIf&6`eRr)yGMHBJhWHlv{5fh@>u&Rq+4twKU9G-+AB(JIl|DKIiF>(>q$VVJ zv#FR*D812-g5@%cS)!6!*e?jY+jFuzRyXYT(m&GJ=8-KWVEMuL_3B|NdMmsj;<|jU}9iuy0BpnICd0XPj}JOnD_sPh46jM>ILMb@EJ4`UbeG>!^JTg+LS6{Y>8u2LLBq&7su#@?dC%|6RjwYdB*Xm z)}2aN41KRN!&1UxQY+iD$IHXqQm~j3;#fdf@!?<`lf-a@aJ0_UH`gws{Ep8UfMr`8 z^W`8c2J@&855r>oOGHy)M$3$@N$RgEnFdO-poBBtK=e+0Khacgp1on`h29)905>ou zcr|zkvj!$|JVSD4WgcjEM(8|HL?Fcu{-+;SmCs$Y zxOI#>JL25~!&GL}1>#X!JXvr7e!Ngk;6_Hc5JCs0#X)pPL!n%|=|_;V^(h#KZtUj? zGdUnQORqY?_;>;VWVSsfmAI^giwnb~%da->zG@A@5(epH+n z1v}lZ6K2!3^EBS^cpf=N9{rH4N?_B71=3u~c=zzEUhakwSV$$H!*tuZ?FKtdCK%>R zv!E^opP6$X2IBHd!)_04CDQM=s!t^?^cjdo;*}4;39-$wGsJ6I{#bFBEF6n)teFHB zu}uf}9EY&1 zQ|D7rdpw4kV56m1wGY)aWmz2&-tD3mZ6*f z^~TMZ<*}aXnQ7R~pv0MnM1f`;wYFj2*nn$>O9jt0V{}iHYaQ)nQc1kxTV7x|>0}MN^td-q81t&I)g$%|xXe2WZQSWhvVcvve@dvF0&c zYnEO3t#wuxJX9g^`Y|U{MssFV;9Q_q^H1rO#fAliV|Cp+hoq`>mhzG4|mMTYuWbQ$`Vtv zNZ}>PjD-{c^<@n<5S^ZJ?BZ&yXq*gD{jx%qo3{Uqro@kV4(96q$mEj#30|7|9}$%| z&P^^AfX-uniqHz`>iT;?XW0s*xU+*Rw1Z1jSZz<)xv71F?*N@xWhJagT$5)%Xkqn< z0G+17sshtnVCF{ybdo{CVL+#?unOfEptH;ef$858&}sBj#MW;I&}k&w9Exe48u(r+ z%TBhr{J%F`!30yFB~mQxRyJQ`o7a$SmUA&pB)@zJvQ435S%T3#Cc<>a7}F3bTFu8j zkot5mroEnQlW~d37}I8i-*OnFZe31RI9WS<{OoYDVa;xY@_&w+eRwX+u>9{8Z7@6+ z#g#GslKOLTnmmu3;9xrxb-f?wcHmjP^R&i#@144nwLLif6qAe9HWPoZ z2z`Oj`Ah-B6`>IkI-iL;pBr-vRp)bEGi>dI0O6LtSU?DlQm-X+KKn+U(wYpmnN$NE zsbtJV8nMEa-6lUpB{NDtRt(u0P~NbjdI{e9)fJTrK^%kCW{~y1Jbsi1a!XvnInqq` zz`1&yse$-79K9phcDX7qojP`}q#2BUhf(K=e+N)$Pr~S09n8+YhH}s}lMuT0FMl8H z-{@%>k=>`B*64Q_5!I*Pq8;o<3|g3H*Uj(}o<0a_dk(>j(M#Cy^8Fe4~;CSI}N2x zK1Yzfj&`#P9q(nKQAfvY_(Jx6A$!jwd%uM0Kbuhfs0oh0R_NeCi&TOxcM9-#k-HGL z;>skFBlkqQPXIB}tya3^v{e+)W!9A*O`-(-XivI<9#)F&nAU_J;POo-g^@US_W zVK%=;I7NH~%w~f9113^@Io+LoXE?>(+T5-dil@y4UblPglsOWd5ZODucgU-Z%f@qq zjb8ijR2@trtbp0+=VUq|@_TgDsb_9&I6wRRarhp+1eWyjWy#oyGtSF4J)5;&t@u@t z2xKZejcQbGFMBRaGnp)vY(kVcx4lEo6U*BX+1>?ra)uwx5UyWkF8VGZT!U?a)gk7b zwAU__Y~6cm<%1YX20wAn!+FRO$Z85KehoEjcs-SKbH@%bl@2wrbii-CCLT4hG^AXv z^4xM0`zzC+q&+}c4mGhppowjN;-}T1%+QjQrk%p~&@?9-S0oeB=|;s<*~HTCj6#d| zQ8Xw)kqnE}Qc82nNx*jPHL(f80@2vSX7JzAu!-G@tRNU*ZClgXzd5Z&7VE>D>e=<97*A8rG4Am8el?e=k&k(fK?r-1-~@k=3u^y%1Ga=e*O{n1>oE zE84=vDQvx2Fa|Rg+YErE(6rn;1^Zz5N_}a(>Y9B3Wvqh4o*b1ud_uj44x<7nGdkC& z)jXf{ei?Zy@1&y1ZCtvvaaPYyw#x*3)zN6vEh2oC^)&G&R1HqWJGnQ+smIL(#B^@k z{B8NY=Fhf{q^eL?uLR0S^S!JG7AYaWi&}YXqlshyr}>Pv z)SU!#vc^?daj^k3yFtl{nk3X;p{@lyO4@d-0j$BB9|ovq9J7wG*9^!_=ru3r=Ybrq;=IR;H2 z9$fdB@25I}raEJ2!mms&k}Q7aTCSKtWgeiicT_d{&Ov3QY9vD;cAyD1XOUk6s0`4A zcHp%;)xk9;Kbq?7RuU_|yBJGYVDS+^Ci09|RT2u&*D=o~D*Jkv^*!i|LS{DXp}_L|!3}`vi>0!&O`tD2{kif^-_OWg5^xhhU*I#h zbLhRu>h~hujDi#K95C58>ct(7 ztOeJ2*vS01|LG5J|F%Z5BVo)zzICi8z6F*`I2(kqY1!5=muN?W*JaxSxv3MBeYEzQ z+O^;G(bs;obz;*PTNo?vzxIPJWR`Rxg#wW%GDQq4LO8IQ2N+%Ym>iiUwoF#as=RcZQhW zYi*J`pK^@9Bb1EiZdX^;!f9dzY-%M9Y7ADcBlAa|T$?6*$lDYpX%}})dBu`05LpEp;YNQ1krb)DFlSfA(z$++(b_M z1ijCqDMWuRi-;8=RZ1CsoGMw=NgNePYO3Ac{Fs_TC8CVBxwHn*JVKQIqNFM0cE&|w zs)P!*+f!2&K_KZB1U(P8CnQK3#KhPo)%OR1q{I5LxZ>{x1Ts7qFVT;EwNB{X+9uJ~ zrr0z#92uaxRZ4Q(+H4Jlt6Q<7r}Z#>yqq4kqehxzxpW^!B_B!=jtxm^oaBP?2R*cDygUJ;4%H0VF<6QcJjrXA zHNzMAX&jagSAX(FhJ9nkOG({{lf9eX3wx+_8hQ%$P{-()t&9$OV*@h@3%oPB`^ius z2ns`F#S?{54UmxTrxDn4+FV?)OT8R_<1oS#8Y4s;F|r5qvJ{gK6^gQb;GQGu&=F(u zv9=#{*A`uKKOx_)bIC&~;fY{b&O=Jr(Fbm%%kyc8F;KFd4-sSFka0un_#(y_7k$+B zJL&SIMV~*m==&8AW3U8>#!Kd{ia+Y|`~X}v z*6`r?bLBiu{4-Ul>#?5Tn!2n|OhT0;Xh24SNTx0{bLz_QDB{3OsMpllGvBBP;-6sl zPB5pW*P7hN4;$tD{R}-_i1JcTUolMwOPQm>wnyRWG7ZB=U`}n|&S|1D+2JWq1fZK# zuZ{-0o}+bQ;K_)>V>;(Dl~G-tQY$Tjr}#n_!UPj;P7XfhSIP#DeutHmaK^+!{VE!n zBQtT!r+~2r$mWWNv^OdvfOAqafG{cxG#MkkR7Wkh^XX)-W1KkfBbyIDvVl@>3FS>I z{n9Z`*~yyP?4pS0``zri54T$RdIAP}o({yBKahe0^%OM)5dOKkNA6w6qf>W(9mXL( z=4fkmN6i>MkaycyXChiNJt8XJpZ({t?&!6`+x_!c+UL+8pA#*9>a>15bC;YJwpLgFKBh(fJAY!!?&6}3h*%e zGe;83+flD-jF*Qa38hwtpLhbXeQzPqUiXfD7bA%;tquWuYz6HB8DK;rRILutP3d!M zb+~X4dmQk^mJYIuJ%*F)J85+&I26ZR+Q`Kvh9UTPi!3WbN;O&yQ#)F1|LeDiDoSl@ zIG|$&9o6My$FWbup)mSW(*uY$MAfS~6om$AB}x<#2~kCHEZe}x5pzc?hk}K3KRkzm zznF~R;~C(uG6Ww>^P#n>v^9Mb&C8|*J}4x=tnxt-n~e2`SP?u9hz=~Au}zkt&z@2+ zOVM$8t7$DpchM;RGSM7}q$e+TZ;Lc4M!p0e_~Xw%zlG@Eel#jJ(bCo4-5%dv(LfRZ6}UAx2nb%{HE z?XW+}4%_HZfE{+4Y=zdZV27QL?y$3Jhdr40YprFTvMSm<*LKFzY2~`va`S?XExgd! zP)%0tfl1xOK5axd3vE`Zo@)eaOpqDo4>Y4}+4FTxipB}HE0Cf?$>^|ulqc9k&-4ri zBhrgsM2|iG4me;uI@bv4{3OkdxeBw^0wm*>!A-{KHFr<#rV69R5Jm^=gc-g$ILQz)(I6s0J?Y%@{@9>IiMa02Oi z2QXcXzy_K#xd`zw$f0Re_Q!|92z2p*8lA;AheGZc9a$GtB}#(FdUp;vU|hDo7u1yx zr**N%e%AzrC~SAi31e@ zn<%p~reczyaHzkQYQ|ILh%)1N^A6lp5HG|Lyvt#@=SfA+XOWr%!U_1?mjFO7bx|^5 z9*$8iFSQXLLsRKGQX?Xn(|8nL(L;}M9Gk}s!H2wh3s3N&L`&v8(aa!k>F@GbG-pGE z?QE)zX(3eXG8+{K*pma*CNM8S2}IkKbFk`iGZhAd<%Ph98X%@38murJtNocz;KJZ= z6YuN+*@!1%P}9IT)k98HOAUMIU;fX3`S;7C{$FpgxR9){LkPg4lAP#iJ56P~_ingY z2wS%pv2|(7|1MJ&bA@y@XHDQ#EN71y!YBpq$er}cypv~!#{}+Zs#*Zusms|ffx9QU znq~?0v9p|Q6_-BPa+Y*aO0X_xsea5xSJUv_FJ}|`QA+|F(sI_}8h52bhnXv`MqSo+ zkt#W!e^BDO#M&pWWtO+2#?e%5ENvRS3$e5aTdtx%a){ktO?Rmvu$Cbw>2BI~x0Znt zBswT86PkMQ!-d!c@x5cl(Ftpr_fuy+x|Sh@AJ>Mioe;Y~M$xD!1T9e4es5!G zUbdgFVoQYAn&m5Yb^bvE5xQ*ynKSsavBC}|JU^e|0 zT3NAxFQA|F@}+6;ezwq0z8h_Cd2{hpS>^8UC@QP*^R~)n@y`c3hKosg`Nts%&yKIB zy-iOlCMD!E6x51a2mZDR1uLjw8P5xxvn)@o6h~DQpp`y?WZi?wZg|*#gZ%8MF!pDB z=YEVuD{#s%vBYy7x{cUnpT*p0-D>zYQr_^H$+Cb!$&r^JLp5*UStK>eO}dfR;(*%v z{!NtS#3PaNV__+EdNq@bZR>&@nFl1zerT8~tsp7rD}*jp4z^p4|H<|NbpJGKRvDJK zJ}_f#6Jcf$xDU^}p_9-%$sr6*;K;YIl^ZZ(eLnPu=|7TAXA83%EzS;-9nF+t9?1PK zoZFfzSgsE6P?z?SHRI#!8Qn3lyc7qT>^-KinXN8j@1igeEFG1}PO+|9&HI$)H6Tq*TwRY=q!=C)S3sXHj)u}=?8CD#AQiA%nJ-o~OUQLC9|{!ysH#Gv#<^oT}M~8)D{7{Lxr)x5T;Vp%lp@nSFb2za|e}ckHWbs%FD3c zvT%f=&h^Yfk{!Pgi-?yJeq+!O|Vf?IfCglF0Gxp%K%jaQBKoY*UPI`6grgE zFva53tYlX_x(u4|U9#<)pAJfzqWP+-2j_)%Sq)gLcFTc)-une2lk_XSrXy664rXJ9 zVO6<0fW-5cRSQu>MNHc;fSeR}cTX*I#jDdD4z@e&+!pQK`a8A{2=VT-VnQU!N!(X0 z#`wv2Gi+4?T2C+D;E&@$+o-f?$9nM;rRUpAn|H0bzP?mXGXxG8{)AvFa$?3vD0Fpd6?h z9q9;J2dtgJrpLHj+>5+^U9MOJL?e#FxMv*X3XW^FlM>*_XiFvZA-q&3oH%-~li>C25H^ zR$=ISJZj6FUK>C%B11g_jD^B{!s+t*JDgw?(Ge=S0aHw2F|cm_Vxu&8hi8JwR-tpy z7h3Hx8m!BcDw1+!=ofzblbZN>B_cR~ed9-=Vorh{Yv=4rb5Bx^F9b7NYWTb2=2z0O_RMayl~#=bQM9gPC?&7u=}S-ry3^qSrTb>40I~8$}W|d zPha2Cn5w~?zFCH%(u8Gec#%~s*y5Y3iYnl0kN!@b3cgq^bv|8S1 za#3nyU09ZQ-k&rj@8QAzfwzN3r8pKdm7916hrd$727ew=om``_z`O!$1PmY*>v}@2uN4k7GV*aCDgUpz7 z$Zv1cG0>S(pP>(0fn~#c{i}n{w-puw&bZ6}fBRl21FMHG3_A0NuLpLtTDYU7?WX%e z&^dl6=!|Z1RyR=1>H$Ys2UhRwXgpG~`uCpiXefzlP+Y=33+OyA%2v3uqoqm+SUaWF zjfpV#;xy@*W?p021D$vH>gAf_;_Hfl@{02%=<1WjC)wkJb}R=lGNN_3#aeyPZX&RN zmLN`eBF2&R=erI7W*aQX|`L}UeS2a2Mw*{98~w7ojg;g586lPnUaFwji)*8 zgC?dpPB)!0DPMe1p6Lw^d+INIV^yzWv;nT z^#Dxff5J&tW!#LJfT;a^r%-H3;yZYidk3Vp zuvdXhAK~_XxF=9BFw`uo34UE=G8jDk_WN_>{JJijH0z2td8WviIj+9;*l&~A)}?_eBWqFF!RT^57Z&I0GQN;3YxO22T57EbJ3iixix+CQCmuF z(z5x$n75(bBxe!?f*+!!3QD}4VM*`UfW>JIZ^p& zQc;wP3q!vKAwbOUtp9NKy7S-XkQn?*boyGe20FslYuI9hTdigf6 zA1o-gYy9MrI+QJoXxm*_Z^CfqZY=(Kf&k8&>LLgf5a7zJ4(t`o77uP!H5JM@4Q>~U zHZ1%chF^hW_eq)8D_AdsBvMrVo{IG{WMk{wwTJa`RO@$67lJ@m{^G9T*HBV7I(eNH zSp_OFOY8Yc1CQy#Ab7=&IJ10KaJhL=-sWo3QUp78TC8JaHRdAF$ay zWMxDPQ}VwhLjFV&_Vt3Dyj=PSklYy(jRj7349>Q;tei(?;;AHasBNv_W&&aYcJ6W8 zW~qdE#FdeP4_HxKsOMVz!2>VX8lT;bg?R00q0~xOKnTbtUi|b~SMleFp+cHe3y9IR zo6OImHxhxaBa8SgG6-F_vLGHn2P&c6zs8L2{TX_34KZ;OtBfcgsMmq&znQq947ZrL z?Q|(KrlqM`UqzuXV^Q!8NkF|Cn`Z|s+HpK7*T_Jx;|cBB2`Griy>8_h@T!YZSxj^x zQ}uiSwPX|(2&Qatwl1w5C1yAPrT`cb+CD+3fw49Z6!G*Fv6CgiV5b3I!fkEMR;xoU zEw=we!cwKRf#KXUGoG%j(r~B^27@zoyw9hUr!ooP2;5{GL`z(j{u}Y!R5YC&yj;~`l@0df@m(}|)2(h8d zpEf1@V;r)?zh8?B&{D!leDJ|}Kfgm3iIiUd{+T=O)`ov?AN$b`StB}$+W~>@epFCO z>YVONnE=7(xNV^0z`27itE7eqzohFZgib=o@kS>>!Dx=2*;T0qFCPHAL0qI`F}R1b z@no~Qu<~W!Uy+Vta(oTss$aMa$FAF+{x7aP_~_JEtZE!Y6BP2!A=%t%Y%;IQua2*)rSn+?yRz2}JPf50&e1A;`>PXY}nRWqGs zc|J+J;(2MB-(vMac`a0#JU$WC*h-=2PWpe%?)a31^rb|5)CBf)>f&tLgF{W3$PY>Z zceoGRmIudlY8Ivm6RI@ZvN3lZT}pP-5hWv>d@Ak=9maUK*=$Xrm{r+B=`#EVNwZ`L zJOP&0n}^Hrn{kG;x-H~0TY`*-ueOt%mm}*gGRPu@82Sp~Tgi~51a?a5=TfplD&t0} z;=wzS`qrhj6dS{sgdb~C@z^L~$FT5kO4v6g>}8ZNdcRC6MN7euAh?-)o-sc^C2Tz? z|E0r1&Xe*N{~$`3VQ_r4^~=ucAk0)p5b6N4KK3WQ431IT1gULgaIBW&0sNIntw<~+ zHk{QcM7KtPI=z{1ZP*;VPl^J3hn8)PG_Do}V(vSWfW7lOl$7PzzTZ~RR zCNr2Kt(5V4gw@be45^RqNUoW&r|V`pgn@*cp21u~g=a0Uu?gbAB18<9=hw>U9~K&Og8J8nFLQPv(hzAF(5|45d9ey*G&p0--HGWudsfK_6Mq#|}DXQT05b+WOYmztR_FlwY2Y9IsC&94L^Xf8y z0I=jP9~=#QI9Vyo*3^iBn2w&qx|r_HXdG(~>$Ri2Juyz_cZ5r5)Maahf>R$30z)YH z9ikkVPVaQy*lh|0q>L3?i`|{>E`1R1^t|2N`}9FcIizEVwPevQ*a_30IxR=P!e~-Sc>C1-5q<)W=D4ZmSdpS=`69u^JbFgyIZI8EtkA)LyfqXrJG^lU##`w z?N?oH`}@KSF~c%7-d=!^&Ph?E6Oc6l|mI39CT1Qfh%H;6-S zim>2D0o1t0>-MnwIXDg9Zi*W?^@H6M4|5s-!+v&7!^gWR#(J955QcE-xa5x&6@PG! zeL?DM(ktLD(Gpd*8`E%=$9R*V6KbTEpN@C<$<$4nX8vlH&O(}-jrGhkDCk`W8x}cI zokiA!c4Xp(OsFy^%aq@yJ@U5n1#|Jj+P|P*cw%!WvP@c%kX={;2fyZCRmXT`;d)I# znOsd1P?}4vR$9=|DDjz5}mx`&xK37->i>2k>(G0e7(1`>@Sjt37 zSR)oew2ZNL-L=+t1AeHaITAt@vA-qu(M4NN>}u3t7by+KOp<%x^+kVq-9(M28XARw05 zW=8a#$&xB<<8L1uIH06RT`zcK;BY%~gFsX3tvM2kGH!N~i6zaVB&I>NY>*WYVX^YF? zmGx>zxeyf8k<^}mqc&Ld9|MMzHDm5Iyk>P1hg5h?y*_&BX7CIk`sGCTe%3W`XkCGLImafz4+*vLY;9?ty zH>z4BAEQgYN*sJonGtr8cc0eF)euxj0;as&1BC(wSrUlI)pW|)7rSsN)0^38nTHwx zmqe&3uCzQb)RNe^` z?s=imlYdYpflNW6f>cTC$)mv>Akf~RxOsy_cLZt{w^x0{Dfm~LRDF7<;9q4@=i=5+wv=Qe!VfE6x#(xX$Z71?R%GNA0{f zu;;AsYFNOeYtn^N3WiZ)nCaNY%$Rakd~3~%J(VW-;R49vEAt^)%Y;-VTYiyuNPA@t zWi2yWnKlm04aru9wYI}KQ62%DX7TRg<>+^5A~Q;UJ^H0=b$1&wnBTn-olkp)yW7mh zxz-j)u3X2Anqc8(C!wOccg+kQ`Vu5UjYLm1q#rx5=s=HB1FWqBtvT26ED`?D zsf)rNi*e4LCVNg3!$z(Ctn#~v5o{gAOo=)pZip4vYW#g}&OeyHFXLmGpmDURVk_tP z`>y&Up9{`>g}cqK7M%Ih*B?$<^M}_TUqra|b=+-!v~cS$s@uu6iF8`u79hRiandZ9 z_enuQVJYko&)R)^$>;^Yw$iz`V4!!mF8iNP9wc3Og$Fq(JJ!dUKx6Iby0fWminvXU zW@m&7c5GM5m4@Z3(^j6H!o;5hY}tF>oSJ4#JBCz0;tJFDJBEwjm$+T8-KyIcYV*RW z2Js#$`E{FAVUCG79UFTpCo`I|JILk8#CVoz7b_{isjbNM@a z1*)P>ggsDFd}&@hrQxu}Kc$HW`BR0ZZ9EECZENXWbt12^4%La{se>2j!D&!}@LB2W zs}E;LlbwXCLbOQdFW=FkH%P(RR1&Ybfq2%FQqfLcwQi6roLM6SCAgEI_LrPDe@6s# zhC$014{~dTNb(_|fJgXhJV+J-iyB#8-K~8M9yF9xBsU=(B>N_hpa@1M^OHm#M20ab z-)SqOP&gx1J3g?eNd9)U9#yV5I(du4Y_D`(l#2j5(m+Iptv~bEL)TnQ37)oWENkdd zgWHj15U`3OxI(ex!Zj%ZBovYS|A=^^W&qo*@Br0aq&Jr<8*9(PJk&t&xw?dj0lzCS z!!$r!9+G8A?K$kGJl}p-T(eKZ*-W{ZO93yl6b&Pd@loei0@7PXLJOEkpr*^Wqb_g1 zGjO@b2&1J@@gK3vJ)SL*9^gI}NgtTXIRv;1d^_KxRn>kaFoAw$B>fBlNSDC+&-cEa zfJMo#6nE`S1dOX+p}f9<&sx9oq!)1NJ?as?M`cY})3&AR`xW6&6|JIw+IY-h(av3) zGX}V+eN0>b&2hgXh`(wRs`V>4XPkPE8Jy=iIRS3$Lv?G1xzn#qbPQP)8_nn6iz{&I zxN01)=WWM3p&8?ASHIGnLbV=Twcmur&s{pMtzVf6uW`qj%;H;OwM1_C8G+92v^nV| zZDwyHg!!VK06g$%q?Krf5RvE?SA#}i*Bp{QPe`9wC8uXn zwMfTDfYg)eyo|De?4ig_L}}WDNL0=TII1*vxGDuLiMtlI5SkK zz*s0&?2_URoZOefG<%iCD2OF}2&i4K)R?#XqvT`1`#-K}eT&XSBnE*ep1rMBwh3=7 zHH0^`=gyfQze!b~OZ^T3@m)?XKFGQR_YQFaDhNJ-g>|8WlXxB}hqvg)B}pC;3n2oP zr;MB)pNF@n?d+Mv7)VFsr8wqyIL#WF3892z!V&+G8c&MAy*`VFyg2Eb5M^dTii@rd zJLJVl5jeT&9ufF&zZ+E4t8-j4sBLEHq-?P(uH5E19wV62?Ga}69s@hCcOh)F6 zMKw=E6}#{7c2#WtbzXVIQ`2H-+lGtkL(Djm?0k>g^MFOzQ>)0&Qk@acQLFamN0EVY zK3mHWZtE)0?lgoOEhI$C^k_^0JsdqCW9PiB6!lT{y#0>uq_W*b28rWsRL#w4vu_s5 zFl*0Mnq^n5)dyika6V(fMisGhMT??yXZCklO8i;AT;(awl;0A>Djct#$~eC}V)HtA zK`gQj1YC+qd+d$;fu$lU7qQ6JRklL@5cg#A1Pm8H+zs76EBqzg4F$*3cf)>X!!4N9 z$#{YV=iRYPlC|o*kpi_gk~l#lN6X6HrxN6=DUg!|(u8_^oiLn?`edPjOA^8gO3AYx4lZs0>p8Kqfk0ro;$P z1J`G-aSa9RiLzRGFk33QJU-xGDV;PDv(9!}?ZS$m1u>>QIq3y4U$kZl#uKTR2fTP3Ub)G)USpnc3iW%>6KJab++odbq7X#S7s!> zgW+@^_1)yQWTDDh=R#I%*0d7i5!n(Q;7)FBUcF9h%cP7-ve)hP`c3hYr7}^sHog^N znTAHbzq4JhU-%vA^-q&fy&IbNdXqNHr>@JINPUvUlp#IOMIY>muebQkP|s#Li!C(H z%SPZtBtxMiBMXthRz}|lR+eY{4k?-a<{`D$%y}Vci46U=ycJdstGDo!XbxhMIA3E` zw~>f8WE8Zng%g7U!g%dHBV@6oSiK9r;4Ep- z?emZmoAzc(rFHwO9r)y21^Ij1-?^uC#AGA-yyD|gt^x_U(Z?PB1GoxV%rP`| zrKWWx9|M!kg9DGWtQ>h*tui?BC>(h}xDY2QXv~5{rQ&yEJ}a|bgqEj{B3co|+!^A_ zOxo+ZgjVr0F|4png+{7a=^5IQ=%w7Etd*d=JA&m29O-)Ny`4Lic!C}oY}c9ewN(49 z7f^~*E%;#=>u$;a)G=8(D_ z@>jV+v##4)5{C*~_K8kwDj!EnUh}lN!B7Xewgwr}h)t*tiuSi9iI=uPk@MHasC*0IVA01DKs=D)W z-ScAw=d~c~>vJ9oB%kh;7s?V+m#7f5Jq@KhmES zZRE}GOxy41`+nx!NW?lI<5hF6v(6fwmq^DLr_ZDKZwnGYi%wJa+VI$RRvluao|nf> zZfKjuV_Uc&D5rEXRt{uF@CX*i7@e^|z(jN(7w9fb3eGyq&hB z7ScjGFyuv|{1c^JaONO9ifmCikBq3WQ z&qj(z@M^b(;=xiLFkVdR)HvXG2l~oI2-l+?2PKYRB>9QE*KCpRj7|Z!RS62}DzYw| z0!5zyGuX0mIx+X}DP!~r>9N5W{F$}BF~%r|F|4||Nr}Sm*P{b9sta!ta$ ziH#_IJJ)uS^!Ns5dStBz_<6kHItSz>k(HhaebFdT_lN!{f~F*Luq2k?665i`YfLnI zkME4*8+U60H#tnFs;R35hPQ^oaDe=l%Z`jgyPE>{k}kGlLI>!Hma_9tl!1vq9x6&3 zg<$kbd)svo<;xU=9c^j|uZ5F+37r?fwvZJ|vDFfo?E^jM7=o+H$5&ZWE{sklW-3Zok!qryV@I8Vj%g`OkE(up!Fszj^aI&m@{^PonZK{nkDbFk=A$%)miA5zLtPn%h9X>WRcH!q zCps+fRYQ>xJmi)uv-uUoms59@%~9Z7S~#pOGo3P3GEPrUU1e7wE>2fXiM#IoA{*9- zg&|?hvYn~BaT{5Yg(IA#(_54GHbrV!=>J6h^n-RkyR{XaS!?zK`>x>v?hDWQ$2+zOA(73it zY%Mt{l2!eCN@xTzA76;uhZ`|W3~^hXMht-*_0`#ub5Y)ztr|lkc#VK74yo?F3Cv=f zEMd^}FB@=Xx8I@1UdOY&8+TD4hkdOiE+7N0I&Mq7mn;m9&Td*SgzkC(96p*8+})^^ zcZHiW3)S;yv^!0C!-Q{Vr%oM_@5p(}Gg^1%6eBx+hX=!Qe!2{Mk;OXVW2uD45NDY& zaCmYmGVJ;oybYajzUB6`^ywb~hzL3$|H!FCjqA(iUU>&B6v>5PKbnF3<*vedsfD8U zvN^$x68M@+C^zqcddUqYVxtAK#ZpvsurMiv3Z*Okb|p z3vC7_yAWofdv+aI9k-tL5v$PwENSazA;w@h+~bMTOapdJTz?7aA0{3D@GiLlETWWL z7k8-9XSqx3w7p9wGg4OXT9UI^*h3(B>rq2ZnJ8wwj0qUPe6*Y98%KIzAMn#s0hkW8 zZ0?eUOg>yoZZ~!c^9VSij2qGC|M<0J3?|+kusI{nBo>u983EkTV2n7^SGU`0dfBe- zSstXix=&b5i&mE)cK@t<7k!$xdtCH~=Y}gT_t_tD{Mu=Cq?e;-8YWX(m`WT}wz-~^X zE^3B8y;0X*6s-okaWt&JV7o?L8@SGF)TP;GeIBDO$!c{nVKNay9F2!nf$!(EyR2cY z$D?jx7O7s=+_l3v>aY*=StD)81Pu!N<4EUEi$G^s5O@)RL)jB7cV$A&a<{{;PGwJ! z$Sox@p+O79Xj~9@nIx!9$|`gROa}BEs3!^ zi9uc)o$9zYcIHb#dF>!uEwhD?6eX2Fj89Zz#})FSonnr)r64WhnBt@4noE1hLxJNe0wGw^Y1E{t>m>N0&D9$wj8589kSu zJ-5H+XieW?Z-!eEnASGowGHPt88;6LQn)0UA9PH4ao0g%k)$QDGatl8oas8ox5>>g z!d_fuU*&jRr#O7nUd$IvNMfQ+vgE}YEF#0~-33JX!6g#Ws6>6j)+B&*wvZI?7r2jR zhP+(* zgWEz#0*5e>fs(MbWRrQ#Z@=%5%*!=B)C)b~H{H#)X*w}DjEE3&cq6P?1N+m&mcOh; zZzd?2XdI#iyyKxSWkrf6qI1ddqbtw39O<$IC%|1MDqF>4OU;CqQwEiIGdm!oDX9Q= zhUzJ2nE^7bNG%GQE1KY8+Z?~$ZRc58@PGeb|Ic;fnR9uw?w(SVw)9W@MEal@#(FlM z+j2~L#-eh27#-ocMVP%p8xW=m&iLIC@y&Dl=DB_I+BS?Aa^qGACmngDmrw>C@h8`<_IBblEY9Ai_oiP5$ zu<>@(hLAaIgvmu1Ip2Q|8-L)ik#|yy&3Rt?FgIM6!GDTj;|zp)ZY{s9_JIzmR$uLt zvNFQkseLjf>FLv_0#$}B7U!^WVeNxw=uG(g*T4V$ZF>9nfBU!p^>+QAmw)apz5Uhc z{6lUK8BPJXQaI`Aet8ePRD96^^Jo_jS(TSwGHnn^dlh6r*8vMMAlW-a26X&cd}l?I z$P(fOuASuuN2ciGb;Xs$GBFs!X`fEPQ`cwb9JmFvv@wW<1FUm;wBw+r^n)D%CJ$q;cU8!GO*0d6T*duk>_3Psy(3|rvt{aq`cyX&!E>gF3%7$K|*uw4tyPjzP# zRIFXg61}j(kpzXg?UQ&C=;?dG^y}>LcY9N7bH`sa(I`#)buq;@fg_`xGrAS%%<$Oc zeiYqI=;L-yQf0PQmlibtc3?lH9b9gc*J4(f*!=l2VIOE#XcsF&{VjX@ST}w*j99;i z5#PgzUuzfveM4QnK6r7vM|}??V4z3#Z_dhJ)O_&bqu1Y|1Iry$)rFa1A&oLfAtFuU z5=K6eJ`fiaT$HFd7<-LnL&2F!LgbvX?_%KL?AClJ_2ax4+fCGbG13PZR;BUw4uy0w z8kMkcI&=y#=q+yHibJq4G$;UgFNM?socLRV@~uJn0U8u86elbUIJri}9rf4Mprpu= zxN^{{VeveL0MQTofu}wNm(}Ja{}L1x4ZBe2^?rbKDb8ZkN~OOkVnjJZ9~)7xgob%w zwCol+%qSOUlLDR@4LVQ;6Z4`+LxeltlVPl!rlb=}q5=dZ$rK;7iv(Sj5?)$lW7-lm zn~CbEKx6ZPWKGn4=o}%~|2Cd*~-l4RyBOO4BC*8;J zPIs{>p3JlN=i-q2=k0wzIulgMBGr{d6!bLL8Pa)e@3}$Y<3%t$hw;~MJ8lGp;-XrTlcQiMyv`WA~T6{ERj6W~Hj#ygwI^oyRso%lsdnBJn5 z(kr(JCJ9+?5f*+j$Ly(r)sh|Jyh~#AB+0aexT-}#phIn!MdX}~<;?NO)*(%IRV$H# ze+dL?suSbSS5R$h8k3;f_{%W~2L~VYa?9p?ib)=O+JI4Iv{VLz2JPSf<%%$VWYGN4orE=Wq}EM`U12_9txJIyxjUI) zm_?ttJCaOSGX>{J5<;24W@|#R7c8>$y=+6NN}L>p%pgxrh%szP7UR=l!=Jp)3lp1B zHt(W0)nVs5^cRnvG1h0tyveC5ZQOB6!uGl2&753D!!%md$Gmrmn0OgDveoU-1vG-GAEggm8S#N-Vqk#g^P#$rYr8Yhe5m`t zyq?~vBzoNk6ZU{H_@&;vO^m~6K>UTFc}%vKLj&TE2uk~4X#Q~B$Dg?^PxtD+in>n? zX4|Rz*5^%L5ceNxu6TKAEZ-WHm%?&C-rwfmt4+{>+%9MPE#II4(VzVw;~ zd~a}D;h&JI50$ypWVfkigpW~(h#Xp?A=% z+j#_Lzp(TJ?;{1Xwp@K6Y0kGNQtRv*rMDOx^1vd9VX+vV$ooQhUXtD>{1L3#vRaL^ zu~Nz{PAOC@`Y?l*wOAZ^cU@G|Re$HJ-9zPDM|ODG>etTvqU*3LIgwz1gh5_%tmW`B zlW|#S;NfC+U@#-rQ&|+WSHFT9uIn zp3iE~3yyD-n*y$a*?LG2Ujo@iaNnL-0{51Z^fybq2f8O(X4n}CLkq*DPm#SIUnQ@z ztb{1BywBWsb*VUgJ4@aiPrYyFYfR*XP3XwmGMEOw-!Lc25paAreKvkn(8I>0FrnN_ z^wE|JF+lm{P;*Imrpv=Q0_ES>kAdpTOSicK%HRI$-%$QHlz$$|M~OUV_3tU>D@=&^ zhVnOGypCgUP`;)vQ<}?_4KymLW5{a(z?tUi1YY4m7Rd^Xz!#InQDn#cqOAGNN>h{* zf)fLJ;=$TDSONrx)P(F#5G1RBGy`(@b&J?@S_IRno3BWv^VCHr&(PO)>Z)}e>>@5F zwVb-N>NUUth6^cIzX-2)8(LIJvgePA9mIR*Ih?i^0;?gD+F@8~k%q;$xb5h?N60FQrQo0oiS%@`;vZZW_WIGbscVnYtjIBSV-Qdnim)TdWY=P92f@ zdkFJ04q@PK;a8*b?`>73dz6=3F*1j(igl0jf(4d8i0XWJ2(wq1t3w!;yFs}^txhiV zL?}`Di%Ok5bJ!P|m_9Cu*w3{%D)!I42s-|f`d9o%8HAS`1dVKI7VWx#i zL7L1TIj@hw-4~WP`9`8enx^b zW2XMPCfb}mw#A>W_d`QAw=iZ;?>A-6NPb}NM<;fzIeI=LS!hu2CE)E6;*{QxGZOXh zJ@5U%=5fzR=zLU_C$7y%#HL3{uF+;B?ESV4)o$-skIqOEdq1z-ffh!^Nx)mvD`q5l zxnXPb?DTM$nMzG=IE-sO$IBxDo`%wkL>A#7N$I8Y;a);G;576c;oy3k zyfw#%OI0jE?3ahix^!wM4!*-EV?A6`Y`4Yz88>4-E2u4QLG1_4 zNI>{&k=de_)u~#iW+X;RjX&}Ln-SH-EksPG)Nrj=i9Em-XYr^X8bBBC5-0Pv(3M7n zP`XjtDFf*7a&?dNSUWNJgU5gGfcnR8$Q}lm&F9S>7tAn($g@=3`*oi;CMt+uU0~e3 zVR!o5H3aQhP4IXfPS__wk1v7a36a-j;9o|z&Lr$7t#|4fb>pPwDdvb{81sKA-9O@p!%E@8e{KQXVlJv>$*FofW~51lmUK zeNx+~5({?bVv!np;LD8vWRV~P{kxlb0H z374GX*85SXE1dJdwmxs~0X%c`yqKSNoH)PccQ_G@s)0zv)HTxPT{^Muyu5qfIOu77 z>3ZIKR-`dbk{9m`k-R0>h3oRZeQ;wlglxg&#xTI^{9j%R11xkSqmvTcgmND?{u&s- zCUWs|7h)xJ$E7Q(7oB=RYBSsXxH?>se*2Tf#>O4G7f3GPtHBtBtiHBsCXmKG3u!{B zpKCN-@+9EfBLueUE^Co;TmH6*0e2RviV9f+L@#rFi`KNajV_^GM%wxU9DZZnY^fvk z6TGpFeiF3ryoP>4uuu4aMnb-Rz`C6rXu`lnL?gSwZ93)TVDhcY*8}=l>DKttGKRL$c z43-EsFtNYH=rUVOS9Y@eVhV59&^FH&D+VRJv7t0kjA8xlpOSf9oHH@W&zn19tsYIw z^+39t7y|YcRa=OxOW6QbW|^oan~AeYR!8Dek<850-Hgx0o$9Sg;wvtxG_KTgqZzkV&Yy!tG8_4uG;%>Nubzg0k5Ki2sb!Vtd<&rcf?YEv}& zSS!wUzc9~_Lb#+13RRvTr)W8kuujdh?(T>vc{TFdvA0ez84JwGo$z8#v!P41xo0I~ zA;_Nul|i7@DojQ%ztxiQw_`WBq{{3aE7~dG4}nXv`3YPu#!_X6>=m!Rv|bU7l$Vqx z2zP0q({47j06X_Z>NBULB5bG2hsEsKgkn{%B%@L)&g+0ZYwS^(hGGG$sv=-ds|~eYeQJ%MR=!BLk;hK+cJf!dy&aQHD#v+{_@SzKwb%?751$ca1~&6xCU*6keJ1kSh~RO|x_ zWE{jYnWjfuuoMRdYS{9}o9fI!M<8QuF?V%aH+)mWzNuj^poZZAWG7rwI+F7@HSDaZ zP9!yK8o?#+N6>zg&C6G9%i`;2`Likja@>VxrLsH7>T);6A{$s~N9C$=vCG5+0cBK&3 zXq2q*)2?{TI=?ydDFSxdz<2N-nX#;o=coO@&(rkwSFde46Y8&VO89`$f4WVMn4a-P zok&Je3Bh6jCA=sW#Kq8Nn`Ya`QsU?s)(Maf&9;B72=edQ_I9>C9cefY!xR)L11WCWP5#v%gzZ8q=ipk z2>OeHa`y_jMM%p*KG5n!iFp@iEp#rk;i>)YFPfJmuG-r!M|MdZT^Ax^Q&C$ng@ zASkMffvT;VVd4Uua76<2P`7By9j&dWL}NI|;dSN(6tnK~IogsYXLlmfLozLztHHn~ z8sEt>uO|)YRLsQn=Q|G@G9P3Q&FHqOZqk$zDcF1g_z3$PtLEB+cd^oRd}7^uRt&N@ zpV-d}BkF>o>&kG;gx1YZ5&4e3u?X{?pfmuQ+ZfNc-Eg%ogc@6xK zf!)1Gu8Fo%Sh=bVR*TM(kyBLO?k9Hl>G7m4FS5p2k3ed9;@oSyCJyi)kRTVx;SJLb z91Ee-T7B~$zWEQ|);OP!|L~)&aa7BN>jCEk8lL_-!(vpydcg}01DroGxEEriBc zzAkF0M&6dZl5wb+emi>RI?0dXn?zqGQ#8i~` zt!RWjN9@gHdSYrP!#V5Vv3TYBVv51>96I#UtB6`ho21^`bhHCiIp1dSi_%;m?h3%P zEdZOG{bg(9Bgo5=#4T$i$qu8gkuH$AylRbj$y1X0#tZ@Cgce_>AKWDHc#`iFa@k%q zg}JoBUcElUPbGB~XIq>HN-+8|iQPL}X9F@kEE2qlScK?WkwDXzLFn5{iv-=X{8W)J zekr`*`Omv?j|Nx&b_u#VGGA(^t0NY2c|COv!FLZIF$@#qUgVylEFHa}*_>W_X+Wt$&Lc*CB zAm-GpAT}E5s{Hh%tFjOwa@z9GG!%iv@f4NFs;6#6EP57#%n{IN98j-d!ru%@D+e3a zq)eUD;f)o@_`Ng7#+>{w`Z-#Fhb=@hDjM^pSlA7J*|`FD+BVQy1|v{&Q@hZ}b_I9@ zi)0aF=bx+D^-|~Wd21Q*U*`Rc@P&v2a|9Z>(%DrKs;VKEXm1gDTi=P>FKY~3z&xPH zV4cl;3kp#F*%Bv)y5w1D_2*03{XhQmAOHD}_u?eJy-mkB;gqor%ahx=b1654b14RP%M%&7 z6fMW+X`L|xzn#0PW?Y^?z)+LwKlZfDm{HcubvuaKD^K!XIrO|dLCrsRK52Uctg%*U{5LnT4DpF zMCe?yHqoI4NFl0vN?wYm=T*3c4MSbzqg9`+Wtw}jtPERctz(V;fBl!Y|M&eYJ$8^v zO6b@)^mf5}@p}`}_DE%05yLQzoG_RXH&0S(Fz&Y;KS)2K&j*2FZbLFppFd$*Ketl; z$Hnitm>`wh)Ub`w+w1db9IE!+3WFp`f$BL(>&n8`84%7EL_21*KA*~?w73q^D(9iM z&zE-HX&g%KNluD2s%jic?n!p``IQlaNP;=Saquc*k8vC*EJoSx;yCOf#@;a%lj=o& zfjMmLRX<%BKccMah8z%+&OwboF#BAS?4ikd^__ACJ+JI{wO<93#8f8y)T61CeIvkE!YnZ1}X&l2s=X=h1LzGoHcs?o8E z2{q?6O3A4dhaY@zOY}Y%WKTTC|48lA$$3S4en*3 zPAlI&I-L6)FArg`ET+Y>{OoOLeTg5-FxNpK)BNL@!e(G^vUQK)QCJ#YmMZNY&Q zLFz$r#8hV)l`{POta=-JeX(WsQN@lF~8*P#Y;t$40+|K6NkTD^G7>@g+ep!i#rJ8rnQz)QB6 zsop1RF_Q#3h}z^{!P5)5L$xoio1sK_JiTORh~3I)rx#-_#mg2#>0o%uw%p`$G~iH} z`rWIpJ5e7eGm8qP?=6{d7>PBuV*;?dcqWiA8oPa?E z^D3bh5Vlxkj(>Y6ukKij#N@TCU2eEoxV#RxAGJ)-3+U{d^~Ny|6Rkzo38(0w^`xh& z0L=vCENKFJd-ZLXhkwCQAJgoSNF&% z+S=VTK^Sj1aX@-H3@#vY6B7{`b#>E2KZxz|iZ$x};I!VB=vADLqwTh=!_=MY&ls0s z%eV}mopFhNa6RJVILGwk8J9=d`}kUj%3ss^!MM|YD&NaAFn2O80Y%;EA8h>~3Yfa3 zsGHgQo4#(3z0ZEIXx*9C53)O(6uE%f5Aut_>ElS^WZoJW?6UX8t8yrV(+CW=*mGFw z%-LyRgXbBg|9$ROLTt zB$Icf1U6aZk0NJhKroddcpGR3`A5#w9rq%SVU&PIkB%iTMPC%w0Kn)Wu%9p$rgmiFPwXYNOn*2!od`0Sm$9{6IO?^Zr9 zyv8(~rH#1?Io#T(dG-;&nS9u|U7|&^u&CaDRH&*?>Ks>aD5{lLM3fX~Z6cf``IPCD z#0y=Km*fY1W_Q^aJ( zxs4!A4?Bu8ycG-Z(_sB`l}eLb{BAf=u*2lH;Y1(ij2)(CvvY|5f+ zI8xm~)U6q?^1}1m7lg$DVTS zee-wgIq}@dh#@biAC254lTic8U|rCFa_9~WSzjny(kkJy?5uU`4GhoHvTn*FOV2mn z&fT}^B{|AJcsnpXY{+A5F(sj!H6r;@%#;zT_b*OkG8hlE0+&-Z>UC)UX0 z4RcqyXk$-_53etXb6|fgvAN2Bg2GcBj=#v_ z!&X2aRI}cNEl}R7laYn|&T|TwNV2dB_v*G-QMWBtYaZ5Zw_Ynm90vhLVj)?Ohbyxt z4WxB7O!X}mS1CCK6cs^O1Ze(4GW?`rE#@`4B=J*Tx5&d&_lf=z4X<@QrP)dnmp&k& z4+vOKH%>)J(<{*D_s!Ya544df_3(H^X&@bt7Fqn4rp*bsx9jW*KfIkmg3vruPa_}b zD7q{p@>~-b=-EQ@+KZ!0=oXVT9#{54!vFN%o2Vu-Gpu!DytmrP3@B(OabW59Rfv%1 z0);^0YEKHv3&b(r@hC4}_J&p_s(BJ7HyH6Z@cpc_3rVdT#iP*H&oJ-0L z9*=B0dB&J{;szM5UQJVcMF%~ZXdsoiZgjuqHYFAVDH=QJFfY4I8p$;pg>E3l&==16 z$ks`dRoXD9&JI?+l_OJLbPYNXhv!=bLtiQOvEZ&(lw@!LUI8C8m zJeo)%5dNZjGZmCXrHYp$!QC+9GwLOadys7n~@xx&>VJaAcq3zdnh&We#V`&8CZV7y|OSV}blP-q5>{qGhHsqPlra&5qEbMVUabDa;scP3K2K zV4BC-%Cwg=ix(o(2!3ET7Jl}luJ_alI26pH3Wxg{_otaQ_2VGag@qysCM6fkm~Aw& z_PRVZT#3IvHBypR_t24-Q`iwVh>>Ro^g+C4tdXD3l_B~DYyBQ!{qhoa`X1-3@Lgorrqh2 zGZsKC?GDw=IfKmW&-W(4kc2NTJ`9G?RicTaks=nkK*=ox@gd>aD>XhucqU_2<}4F= zYuho!oz#(pXNt%cmRAPe+7-8YCevaT*&Onl)eTgL%UD9B@(7bTnd@Oydl^cG5`CS$ zLUhc8h0`O%7y}r!ueG=`>AW$H8SIS`sGDG!tNW%(Y0M=qnp&L?Nt@*=I1Q79`d5j? zWdYZ|P&+^q%r;xt*$JL|mC6mvZ+W$f|F)jLA{r4T#iENXB$G{g3H&2{PbW7laQ4T) z!=A;m4PN>WgURmV75%SFb|R@&;*Qi#gmrjYC14kOsBYIP3d-%%8u~Xmxa(}gMQNxziEi4X^54anbkY4 z=Df=}uKxLHh;oSm)2gA_29;LP>jPhUB5`e{8rZ8W?@AzT%20CZ zmbP^bZ-4a;KG7z$-_Lh1>1kxBf+{^^3$4=YDIZll0dHCY4zfgnbwr2NNS#l*aeOB+ zv4Uc^^;~4i`y=o!q0o+-het42LS){}40a}(-eQnW!6KJJ^cp|nd=Slf2_K-!oCfL$ z){rb^s8GoUS?-RzbMa#OxbJge%S?A?-4cL7AqzRL+}jsRu;Acbcwb50R{=0boFxPV zNLAkhHU$=WswA!=55T0r+G)$n8o>ZstVyP_Fz~a)%8c3@OY+~&9_dZ=$lVKy5A;bD z^QzkmiVs?CvR`jMJEl68Xgb9b?Rc&aWp_a{6^4VD0G4O~5#~~MGb(Vv5}g^b!(O`b z6v>bMq^sTy; zpro{Ad+xAA@oLUGYjZfj=?5x1gBXAnEoH?T4Z&m{-ymk7!+r%!E$FVRE#rZsY@q)k}|hV>q!RW za zj3$9Jw95J%tgs5QiJk!dC02Y-m>!5DMf5N9%NaXF~uDV2NdiFMy zy}My~QwL=dNNL_vEb`~Q0^dtkM%{MJpm_j6XI(QW@h$XH;VHRTSZEfO@38_72C1pb zH$B4g4Ulg$adllu``xzC-z?v6mhX9%FML>POT0JAx4XXUo8^lyGF^IW-M@>QlI2^6 z^6j0D+IeCnQlf}}N=9ZGgpfcXD1`Gc-;`$KWEkfpmGkJ))Q+7)}Nf? zr9M1N=8iI`B|MA0D_d-Sj8x8J2hcZ_^P9@qV=CWCPv2Bd-vMN#a_;rt-c|sXnAcF| z2avZ6P9VXnr2n3L{kQ50>2?l1s3C`3OC(qvU}N@Zimj2qT7KVj3ozTPluN*Tz=N*d zmzBz(K)jCRc^$74FqB^K@{dfX4{5J;v!wFMI38$sulM`o4(Z_vcZ(bT@ZI$Xt?+%y z%$2uZ-ISRi4+>ANg%D}`+05KI33x?##zs?&G>uP^F8b7Wwm%WpidJKSEh6o8{ zwaN!7%*BXJGDheIHp&iVUKP#j1?D<8vAaEIC*&Pb_C)fuBOZLz$E>2?6OTGt@D>BU zU`aoX?yTFEGe~I|26LB9RITU4#e+3qr6Y%KFhTcR{+)uQR(p4tyr~;oVrpl>d!q35 z-YBG_&2rHoy3aZg$T9j7=%^2ipV{Khqi;#jo$y$BMGN(D?`87xJvls*7#Y+nJwDl9CV4S7B-ga6;UWx)POHd*7`tt|=v_Ce zn7mpmN%1D}HsehxMV%3p5ad6>9#6N|Zu60|FY!O&IYwKXe)ADGDrO2&P*h0@HYFjA zxCvfYo{y*Z7~7=i+;sv5vN7{VF>Y#7U)C6T@iaRIDJWV38*Ve9XMS@xbGI}vx3N&T zhB%upX5-tGsu=&_MYfEEJX4Nx3|M1w=G`H(i}fpRo<#WE!R^yd`G>4|KwT zjHQ5vJ4C}_Hw2=*Mxm&X^32kVuCIf#^h@xqhAY*}(+QQVAu^3b>8;{*pNTDhT^UY* z_2ZecHm_~>byVI#cJlIpZfOMgNMc?oH*5wThaZF2teUq}ytXAcM8`j;#$0-Q3|5C- z_2+xX*dO21+`P7Td}4E+z4Lh7_Ckm;!_YhzwWpEqkZ38GZ|A1fT(@zpC5WjoGVYVn z8c*N!oNvxCiBR2cdgTXidTQ%;IiTO;gVy{OomS(qX88s9Nkv}6lW))mC>Bm82U$>o zTHJ~=v2W+Dgqs|e0aFy;sWPWzRH2EKsTWFKFkF-tbkI-0G_sE7XMo{(rR^J&2XsgE zR^v!C1j81(N<#`I!h*}zf!>0h7H-6Mudw;!kCmf&TXtml669`dFC10P#oM znR8P9qQ=6cA9Nks#OX;Z57pn|7T!@dCewTFidu!DnfZELSu0_yW z>JByX52a9A>sy$8fq$G+9rm`tqG6T{rP)oj9yMXR397L;~R zUa4au%`>O@`5~{=F^P8T+xlm>jwuR3V6Tpma>VNoT1ZJKN~yN1pTtITipRTn?FodF z%h|%p;2wQ^Mmr2{JCgO93`f`X2z?6uUye}U5Msh24x%=~$SGI?SjVFvCwnyj$qs@r zi_3%V&hg>%?>z&n%R%^#HsoN{OWl6f<-XryOgR1zU>}EQ5)S>Y*XPa|%8dPKrUq*U ze`D5XD552&XZg(Zu&UPQl{O>{=;C?tM7tnNT2SUImuq1_q;XdB{K;MKl2>_wPN6gu z?Y4^YAchHxvrxDoVXm?3e44lJR_qX4IcKfj_9J?hx3^=n7l~vYM)WKw&E5q=Y6TZ2 z1YzP@!&DKK*(D19^Un~`LvCpM8=ik}|GlnS2`>VaT%mnR_iMvzNS)6{w=N z`0R_@D1e zh7j2wC-0+6cyy7A`gZY?MSrh*|6ZS+cY;Oh0#1pF{>(onk{&@EP^)@Oj-s|Elm$xLmS!MiU9@6ZRA1}*dY%#u}-UwiD z-tx+Kot0d#XO=w$M?;}DnS$`V8rH)Ic5-r%XEcp7=}$8~ITm$8tTRm5W2rlK{EK$h24Q;2<>`yxXm>upMN)f9~$3Z#Lnrc|)ZR27dc9k&65lxD$g zXtQZJ!}dHV*08=UUd#ryFU>a^5cv|godQlZg`xVQ+WroVG+TCr{*sMR-Fei%W==dmaz9Rdk-6$K3Ob zwki$Vs+ewF6jbP`;ve5u9p#==#qH#t6TqI; zzo#&e=!T~f3!ZYly=rv1SBjd;6?*|zlI(*N4jfYJ zfzGfsx(lHs$=*OFxz z*jJUYU%J{#Q9t4DWgmC;!>MK;@2i@50%fPKs`!=^3wL&33=rSm=3^ywvXs;nweq`h zn7W|_`a-WXioU(_m;G!Y=lr^20CLgiBO6*RsF&_utJjMG@Wy|T7y#ADT%2*3Kp1+% z=RGk16OPplR4K)=z-A>Z^0SBmDha7YD{z%B=EwrqxuFGaXhGSt)smWRLm0+k4p?!) z2UI7Dw^&aT+sM)A#i;T{tfoVaF9K5o!J0(|`HA=02lsjB!jcAny@r+T-%FfG{Jglgu)J zi}j&E&4?6Q7p~7tm7GP<{ueb0>H3hpKB`1Igi9t95&h?5|0we|2d|TA-R0mhVuP>zM*!_3_v`92{x-KzYlJ&^)-7?u5zLZ|RAX4nwOr0cG-9EidFzV)| z)N>2dLck?#UdpKZ-X`9Zuz4x7Q46sfeUy#MThu)mcV@9{Xh{z*MYRsjRj{l&RPmt+ zgoI*!@6!fz73w8rt`cR?@)M$3g_QRritrB+P%_Hw=v-wxuh>DgAo>}2> zHFJcwodU{<3(VI9wrPc8RjV|CnSM80G;EiyCM}s0)uNm+=c4>YZLY#g+y*J_HG#Q2 zUgNnT@i9OvaW8uX^)>+aTF`K%gfZ$C5j_S>R3rJOVnjy(;cTMJ^69m`#!zT4 zU=%C*zbJHJpp~o2v>;tL#m<$^(4m?97p(fUtq74RF#&*gm<>SSUh-|2=&)a$oLM&H zLMbH3Y1i~?3)UNfL>c!id1efb)Soky!*>go$w5XD+f%$QFIG!!(XLU#PwkoqULDGl z7dQ8ql_jAZfD=!U?JaVcSAl=93YP7ye~~i}LgD6xmz%kEtuO zyG!O#EUH?Pvn&AXu5aafdxtO8t?!tgR#w&(PR)OxvW6C)-Y$8!fljH~bA_Uba7nwrg6&b0h97xKZnw$_04GFa+iX1*8z~L!tY3K z^SO5UvVgt0%}}t%$mktxbYTFCBr31jVx!wPMTU=`n3o}Pq-R5inVCV=+Ei8hnOTL? zk*&1~h9~w;>CtK>C%%!ub1Ex~eUL6{P&pPka{5YrBFqo!Y*}w(rFE(iR*-R7eIAB_ z60vc~s0*^Cju}WsGMimpX;r@(!Unhk|oUhpb<&`OGD&?tw)K#S!S|}n@ zqvK1&KTF(2(%dXf$QV_3zQb(`LM0!uTw~X|-ywFi+#)ht$Rkx*LxK!uss39#aAwyn zY4sNU6Rj%PdPz^Hdsj^S`2uVLwVci^(#sR`E>awEMG|gV;Pr+9vIjvdFA794VCm){ zy)Rr4Dk4?-mO;Y%zV+0>_8ll&3efJ^yKPMr!*juc-D2V_kG3t}?+B<)jy3Q*HZd{f z^cITK9-3VAEYl*qVixZVV`I7;V}LYEok3q}WPto?E&<;ObsGlA2Pf3QyRnZE*kiN7 zpkyn)-|0(#frProW^-yZ6Im{Cm{2z^7rern51(lou}<%GfIHBzPP|Ch^gQi4P&Xt> z7$PPY$>MW9oQZnPb`DuK_cKv8s5%kn)J$|l=wH2)xbBxE&Rx`n_cc^DfBNmud#-}v zQX;xXmn?(@drsRKlU^A|wqkTlUc32M8{YvzDrvK;FosNoZPJ~^RdA?{T)ke} z5cV)5@w${7G9`#S82&|<=4ZJQW)aG|kRmIs*&i(B#gDIjlgKeuRsf*Bi zIYm?{mZjc)?a~65ZfkkAcnE4gUdoevO*!#K3QYvw88wgQGxb5-?Nr2rl6ZQwL9rSR z^*#FSrGw>E!78;N0rv(bgox5N0Ko~S@syR+m5-6SfWdSI!AC51N$TS4OGsTEKJasZ z=ie5)n-lkgEq1w3R@Hw@F*u~{i2^Nu7V!MzEq19|_Zu8aH8Lc1=~&Z)-$r#FdV%Q= z43mptmuL_c4ls#S5kcztQBA%Vq!a+|-TFw=LAeto9Np+sBIikR^a##$EVb6h3yeZh z7h18D43{yac?B;)oP?Gnrat%}=n_~V53t1H_SkVOUL+A17nokW5X`p3YAYsU4|-`s z(1fN~taXw_Su}oPUNIGR-KOCoGTz7DbU-*SIfKbvqCP8``Jd2MAuy%ktd7NMJ=kPR zs~o?N*OPTt44zoY;{T1?ly&x~$g=qzyvxN>ha2|RFujGvuZ^A_vFez}aZ)>qwz+ac zreR?37bTl3WFBn{P})%O|x^MCy1Pyhbm`_I1q`m_J>;rl=R`=9^yvw!^lPd|S6{%`*4fBo$L`{DJ&_uu{a z;g6sF`SmmJ|Eur+HMrnE`|iijg8Mt){w}2PwO{?|pTGa^`~Upx<-7m*@OPj6v(NwK z_22*W&*NXd`1e2m%m06UK0f;058G_N`tF}U{QKX1HvYcPHrDYU-@X3v?>_s#$DgIFR-oCki z^5yLp_y7I-+toq{ONW4wN2#5 zppSi$>F1|^Uf+G}d;WRf{`_k{h57e!81sYsnUH@TM>GFCPDp%kKd8AXa#a8AZ~o^0 z{?qp#wln!V|4*O&_Q#FVw$C0PJ=XsHS6}~TeKbC~e=E$-yuTmk*RMbP_}_o}@YDKz z8bi*Hzxm^BoH!YZ{_8(}FaFgX|2#ha&7c4Dy1j?_ua67-&AXA z_@~!@y?y`TUpLzSFJEr|=QV`hHah#;&;HAAzux}-=EHY?+`oPQ^iGlg<+opL|MY+S z^0zNv{lm>YzWs||{h!-s|MKGx|NPVcy1jn*@s^YCkAM2+xBu|P`hJOIp#MImEWZ24 zH~;&~{qI({KL@R|Mc;2{to7z^bh()pJSoqm>_esuf-O9e&`!&})eW;}lmhz8(`s0uP z2A1o|avxmmZ8T}FHYY%og%IUS%C$USE~m^OPPcs0|M_2kJU+XyP)8rTPu`=2avmsYVcV@d++eJ=y_G*Xv1GoIKe&Hlyvk>r zS%v$uBc+K(xz*!Kk0*QenCqou-bao$GYI;5HAmL=FMs~ak2?|Ow~)b`*5{tBkG-eb zB#owg>*-T1?u6F|&FpJeiwpJEKH2I5Bg2#feC|>W(TBRP@UiX}?`t*?n8qfv<$=cS z@qIeg_Qm&>#A?Is7hiq@m;CSl_~-Bcs23e6hkl8zRDGaO*|krUD!F6CCf1nFPBhZh zxlXTp`^sk8nYMzZFUI7d3@MVS-N*MrkqM`(rJ8~dbg_3Iz}a%&=l&yKt?L)=pDj93 z^@bQrSJwQL1jt$!WlcJWXD1uj$tpd(-Hzi1%k*zPT1g7yXfr=r?=BoITXm$G8F>gl z=V={pX&t_0(J~cIp91@f8j9MdSexbi5wbey(foR z*&f{DA{^r7KiDIhVpj>9Z;alVVgMC=DG?)hP6ewf;ewH;=< zaF}epF^R(r?|zt3942(N9fw)jVK#A?i2c0BVRnZOGhaANw%!=UVFEq*XC5Y3J-vfQ z-*K3Y9cJZ+2_3BNqYg9i!}RDdAzxqT$d(#|ILCBxsn^-1&@)udj1tOO0NfBT_fsc#esmV-e>FrQ$T)m=f%>I7q~L-sK=8I>>g#Xk!bGP8_Fc zW+Io`*>N(Jy;gCirz*Q=hNVy9Os{gFH*?H{&h&~Q#1|ZdC0s4H+0Q)FCeHNK!1T=UM@=5OE z5kFO;_}O}KwxLby}X0CuS3KjC8@k!WFZLuQ~|3sa;+0Q>}_0 zY!C+vji;ZWW6XirZ@fj50~n)p9KquDj#8-qsv&st80M)FV4_H<2f$;)Q)Wt#~Hw|;^qoNK~A zbJ^Owz8q+>{e*2G*My71#Jc=EeI17>e!@nCYl1m5gYO*|^@Kw+E!;K1qD^$j&s@|K zKF#!3*94It`InxCtJU7O%ExG1bmqeVfUeGeHv7Dc$E?~Xpa@BZ?`_LbM~ z{^P?fm5sOmY`saUBW!|nd$Q2V7D`{_BLF&}x$mwqu_eZ5OKfb3K`*g>>LrF}OYCfk z-n#B!UiUjK)IV8hU|>V%tcChdO_%Q3LJ3p$t#@_l!4Ay7&k}Qgw#2}e*o?D$jPofM z+MX>mvV~S_q3u&Iv_4yCVhb(SLhCy$R9c&Cg7R#knJqMH>P%NrE^iQqY>D~V5(`_R zC4sUnf!=AM&m>T&Y@yLwX!_I(eI|!OV+#$|LgOb`=uTA)Vt2_BJ6odHblE;NT|N_) z(Xk~uy~O^eC0{b3kL&998?454>84~pBO6MXO9B!@@`)I$=NGn&fJl2gBbjw@+ zF6SEMxnk7F7aGdsY7*xf!MT=?IoI6zxh8n77?SaY1`D|w#Y)qsT4_crjTrCng+}7I z8pKNT#g(4Gti=+GxbcWl1Yc-qi>qF&uDoS+I1@{(uFBQn*!&k7E8?mXtE=z6x+YhL zqugI;1cobJtggNL>bhJVju(Fca1E|BvAq87%kz?l$5VmBy=A3}_07@Vae-1I##14~ zJ!eHpnX6*PUZ9jOKF9^0$`KzO@WD~tdS@! z+)T)fl~`tCeBgqmsLH3JDlk!%m8k0Zb`?4Ek?WP>DxZq0z{FLi;)>wC!qw!>J2jN+ zi%Kd&Eb5Gxjy zNE9hF$hpAsY0R*54CU8)9%QLn&byU(`WVkP6T~D^XUI^%p{rnfaZmPQ8?|rU3$?!G z#mK!t5eW7|kS7Mvc8geyXMgcG5%qM8BUFsm^ zYKfuD)~=!iBQ@Hx=+WYFiIFFZm-irX(oG(3vPvi*MPjUneF3W{&@T0!;e4x zg(+cTKh1myQdKEXq=Kz5uuaNOwt?KZ@3{@B#1trU!8RBmCn+_2V+{RPEmwi{qOB?q z&*)0(Hw8*$unQ*Zl9F{f-jemA^IP{Ig|yg%lz8Q;!1fH!1FG2Mn2(YxpMD$4m0Y0J ziT1(7U3x0+ki2`kn>RiW<<>1%<(+2&FDil8-K|>u_N_%wRJk6x3n}pGWo?RK7 zLM%u)onj4;hoEgRCA=wave|dR3c*89v3+sc3c;XnTT{eQxRS@{piOw{;! z)m}x-DxA>z=vE<@s;)byx7DPD)yoz3MxTOOA8D8%7pXb&CVOoE&vl%+R4RDW2DQX{ zg9y1e<)`g@`%m>9e1dXm>;!R|%Pgb2ze0sp+=~d%L~hAxYMqR)7Uj^$0IT#Vh(NY{LRyL!+?Ao}1wDQ81*dDr%eX8Du+H@VoG*J~fIzrx0X;JO-jn{TF<{ykZOWMRhXGM)=?*R5!r=%=7v ze}#ty$#NZ}1`{Lrj_2F29WQ6O9xm6c9`cUM#l91@T*i5`jvHqO?CMWK4p&4)5X_fB z@g-?}`X%EQfojQ&{bnpLycQUrfS+BF7f~?aWT<6k$mtyy9ImKkQ83_y)iOKo_>K}T zTw!WaGGWZrK&H;oyY-DEEDMN|IV4vz*2|c1#%7RRx9L5loHT?G8;*hvXRPvgQ|Ecl z1xuyJIAP9+buyeQfDwNrJ)Cd|DK?DbWn7r~Vi$oUqie!~O5c(XPmh zBv^379L)yvzvF`A6^@o93&tGH&gFQ|6TZUIl3>9Jv$UXD+9$C)uXuNo;KB(TMs^*j zcVueeieZ!l7fx9738v=ro(sOB`6R)G0YX>f_C5(cTrrfBcMB7h75K?X+PMifN zj@aCY+T8dgOTJ=oWXXxK@Q9|T>OJ|y712=yCk~jgrN0JaOIH|M5u7-Hq@`Q7kTvhQ z+ABP*2sRurPfIq=_{|h-J0;Q;rd9+O4$6}q;w@TmyLWW?q$>te5iB@h%_o|g&(E&$ zM;3fV^C^M_1C(*NS@C(37)*rS88uvq$`!$a1GY7iX=}VI{a!IPir~Nj3yt)Cak&!~ zaYbZQ!GS&2)bd{=Fqg0Jv?@5T$2=|nH5}Rb3QMbk346`bPV&~@_0i=kOsz^5j2cV* z6+i~*ie*$K2UafDZLyyYT}W1YMct`_{emQfz_Gt)q;LW&Js|-pCJ^7Pg7124T4Xzu z>K*xRzG7Qc!FN5D6#1_akIPrAizfK4!)&blHP~3W!p555yH2sO9Br`nd#?5h6Kj&` zVi!-g%MiUM1z+K0O|V^$O`-hP2*>3s;kYJPug9uR{%eHe@)cF5N$#s;g|ECp-6tc6 zE5f1)ChV|rk?j&y@3`V}#ky#M2|Fw{iYYeIJ93FDQloPw>^qLgRWl;@<^|g)T(7XT zE}1Z8Yx^7_D<9e?INmF`sVx)=>FtMB|FIhKwU-OxR() zr~Eadapj7E+yxV6ox<)+xJ2GO@RM0X<%-bgk_%&(YOz~~y(bM{ksFbKfSR39+HmnO<#$cM9@5zI&C~970w0YLSXEI?c@9sTM_llb4MQWSxn5R{{)6_ds zaJ`g5B68e($Hq}LjidLZi7NnXFOuGT$Er_#Kh@`C#aC1xFY?|zYd&^RaWZQQzb8#x z%6Aa?Z@y!5qnhT%yDs^P=~3lrr>x_qPPM9 z^dec#SFEvhQ)7F_757V=O=Qb?U0-Gt9I>3k@44JdTutQ5`HKBM-L7f(j#S()v9=%? zv9ghx%YT(4ZkLRtAXzcCo11AjzvqfCsXroZ&Q}ne%eTJ@KCNGp9U^VcS8Q{1ZF9VP z!co5@JVer**QGX6u`95>CzrS+I7G^vH!2x;_t!8(y}QKOMAn=yI!bpln{T~mPNI`s z4NAHw88HsibseVrB+u>=XA^mIzG5?}|1|=2{gRm^66buy8c_dhMC$q_%Q;G3tSsj` z%8~z7(l+`f=^?V`e8Hwi*QUp(NxQxzK|~6j*EKp)z3tTUiMiV)0U|Q!e8zg4_kWFm zomZs}Ad5?qBWuO2o|JKa*F(O<-$W9fH_9V<_g4wqd3TA$i8MNI6iM>#uVFKJcO`V^ zMJAmuN*xLfBt(3Q^_9O|?tlzbjE(k|Ig+WNd@%B=+eSeMyjrY&xHf zG;H_RaANsO^*el)eAy^{V0S5f$EcWB)eqq76FGHWw<_E;jA&uu-*vs0IGjkS^Hv2V zyM)ra67!`ZN?s(@d0j=R+WjlvQS0=ojFPon#*wqqhhp-#`d3--C51?&)cLGLNWPgg z{J!*XNrZ@;I&YQmuxog{@1ie>kt{i~Ax8ZB`LfQq1(qUT-hQEr7E=~+RJi_IzOoO^9Y;9KVL8tPAoq`kMs$T_PJ8$S--80)g^SI-k0N~bGr&sWMCRWSgp9_bUV6n9NwD`mZqy7Ebc(a8D@7yl89d@e(I zyUT7#r^iSaR{HeipD*~iH06ygyCtNeDNf&a!Ox{CZE3kJn93=lrUWbe_3V=tF&%Ot7u9qv{Kx)?sTPCOnvd6KYsY?^}B!m_oII3T5Dst z*3o6m3cDaCb7aQdIHQCJ;{^a>?8sqM^_z;Awi*SB(@EOos66DqI(uSv9x3IP=!&JwslBz8~*Ax zm}1K+2=ASaBLdGo@fDf-kd7F+4=?sn%zlh&BXl23B0a9doxF~BZ@nwG(ct5lZ))#t z2-uX)c+a50;FCvgC%h{*ccUSe=ii zt4j7_S7CcI>|8R=mvrF9=i59u{>$etU&j4u{x0^-EZCO$xgXz3`REw&dARSlAAq*c zaEe~zTHpe=!5z9zx#dg`@xLy%NjrSBQ*CIfBXC3#Ex8DQNwRvJeL3U%m4Vj zFZa>6?{9zIQt#m2i2JFK@76WzOKdsN34@w&fbWh8_d2edFg*|n#|bfZA|^aMw2uiNO2%Wt zuR~Z@(AUO}!=Tsj5@+wZ`}oIqsG9$Ix_>bp2!c?g>#Pc8163k>33F zC5EX!PS9bR>OpNN!a10VPD$)27k}SJ^3fUlhIRtn&@zAjb;|RY`l8(3gCAXbtlc)Qtr`IquNacfk zTsyewufGZCf#fwXA^J$hUmvp`y2pbk##uK)o<|4kTVov z;WxO++{4LqobYUq_4MH!?DosAe)X%DDI3SS|Ls9(x%zxi+BaW){^hqjr0hNd4Mjl4 zod#%ykV2s>N=q`%q_bIqnq{+|J#X#}XnW2wFZurd(t3aU^9y~w zzU63{&+kzW>dsC-U*bPGFVu{>ttzss%e5;looiQUD#$!(04caB1U~ni`i3;%CT(MRjT=%2o|J-;kSufd{ z8+XnR3ZNTjH%&*G(*M`rB%n3txL(>OaTDKtKN=t1cR&6;$bBzv zJipiqGhJeSQh2|Z>p`-yDLIg#mdu2kWC&`t@=JIBTkHInO4G9{N@kNY6(%`=IwLwOIAdfp-c7?(?JTd|E~SpsZE;y^JP!-X)-+2Z;(wj`EPcsU<(QNj zu>LI*-ZymPLl+_1_4qI|ZczO3JlFG?+^lTN zo+Oxp2fQ#@za9%Kx_EQ)79$(CNh(XX)nP zOL^Q3p+Z?-jFg=No2#T$i9*mEbKGrzD^KCtt@o5pHa%1;2V-@Ft2wHhZTk_1_WI4D z{*@thG!=ZT%`75fJCh!>quZ&Bn4-9yaFvV_Afuy$!h;>uGVkgU=?a^qktR?cN-XqA zZcAmx8aIHp6=bl~f(XeNK09#mM_XNW@b2=#Qz}eAEoE1bGxLs(zYi54?te2Woc0e3 zqejcWk^|V{(Jx{bdYg@!uWn5<$1w@N=Ixd{6^^m^>2(_iqE(XNzd4pkye;EDV{f;3 zd*u<*ecd(DlOl}iz)d|w+4eoDD z98ZMA1inTVQ_dmqdmf1jj6OFW6O}uTdK{>R8mJI$D7{DAkKc~Gi?Ku)r7;})o**ao= zN;_zV=dV6UV$)H{t$bg4zJ2-iFMoNPa8bo%gLiQR6P_|z>NJgOy-Z!ECf&YzrC`_T zUOmJI@hwo3eh*NNpJaQIdMF zfsORs>C^n0=(%HfV#_%Z$4$mlt4yEGe2@OH)}O^<9tFd|jvF%*lZe#?WFVqYPcU9@ z^K5uw*2Sx4U7Ff}G#p}_G0Uk`fSBd>H7jOGqI`sB27{N0+Fxf{lyRXgu?ubDLLpKH z(HLbmAgsRGt&2&2UtPbwGP~_y3JT=2$)#*4wFKm|msrVN5DYQ}kxpxwZ;iabSOZg; zW6$&jaz8(X5!Gm&J&6}?qxq+!r(2e~-3WIWN=936byBm?VH!H1C?oY7_i_*vb60|> zg34diDs=K>_<%7J+kjqgA&M;^5=C=#YYwKK3bySk!eyZ0t#B8j zS;tJ)U9hL@#5q;O-J+t_^vF9v!)JC*Squ-a0Ss@w=3eOVO%Q5H|1}CTeP;*wIAFW3hvIQF~lV>`1!-!+V2VJpum^pQJZjW7(FY)YiFsQV=iq4$1AQ^2e#_$T zuh~W8#e4qZg+1fq(+l=1WI-c8)G_U+QL`~9FGE^T8jHAf?PHF9DJ6vom~v3j;5He*kqR z_BegEptS1IID?OPYA(CNV=YsoI3uqFVbaNa3hZ-LXt_N-A9*Uc7>JYogtIIXP~%() zt7qzl(O1(K!f^)+h8w+I0R{$V5!fRxQX8+IJ!ME!1N`;p5LXh@;zuNU87W_)pDVa4 z{YMr|&&A+Ofjg3+eqNv7^2~=a;$|h2fZb~@k;l!-g!#w)ZxA;tH0nO`!LV}Z0~gwY zX4?gtg+U1hm3P7dUu{F42~~m}oqVNxL;nce4<_Qh>1w<;aSLr~2Z6zM6X*CoUW|+R zbX5&6R;IIVmiuvkqqvlr5c?z2YcC_yMm^I{@f5YZV@1t3i6-l zi@7GD8*(7B$J~TjRIZvu(HdUo%;b#rq15h&@2_=f-v#T$=o3EThU-G0g@g=x>%O_g zEgT;Ok`uDHt>LxBExAy=>K~d+?g5Kgb7*5bA?D3v?@`Zoyp3XAI#9^vfnBs%LoyC5 z={Fw5BP{jm9N0TCT-Wr@feSW3ehLkH!+2rfDc4Z^DqXyI-wlf{L36uX=61YnZsSnQ zkR8BA4a$NnUgX!F%q8OGYi}qxoD5Kp?6GpTH$(YJj1N?y(e;ZY_vj*qhDXMC=-T*} zJ;%8&!eyaZ)= zgTZCU1S~X_J3&*4`HWWz(OaP!?oxj>>}a`yGPBjI2s^rq-hQ7bvTF^#0^56yifLmM z@6|78dd~rf`i!O66W^Uk(g#~B=&8;d(oD#91q-)}5tFAG{cGH6$iimxJU(4F;9Q=- zxt=@LW4}6GHheDyNqTQg=k;_C4`vSOsK|00XEz>rfe+kpnicIdGbfy&ALM%6SYf2f zS#GRq1xXpx@WEUus8uhDWwl4mq76NGgPfdZp8DA~MK2Y3H|RO$eEa@eHyZ5|)z7(Af!Aj>zR~ z$mKV%70dC}ppl?s!p+B9P&fzsI1NGB5LfZW{(^0S%i}a6><#H1)j-BI+bWhK!SIwo zAfEa7^xHF!(?Cmmg2WpJM28byWh&jk7kW~wWtk~*mg|RSyfg{TBM9%S*aW#Bng*%T z@_kQJ#X*J-sn}z1_6B)_;W^0K{;?mc;W{Abym1i)PIR3q^oh{>{MR}KAdVAaXhRly zBF6)YwahRqFP$)J+lT`;q*;gD!q9obWtC@QadEw~;#uZtWYGYUZ^gRPky0c#mNU64 z<={3c_D&R}7=I&Qx`J|!FB;U@kt&c4$y}~V=9Udbpd&?~8=U>jyDBeB7Z(|`k&I*> zu-(k{S$tyUU5%d*S}p=R7E2IgWHsxanNU)=hYI)U%{si_k}XXj^#lApGac^a(r$j{ z9_&BR)lJBjRwyG-I9ztT;M*fOID-L?=^Z(Y~1%*ZybY~eG7HAgOsNuevrGS)w_ z1d=|{<lLlZ?KGjgGk zYNS{4nGVdB??ZP=9f(ILA?p z5aP*pnPj>uHzM`obONoT!s%471O~Su-T{J~Nl-zESy4Ux4ZP)yy%NDAM_V*B?0DU} zH8>Tm2u5l|?IIe(JY;#$L$+Jsw|l*vB|N_`z29D0ru70%Hl=DkB8aKG+d`p?GrCs= z4zz^DJ}~lr2Tw|axJJ(=y9ot2tARIv|0taisT8EOGgt|>H9gU5mB%OCI%ADx4)VeT z{DARa74UiCmXYdv*8!LKqwkC7804t<7yUAaCbmQmHf1A)FOa-jqPQ6@hjQX_VDh0c z*1(c2TP(NG7RXQGtmcU35UG^gs-LodUsotHe;@t5I{&lZW4kB>B;u-ZV(qe%#>fi^ z9Q+k|v~ZU5e45Z0@nZ;`jGiNaLU^w9lt2TfO2EW{E+_HL>{vz@fy^F-HlswU2}nB7 zui}XW%PTV{GKogD&<1TlnlkG=Js8Im>-HiY@K$N{%7s;J*yaooDW~1a0eMU8$e^Yu z{KG!vo6_WKew#Pb5TB8u_IYiHT~Ya4Hn!_GUFI#W0#lrHSw*YLQ*m<^CRPAr(EH9F z=omdgW4tTFSU{qc#3Mzi_EH*4Hqf9O(0)=U$=l2HIgYU&wLRx^QbzkGowy9sCHQC= z+oglD^fU6Ys!6)BL?Ymje(oGnaydYBTf3dXF|#Va)k_2%B2kD%4xDMmnb;Bce^>l+ zBbX;er8kOUCM!!iBJ^N$H>YEwW91~zzp&B(+n;8&zdY)cP9igH5geZnv{up{1tt#_ z!Z?BA*^Gq405MP1aI7`$ShMZ#Np&z@ojQr~5tbm-+@O%Y3B2Yc!mcS#h(RZ@Wjl1-NxE5Hv0bL6QVT4SSwAgq`c3iC;pE52C z5(oq+wJNwNC)OJRYZD}{y-AxvdE0f)GY(Emjfw6RdH9N*7y%Tqp+bb4MI)6zNS_U= zHD)k+T8=8VCR|vslmomDoCXtMO)9JMNY<~yzL!(|XmEQ1s~Fs#*VL}8wjby2vsfw8 z?!qX`w@7%I3!XldQCv^?w8n>I6S6Shm~oUj(Gx}@6J?3MR_}EHGfV2)^=VonMmpi< z{yAAdW!%>>p$G+9nHtMd^IeZ8>B zGj*`0rMOXDV^<)EQJPt3WFr}t?~xd1okl8mK5JK4sY>Vbw4^Ypc|B_)KE{fH@*eL> zb9WbxaMvcyfC9@c=lo-o@ZbGO=lp{~Tp1UOxz<~_P>PFnz7pOY*G6gU=R+K{d**AL z2dsOB8(#4lOgSn3I~o1MgXt{~CMp2ShguweAIcd+<>#ASy#K2&e!r@;%8_)Y@cy!Q zWi_q1QI3(2(Ehdcf@F27)lg>@NZWg~QGJ&*)LFOx7~HM;RwR>=l}(>tOu<@5^>Y;I zW(+hn?)WlWj$=}}_?suK0G2VzXgOKL?1LKxeHTAUvu+z30I`Y(geA;2Df3KGTDeXoL`#H)~b98r;R@2SX8ql++9~*&rRSw3U zNtWth%G|4$r{+7h`r9AB`|(5I0GDscgwPHTo278`i3B)iXBWnJ^Z?xDqq|;I=FiV% zHs;XDylp1)PUiKpdRopKZ?}^I(|)vtz7KP6g+wo{s;zK8R@I`>tB5d z4uw7f-qppJ%bfsj;h==UZ&3!_z5 z;Asn_^(t3)4)Fj~@;Fp-jSmkAk*HbQ#jn}{FSI#Eq)zfpqvWaPS+rInD2uO&T^EU` zb81uErP>P%x}>h11lxD)W~IL8a^K(L>J9Ksf~EVKSccJSdX@|13V3#2p>9&2*a;wZ z{AN|$4ou`{kB|dUDJK+J9-->5!&mq}48ppc6@Po{56OUm^#~(jzPwj^f z;3Q2k-OAwAtEBBF76D@deT%nRxnMS@R7Bws`~Fu-B(WktaQ(; zpp1iPg(kfpk>d3PQbJ@be{^lB%7?h1Hs0;L`m5_5xSdJ z2N~m3tGlqBsQg=J+u7!13MlOi*911Z;4V@V@(A%gUW89*?qq?ZZ*Yzqy1!#fULL1=MHJuN1reO?9A6GwHzHy_wyt!A9l~hTK^%!^dHjo05-* zB7!Z%FbqWE6o?L)-K_UF`@J8aYSQtJsmAm7&)a$}i{fEwHp7R;G5T{ackO1lVvkX% z*D;sd8f*;z=Rbsd6x|r8hTAew*g#$l^gy*@r(Dj5Lt**FfAequIT8?l^XEUk{`leh zKkz~&%TYJHA%R-bsz+fUI)CuMqh$INM$#pHAR&M&w-FP!NN0|~(@kgQPQ7@eE-%=9^CGi&$likbO5MCLwT$a*>k0Q77pOX`OV&McCHJadfp(x0D6;%8EgGg-DgOjyjc zFn{a}WwvNi_bl46zQ;paUq#6Y=}O!t^ZghhpvhJ+3VpLGlzz#J^dCwmcvVwg(l$)Y zDY&~_p2U3?P}27#BQ1MTUc*BZH&VDA#ce*beMl(kBhIs2J5T2140J=OPLVnJ^?;Bl z!ffITMY>*TXzb8qK3z1%`gx&O)s?kUn`$QyYd2bMu(PgN~8 zS2p9m{23qSo-b0{;>GhKktB-5TwU5A$|EfBi!Z-9O#-`@`6bxw>;;70X9i!)9zcFq z?1)SGdSWi@nXW_tUQnn-vd>J&mX9%DLTZa2WTvPc`i!MK$9h{K&zIh{ju*qp&%>Qr z&G4IZ+KIYsS9|x3@7@T>M;a#L+U8~i_*K*wH|6ZoF*kW7g~6gWs{y$j8#A)W$2xU? zv&Rp@PW#_fMe-{l``4c@AHp+N>F*wuTlR@AId*+fpr@z5VXaY*Bde&@`1#bV%`tZ* zY((e_>4Fvj8LmQrUsG()p#2s9WkK?zgPf%cjEY=^>ozdKICO>C8sEjm7-+v*@+k{t z91HLB(T<9XtYBX()Z?>2tWkGj^3caO)3NtvfvPwB5!tzW+IcjMbz+KM1#t4wMEz~D zv!0a1k0W_v-XqC;fW63~h-dU!YH=uv2cH0y+B&n1hx@g-wfFc}xEi;N|z7|JR zuqq1r2xyT#LOd{UHFOz!6a;%B7=0sTv~c@UzAqS`M1Bs$(*@AhyoU!{w`3Yuj@GHq zpTBrtnM|uQW{zVto8e50=|+~gU_oAlfo)Q1iHQfqX%kl&H<;c=Y~WK+`T~L`6@Pom zUTIX04D{yko9|Aq_;)Ee>elE*DulFh#p*>`Bz|xtf)#k%$xSt4{hm7Tj#;FFziT3! zguN}e)X+pU04-|#*_fD=JvoAR2NxE37;CY-4uiE}6p+dsy5@14*qP zGlT7nHD}10@r@|dg}5w0{+>ey2iAzCn%BvbMib#Qf3_qOL)|SO=d!U@+#%e&GIerf zkZ0ya-sZU-#WKgB04b44fRwNe(hCTLWTpdC*^|55z>5|QnW1vmDUig|StxtwH=^6w zI`B@2ud$xxBk_x-QduoUUu4-UUGg9&U^(z|Zxp_+Ob7BCRsf|&o@B$VGQTZ$I(G&y z)K1k^poloow__oN5?*3}9eAj6@DfvGelpsgoH+zKv$B>cor3K!pYcC7Qe(OKbR<@g z2nJi_NT;P;a>^XPxScBmJ3wdKSkStJ#V(Kce4eVEx~y^PNAQj%ciz1-x%XQ!y<(Lm zMFZP5W!k1C7tZ9jzj;ln!uy5ot&nJdX0$@W2-QTZFl;xC%oce+Tv_1l%ySO6l>@W! zby{TQh&=P0r+G8Hwn7!UJYyky77N%9K@nUSiX?|<=*!Q;A<^@Zf-#Z_ZyUJN zKmvHN0zm(x5%}V*lZix>*cq)FgC}{UJR7%hPZHyK(e+y*?%e|5@-wgvIz#Q6LhftR zy0A6z(YKjKAmmEqa)nnQoPMAu74`Rm2Vi(wM)6~Ze^F&g*YH#&YrK#5_BU0@4vq*q zZMWGP`6QeZ1%|*|+g{(OJjgS7pv!DgjoJU;15V~*b& zAHORbVEgRMBE7eXA?$5qcD!LoihK(vx*^RMdJSQE9qdp}(HG}u?b{=y!_Mr8>#(9- z!4vc(Wqqt)O-=&U8$r*AIp7F{B$$InR-`me~e6 z80N#Rd%Urcyl{-Pvq|19r}laT)%L-*k8nk&#A+$@%*9YYszW`K6Lsp}a`^Th!*`?8 z79--=S?R8$#Pub=#tFPF)_lt(Jz(PIi9V8OLFk!)1jigGHvEYk;JCabwyC$@#U24V;-J~)hcKtv}yQg)(>(|5SZ&& z=!I<=MV_x)OCa#?jgAP09VVF3LRLp|nUeQba#?|pm`4D{?O4U!7D8`Qs>G9wZ+Gqb zoSJ@&@m3~veDl?BzxoG#hSBt+Y$?nqaulVsN(=yE+}EnWKyvakuI&jdD^W#kq7_IM}mM ziZe$k&HI}G$5^9ylR!6#)@}2RC2pjk&?aHw>n>|m{h*$AO4>8cwrDq zCp>MfrXIJ=mn)-~R9;%#1a+-f1}Wws&bx4{?aCk~#b%encEA0}%}`q9asRwM`bK3y z%j)O#%rWmrZM>zoJ&%$x2P?14LIw2GfuaZmV4PwgOG=RSSlL5pAc~1Nku^)@uE@Z_llZ3Ipy24&XUf zEK01HaStX)BIkPR1aw_MiHpY7Hy&TujLTl0{GL()&z#+O25mJ_0cNZPd-hGJ+_;- zeKt~b_e8h#^9+7|9+Xbq%ghP_e>h5c=^Cd8qV?GQ(F0VuP>eQgnFphJ7xuk+?iI&s z#D3e%gV8J-a12kYgPxV~?T$V>?Z-&VNljoPwD!+yPDUN&>`@)%Wb}i{m)pU>&hZR5 zO-cmHF%Aa_i_G6=BmSY?%QK}WEZP3Doh!~CZ+*epF)q`}2;bgUFe289Wvr8eCF zyQRhe8>g1qSzIFZd`~Ype{!e_?^rc;gH#cko5j`+!e%XJ7R?CHW4}f2@2I}11Tgeq1jgFhp3K!hpKjQZKLLZE+m z0P_%=Jb+0nY93Gs?T62ix%ov!=6*y$pZehgAAX8H5{f5q1EX7Zyxfr82wG$on(v{B z-PEhQ!L`3L3C)ijy9Rf0y$_3_Vv-g;q$an~s-v8Al`nj{(^RcEDjTfreMBYoN5z!k z{YCi~G1jx`hl=r*@<{$8!o^)J`FWroB`7|bh58;eVlCV_U#^xDJi*`iRFeJOjs}9_a z*_LZ-^)Wm2XOd)%+T%4MYlZc?DvR5V4t=LlThbg&90)P62K-zgu03S9x^H9m*%+R| z)!E}cPVEW@bk^{ZU+3ssjGMlDcfBrpcgXx5)2X6dAp=ZlD5`g|J(WSInf1hTRU80X zK&8JNLc+s?!|f_5cH(hxkssXLUb(&#++-zZ)HW;`(7$}Wt3daL-zKBBE5Ybl5#R90 zJr`N)Few6tcUzedd|oC}mxLP`Oe-@8R4@r6;w0=Q@AK4BX9bfA3NP>qCa>93JedT~ za97o+Vnk7&EGzL|BlkHtKqT`T(inmQ!TA+|93N0z ziq^kqg($_M1JWa%!riiTnFz~7yJZS9zXb$k>d(_ppj1VXC2~>pW{a8nO2PmogFQaGH=-D38bjzcCRCOzEHEMel8D2m|W%| zdRm!-fOE>t4%GEpMynIDl&axPo$^uC3YmgKsVf$1?uRP{B_Vm=kp8{LFLpja^o~Z< z%`CcyZJd<(7^i=mFuCnJ#=u%zE?nDO-tx$1=cAug-X2H|PeaY{?6tjhkb6l3PqBX9 z9r*c4WsWwAB6$B~05gGOWB&Jw-0SBN{9I@J9f8dQ88;&rqFeYu!~55hc@Xtp2c9^o zbB=l;C^_a(RrmV*3mG+@JT1$|w8-_cM%JeFvPKZvd%}%+(b0VGPUxf5v9J1UgkYUZ z8bt(FNvq=rUPCiHu#jgoyWN{Ftwo?J)ShpH^xoU}+)+np;c&jM&fQ+41Xhgiu0~IQ zV*L;h3ulFku&8G^z1lQtEo#t@>{ISE9q?KY3KIHUz+R_s{jNaMWYGeSEBCWKwtDjm z@?;EQW8y|q;EnoWi~*?eF_q&|Wu8Q99D+dFeSAws$1u|Ul_$dHWaVsvtKkqmenV+H zS5XOe6BRdDI^HbebHCFjaC+(900LAgWiUI(U)DB^@Uhoc0O-&clj!nz-fiV?g161k zb^JWqaOntyUT(1W1?cj1oJSr@5UA2oY7}sCKT&qD^HpfW9#CELDquF11Ao{9@^QpT zIyDf{6<3o`;zqBYV5l}d9K7L5nWjYe-s{~MEap+(CCF^pIqs>ZG<=(+mox1($E>ql zK>4%nL;CD|x6igw0kL5PAVZ_IowRj9i8tS zC*0g(0mx&|fSkNss|)v9tZXscmQdTVR;^L$=mhF`!B*B;ZVu5-u4q(_T@v(U5R+uv z$hKa_f>FHu7>C)|L~D;__8eO6{2+&{65De8KAR}~*ax(L*b}~kSJ_QdcI0;iPjFBH zX}aB{;x@{Biy|pGU=@Q|Wt?nEy+g_v*Bobpj@jnDZj8c7xQrk^V7=2q)9P2LGl^$1 z8WcV~zI?K+!ScM+6w1*)hA+r0f!yk_m^dL9K*!KnJFM1FM}MDZV}yxSr@(g(Ib0_G zu77$ehDpk;EKc^~L$ond_1>yXGzAA|TGcg8vv0|kdwVi332*cv6m|RoD!)|XFPV)*yek1Kb6|Q+zxm^B=xVGs-yF?u3m3;ZafXvT%IgZNvjN}S!@Ix9-zY>U zTF8k-KJrFGa!pwo5{&`}9;rqpYB`lV`hdN_O-@$u23gUfrWeDFg0@`& z9K|#W;vl80N%IdC?#ax=jk(9|GShe=`R(tEXv>yJcper! zAvqY|m%eF8tO3TVA)`7IJia|;C;{5C5_2*?gL^#hlRRV^!&*KHt&Y#b#Ac@X5ky?{ z$*F!1Rqo&jeCzwNSw*j`wc$gL3uARjs@x0i;1MceTvw;pmBn@CtJjq~9=`FiSOPhB zWY|3&embY+@T@FW$zu46K;>3&Jim}|=J72$JjKoJ>OROkYlXiQKSz&jbWzjm0N6ZT ze09d~3v5Gre@I-34HRZ&PFoGeEbO^gal!TK1y}2W3mZO);hnsJ`-f_!L;* zx)}ec%KLQr-5S2J;YBgiei1*|jA}on(Z}15eA_vEzcze#JgBAXm_<^x`z3}-Cz@KD z7 zy^0*Zvf-;39=$I(JjUmiPLtQ1$mcnrabkE~9L}XPJJ8=U%1~sA#*wu4=H?y+Gmh#U z-B`|iV;RX!w6Uz+8e?#v%~H*0`(ZJW6GM*)1(kZNNFUZIcVhuj|2&8V;2$Ra!zg}e z$8++gt5vXa`lK_wM*1zAI!;i63fy@yip-dR#NdRD^Piz{QsF!U2(aL1h*H z64~=f{8IZq$1ee4c|lnfQkDml=f^J*{}K$WK?V}gk_Byni1hd+psgZk zYsR2~A0v!7WC4RT7)&k;Ug4RIjdHfQvu_E}G9c~`&mqSGd01y%(!?j01Z$;1> zNI)LHM9v?<$bi4*SbM8?T~(hu3hyN|4iV#M*9yDN+ewuPASm;RGuaPpbp=lVGcpZQAE&vl zFFDrSBzXs^k0t^;mML>bup-N<(uCLKMdNJmkmmvzAPYe)>>HZ!nmljzy>CMfuX?kN zADY=Dsn4(tlljki z+DVIqJVrJgb^=%0nml=)fR((5Ryw+DY^7IP^Lqal9Ui%0;ALB@Z*2H3hNn(|15y!m z1$-Yks*x*C1A0;s`-gJpEHp9-nUJhy)%!{$7E6zU=tyQeErKUiJRVUA>K<9+sH#FutPAvUxS(# z`S&Z^cc=pDs!SdhaXaPe?GzJlHV`n6e6Xdv-(#MtLCb-a z0W?&Z{9^&J#~W}XwJXbG5Gy_wYsAHBWiPD?)uW(@X8_Wu8bZ7>VONFffkTqusOt$v zCzp8VtH(Qt9@NVX*x^UAtaisVIkAbrgLNQ_Z!{WFS=;uP+2jbt2bOb5Tn3E~C(m1T zC0{Q(qP>%2k(DF!c+<%arDBmOuD;f zwlc=7r-tc+T2j1N0?MG+e$lBVl|BE3A$U6@@g}t2hS(XrKtnHKwFZ3?1ly4AjxMkx z?RO7rm2lwg7wkyYhnVu?{{3z|ug)Y$XEC&gR+eu4>LUY54`T`{?`g{P_yrC{R~7~J zU2wU6mCJR5%dse^?}E$qt6Z+*7<6S(P~V05))fY0RM$ijb6%Q;z0Zy>o%D^2)Vw^t zmyz?RIreZkD`t+bFn{6cFBq>}Nf7*;o1fn$))(D#Ct~o(e{vxwlIUaI&reOIXhF5@@*@Q`s&vQSRz*@B# z77gcIw4|!a`djN{dRGv%hJ9k%OjxNP$}zD@h0K>viUX@Wpj*Nkdh<-UByphOB7$eN zq&>09r#}7NUw*iK|Kat!|M+lAsSw(wwzihrynsXeByJlRo9n(csRkT>G@o z=I~d!b2PYfV2`tktBi^}R}bKfDZozK2NLJuhFV$Mpq4D*d*x=FL}X&HOR~5av{?Bp za(E^NIq-P@)un?rUS?!su*-YYT~n~m9&p#m<0pq0oQ5s}&+_rnTDh7jh$3B8s}uHc zG**;-YH@RTnf8N!2!8 zRpl)uftFZb@zpu}#Sq+rrwGlNc0W%%l6CxwYtC44PRLEumfCTAWk1w`8aKFbV$UlG zr6@rU1CSXOlTV55R~%=)Dv~lnm$gJ37=b#Ow*6W%lHmWRAH{n?>#Sw^nV`=G%T4Sl z$y3c2UFR5})l#F|&V1Vj>qzX?BzX^4m2@L!)-roFNiaT`dxdy(Hr_nLSWKO94iEE- z(CVSbCDT_!&G&Jf2CK|cUA$9~IMt&1RT)%Nj7uXZid`wqnpTUqW(nLkQmnl&p%sOI zEl3YThYR53V{qadVIA4W;Tn&Jqado_kudIrID9cUJV!xPd7M`{ypd_wSn=+u2+rXZ zhc~1sbi^NB(#TiHaT=Ox;cj*DHeqreJWhkd7pDF-@ixmdEe3A;)R# zmmnGxaBD8>s)5i9@zJQLs!OaJ>OReTUz*}Ee7|acbSOO`XctP9+ zDE14}w9#=|kDh@bf^^2?04h38e7prYcdAfO+7j$yqa_B7qquqTe-Os z9i0$Tw$5nTJ=H)#T&Kw}cKW<~C+l1p_(ZgW(~4()L`yzawooUQA^n;r z^!-PQj2b-#6vjLEeq-*B<9Ng9S8&ywX^g#i5k$?ZK`sHg zH+oL%z}Gb%7Q`{$VX7_J%NioD-r=2~K%NXTE{x|k%$0b@1`&*dmgOHSA z^2%e%R|gq?8e)dv4=nx}c!*~r8N^wga&tyfXZ$l@ffF^GmwYA^zDz^IAy|VeBaJ@| z(UT~xEB+a{tGr?gOV7DEGb%9u?5sY`jt0Fu-bW{py%XAkXB?%f*8H7^fechmli-OCLGtP8V;~=!RUsmi<|_dm7p3=|I2LCLGgpCflr}j*mZNO^aio;y zVuZvXdLAIok&cT$X>`3Ra_rgN9cOpX8hkk0HCEvkDecC5*?Yq#cT-gqWX2&7ZCQz1 z9(OoA%v9NotB9Wvxmk%^Fq$u}-e|yOyNG0{z~#vUK7LA;hV|g0#4lJ`3pdTvaj1GV zFW~A60aQ%F7w%fxI&m!6(2Xf}B34n!fDJPKG<0L)?|jfsz6pF+Or9?Xt1kpM@oZm< zZLZmf4ilYdA-HF{K6Dj-6tZZmdggvN`9}5A`Sry~rbyHLCT~Wx2ACFSh5R3_F zMGyWH4+V?Y#iQnFhSur$Wx}Vr-pjt6`cL)}A9^9%3e%|P8&_*DM^yw~m=7#{t$JJ@ zK3D>mIAE>}IkYdkiIYv3L`hAE{fAwMHd-Fwr8#Vs5WoZ)My%-&kRTB|CTy4x$i&Xi zom^*O>jZ^s#xP6(C4FweiF2!TIa81kxWxWDFPBr<5 zLDoqRv6Byv4H?{@;U{9&`(QOWU)l^HamsJUX%lFNZD>Ui0lbu{&qGN8D`xG-BgV;>nif=Gq`eZV5un%G*aae$58Y0?Xo z)Nc5LntEqdE-11EHF$Ug1%ZZ?|zj)^iryfH##0&&M7YpLv{mFQ{d<9VoCR$futjJ(}1jKOiHZ9h-9?fEX z>LK?N&fC=sX_}Ivv!*X#dU=A)a^?U_=Q=4)6W){Wi^I;z$o&7u&iO`*ArfFqtjPDJ*s&9 zs7-UI_JLNi$gWFMX?)Q~inj^J%qvHARL>Fweh?DIP6P@h&W#~J?}t#s(L5KpC0aYj z>ulR#T$`8u$*xiHD{6vLpXlLmpAmR=@T=?a*gkiTl`}8ZXeUho{|_^@-75Bssh|=0 zrzu*0{dG{&_)+JYm|XWV*(1r4>gq4P^ej)~VaJW^rs?ZQ_iZ{#-o$A}5E{T0l@iTA z$eE{Q=gd9t+rP8xNuL>({JgU~B^=`q6g?1o5bg`wyl?!oS==Wie;C1bsa$NoZ@)z9 zEX7t0jfOb0nQw`>#AO_8{ZpoDg7Vgnw?NbMwxfdGjx&QP349Fm29F^qllQ$vu!8OU z2@YYrLC|!`PXV{9cP+@9A0M(JRdFVvkl@RhjlXyi^ln*91R}8wTDlD8HNGY2sBwwc zU{G-zWL-08!#)S=e6ZtgsY<$y#asa*IX*HEX$Z_7ptnPmw$E>`VvN9#t4DAzoyU(E zT7FGK;N6kJyN^-P(wI&q1M@R*e5|dZwef?Ti2{OVx_t)8aoS7{*lg2gAdGJtH)<@8 zxTQ1`?P<81$70g{O?GGrHai=0($|)FnSerbKaL@fF(Mgby&;=OldIxj03f+HV}{69 zS;femkL&@Mv>O^K0Zgp@GG-GKKLq1pu;^kE)p3#!Vu|2oBnIFMVYv@BF-^#iRdz_M z?hGNvVKH*@>bK+|!D?9*hA6N)$?<8uAq)}~Gf6!St_3n@79p{8CQ;JNG23q_0HK(uA~ZJK`;;)l^|sZ|e}o z)6-H8kqf6Jrw|mp=>Ox@Z#QuB%8~OtS4|S;^Te|*agk4dV%P$#sY4P)DN+)TGoi-` zV_n%*u3vRAGMC6H8Ovj;6AQS{dl2>tNX<-%_{IQMlp*aG43t$?ARjBo<*}5E9CHRE zXB89F@npeoRa=Dd*|Mj_^nzn!adOMh z0)nI=wUT?&yuPl+YD<%krOig3%J|Psrf=-x70DRxBcxsAi$F6b)-RrWv$LbFyO-EF z7%hAHfQUNE@B|4(dKB#!?H)-pQ-+BfhAv1fZnLLvmK%4crwnu-mZ8cD_(g^=y8wk= z=Oy5UQ*`0f!)@MmP}V#PX=^=R7K9UPS){(skBMthPd1e+RIU{VD!yDGDg^UMiZoY? zX2WJLu*UL@*|^Jj-MT!I@!f;I6Zv52z6i!I+_BhdpdTbh_vK_RTCMiOmf5-WT3qJV56v?Vr} zze-kx$diw+u?1I9$9l{U&XiSzNKD6>5Ol6tR(d-`bQ6fgBZgTwMM|7P6GmDCtyQYw z_aexN7s-q{@htz;>F}FI`r?M!>CXS1Xc((dCnKOx*W4nH;P z7J&n%;iLbSY#&iEdt)8YgFg=GV0N0^!YXCOlpybz(J2aqBoP2f9VkJ#`p`BqdwnAP zG97-$W62;VFs;DI5S(rfEZ(0Y>ls$#juNZ}de*NabtL2^5q6!Am!|D4kAVd?g?X3V zm77Cw3-72uQj!w&N5-igdRN$5PE`&bkpWz{8OKRqS<4F1K;O{Rt+i2;ok6dSZ6RW+ zI+C6o8W@P|F>q%C1I^hdK?ap+?`i)fbGBuNPsuwrTfew`2zWl6m_|=v?M+ID2Yw(G5QnbuW}MgxKcq7>5wN=h=2% z9BJUU4l9&p@7V+Nz$WYymqN3@e3ssZV+|I#X6T-z?Bl(OBSTu=KWWknBs4*E4$Q)2 zmv6|(%=}8bBmQ1fFQnNp${*b9B{HAa{9;DVsiXHXVXt8!DkH^ETyZP*>1d_CY#k_$^ly#HU7aqQ24GG8-!@JeaFM8-y_XJBJ z9+Ds|?|4u(&zwAN)p&-T$VtSnD_1RY0o+94dAOG6s@C_=x(pj!G?eZV{-WE!@=lz?nA=9e7Oq!1>v7 zy^_|CAAe%}lP8|Ihn5qs9e6RUxTN&GrnMH|1!#*DluYjC9S^KRmObWTFI$v{%~PG* zNfXpo%_ZTBNKY;zzvUu2KRE0F@JYPzjelIb2-NE6#G|`ARo>O%Gqav8 znA`yC_mM?&tqD4wXRlomxfXc#IXpdkP<#lUee?XRuzT2NrNhQ>;pCfMJ{6vxc#QX? zfqdhbuI*K-LIgXjayIhdR_d0o1w>MRZS;ecqq#c1a+MfvMO-O`)l1dlw8#1or#v!`8}*Pi|3ts zx{1=$k(w=aF_Y%UDj)N zSsXLr3(ir^G`s1zOXOFScgeY_s*AKKbWa1G0Vr!bW1m&C<_Y4mKm5CsX@Y^F_EDj5BqPxprU*Ua+dm;qutJ-6`! zmgZF`=E+p_rQQrsc=FP`Va^dm(W!MIF3sZ*zGv8?!rY+?FvgO~2&eM-#vn`~6b$3= ztY(O`D~jh*x_B+6i^mVAitI&ZN1WaPfJm!5Fr>5u7g>gdyaU+PB724yDy&cVx`TNA z4lq>MSMl6|T~T}$H=*5C#pBn|6mYy=xrcgzff*2cPryN^hK0Nb!}-MEK!sKFTlbKz z--BUeH)dlC>!Jj%DV3l#r82$w;s%tKSE1e_Za^ow>Kh1#s?-!D6qy&|{DYw>vFO6PI@7*6S%e7)LkD8dPMAl=w41Z+2u@+QDeIK2n}tWXz$A|u#3-aV?A|61Cl|Zc9mpEHjN{2lwcfA9&CQkmo5&C7ypK_J)(g0h!U{%*p)$DQ3y{E#9>{W5`@Sm zm{B2sI}-UrIWt4FI77a5{iuQQB1miICm5v|N_OH6_UJ?@h7eh_doPFJCNhT=}@$u=0vaD?7ScoF6~-It2-6LWW6d;u5sez05k3{1SXTy#y5WFzga_ z1=#5xyi&x`6%b?PTe3-X1?>4Uq%*mKaODcBaRofIC2SG_zAOL=Rd4_{cp1#XhcDgq zz$B4}Z^XL;=(6L0LdF47C1d|cAgy-Bx3GW2TgG{AH(Ek$T)=6LCnSU?f>zif$7leN$;^%-sK%FYQ@*?_jnk4!GQRSwOn-IFMcA>^ zB?KwifxVN3(*OezM2h{!Kw_iq zk}3-|>OUQ#d6wo1z4jZ+ddbV*gQA(EL!WOJmk`5wmmjPWzfg#y29SI^u7Luf+f-R&FZ8jw>rffFc354N_$0>%!RJ zz;JDa>4AjV7mL|%M9V!;8Tzb4lsv%*_B1YbZ6p|nnHF4+f16hX%&mA&6~oa=Iod~5 z3Tleu7{}**^$1-na2hgv1lXkjuuG{}Aj*EBR)|I(wKjh6Q5%q#5XF17qBP>D4a7@e zFt)305PO9NYI*h;g+Jf2Vn?RUkB3Ekg{ z)W~}%$R4TTGl9J#kNF#4S+d{@I81|4vv{`W) zc?*U)#1L$yVRLBK+&{a8WH|-F9 zwv$MWxPivFs@B}H#9#p&SY<7xFX6zb?8x04EGx^m4dOTg|5X6~t28X73Buz%j-zhM z>M|y8%CM=jj;TF=D-!}H-U>sp6}EixR;&QOR%w#qK*&;%13O0){3{LEISt!6y2%rY z>&TnXwvKTVhQg$qL7~u&ya~gH%y4X_;plQOJqh|IK)IeVje6&v`K!eV%#aES0(b5s z>Bd7WLbyZYqzmH&G-`buioSf(N9rVoBRR4*Q1IpVgfG7+Ctj0bDIR#K7=jjb<0%xy z5mYo2NLHQmI~VFJABa?2&q2oAI!y-><`*k>Rib#Myfki^$x@P>nGo&1a#9_e;qvPhPpy3pKq3sQ^BKW*Sl#qDbN%s!@ZHR^~VFK?jklLmJT3TJnumoSt3-k;bmH zMN)8v)zMN)k!VPjDt}+8Ds^aO{EE7ghL=~u&rD(TcQSbpO(0i*Aypk0f>q~;>}%6^(3F^khSY_ilDYwdD-m>> zeFG|{RGq<<7z(X=!-$eD1$YC7TF``>OBqqsbCF%WHlnJ>MwAAgK_g0>KZLr8^EZIE zp(&<;8VN)(m=RWLm7Tr;yY1JdC#k4`%aSeXA$7f&igd(O&I29H2}FjH>fD8qKzl)! zwL-IHb7Ajm{4HlB-p6Kev3@SuVYI4xBywrct`duFE@F9U0{>VS8Y`}%#HZ4bkBEWc zgTNY+6dn@w%Y9<@v=Qz{onErvm~ktCpmA={1QRa~BJ1RfGt94kF8^V)sS0o}iZ+q7 z<&`c-Rf-#6sFP>;Ehg#G;8)4lTbaGwG>I%zzBdy<rnM*vgH=!PWzLIZBMJ!3m9GU!?jIHnZ^cBBU2ERERp z6BT$ZWoatcz+FEzXxC50klrZkG?*5kC_IHMz)Gt%9Lqq2qGi3tZ7B<|2BIq}DI7Og_D0{p6o(xYcy{l*-S*W z!XA8WuG^4qTfyCgYPjL)(+5(yp-!TeVrV z0Z#snDigjN#%1&pwGNC+;bE;27?%-0C9gs27j6U@m+D5aaTzse4%~<~E+aB7nf^h5 zE3erZhQ4>&(s{l2%_TODH^9>|P{+E&E>=-7u&UJ4@fox+BSF)h*_h%Qkc}y?AsGsa zhSN2m4+tAGVH-2DZgDkX8#C4`lAmdV+T&H6aJDq3<(jg4cI0-4QNVDcEq{-qVc?F4 zL_Lqv$!h|rM|Dj&LKn6F5MC31D+x#Fj$V$(H5u8T?aDP_M@$S71fyefH3 z#^xe<;%A1TIDcdqs`EG0Gu_n=oFF%h<vDa_&zfI1iHBb zbaTzv^pl`8)ASP+nV{*%YkpP(;~bL~!51>eD(wpa%MEr~EH9v9*+ISkk;{3U9tmIv zWDRbtfYu9+fWsB-OaTpiV$C<5{rB9Rn@H?xB6)D-j3`lVrmZM07TSv9VlC&7hQ8^G z1-7E7@|V=j(ynv3tBOwwJ#KvwR_igEq{bv0u4&9>EgS$V3!53%Yt8(uJs1ZMp?e@0<$y_<+NqEeO=mYgasR^LD5LrrjJcMH-0z6 ztB_Q}?XH|KlfsXvifC0|b%(RZ6YObt(*h-x=qONVg?BvGsB{T9XmyHPgjT1x#p;b) z)W-kQgty6=m%*UNSFUmAvaniShi6K7$-GFS#1v4 zr6PT;R$U9ER;)d0+#R$_#eG1#RNe>9MvA)F9J~*1m#)+W8DAPTh4$k7w2*soGQu>A z4=FfkWH7xdbo0zg3JAF9=?TEl^q5ZQ3s?+Rv`O-0Zz zUYV7AC$G#f<^W<&Uzs-My5T72m^lM+o<$)N$fy|LD3TcjEg<`>3;V1C`>bR8OxI{b z_L;l|Gx<*5f?=Zp%$vRiZKL%HZh@DPS}*doIM)8*HM@hDj}BKwv6$j!2@m8ni-S&e zArB#gw<>=3T0R~Z1i1pvRUgB{%&CSHJ=4t>7o)1*Zxi#x@H)DqFD|M4{``` zgRT0;oLcJYYKqF}Ycn=S6i$wyu}cs=VneJPQgl3|#B7p6ySU-O3U-nviEBf~W1EGs z_ZtJ<*BbV1Ctc{tutda-!MLBev0yzC{dCohX~VI3?kdrsr$n4NOGPYz#{`yKeJI4N zKWhlno<}M{y4~CC8M^S>yynPs%(!1&A_%y1%TeAJ3IWRd!kFF!FmU?5l-2m8Z^XT@ zfV!=tilofZQ9KU6qQIS?>(pcy6>Ub&x?l4V0k#*QxsihQYhSn$80M2#VlX{`chgs* zOvp9?{oL3{4Dr^Oaw`&Wj>pn;=j9L_2BjnkcT;(XEi`fX8k*ZEmY*KJw&9VjCk`J* z=j7oVss{kOfr4qm&Rf;6HP$T*ptKC}Fc{|jCUzKRls29KQiBVKLhK_`FLDoL11T*n zLJwpjRob4Idh!-fs7>5L#O}wWac=My_yd@LOe02GNb1ax>GT4-^lByzn1j}xsNn}ga$-k`TXt#A zsQhg`fEhWQv>9fib}>TA;+9?FmK}@P&ZNLu7V$U#_Me~s@sHpC=Ffk6{qe*1M+X@i zzO^z0lLoDM=4gFGhG3mlj>vNuQ%F%j!iEGDI3s}Hk{Qm-Nh*hrwRg(lHjWo0&oLA& zL(nLM8n7>uU~>tuxdeTRDNARWv@gd`FZ5$;sdDNun4B)r#u}Mhwq-(|Nq!USoCfw3 zCZ>B|OgZCyHY|KugAE#tNf?aUg|K}G8;n^(M5Mt8t^gT}@(QwHEb7{8`U-%tIO_<) zm~J_AxMKv8`7IB+aHVz~1l5^ki-MMeIAM*bXt*h* zpMcaaDd8}Oxjo0>BWn)UJ5c2JwJdD8 z3~ae`ohGG3lS5>P|4i_12>TnI6rk)E_Y`B#(!);)s2ngwQTONd_ zwr1)xtt0WzVWvHia#;{xi?Rn#DLaO10T9mZE^erjBz$%3doj21=n zre_pwKSz;x*Jin)#RtX^Y0kcB^`cCq!Ga@CFZVfuwHMm}Y>NJr{c$OLO6WxTMO~#A2wrcP%joI>^$~wy^gOGjZ z`y+6+Q>W5X=ToTYlBP*A00*!R7VRAqyMw%~MLQ|EF|M6qL9FcrNlVW2n4h>cXvWD~ zGjuJ`f?VGku;Yx1^Qkj6*QhvOjbgVY-dO3d;DzL0)0}n5Zlb_(110AhE;*kh_{xmq z$vZ%Xp11=8o}P5)O>_s^(wmMtc?XT%0WN1zjrPFc4h)Y5$&odKccQ9%16Ac4t}35( z2{IVG6ZZfuK6wwAgJq4$LieCezKOQ~{Rn?_{ldrC8I3x}M^$39jZsbzeYh3sVe4q)&J^j7O2fPl-pXD5nS+VMPj2gv9XchIrhKf5P#9b0|VZdg^> zDMq8_>GNNuLgEdH=Zd2>nRMGUjXzOJzkyQvtwWb~CWx%F$elQ7XaLHC#`xImpNL{? z0e0j)Wax7OO~IMIRcW=$%^KX(MB%yso9qA?P`Y!$a6NdZPEVT=gdLWny~aTmw9N{4 zAUljv&!D6jiqeU8DbPsdOYe@GQDM%g!arT`jKmC?X4EFj{^C>RR^lq|FGF-O91*(J zLBV%gFy{Cg_n{u~$1G7%%2FGrB0`zRP+Y0W1ne|^q-w7D%K92V>WS8y2CM|%c;sLn zUy$x39VF|H-+Omjq3IZSpH+iP%P6}LDC@3r(lS&Ujeuf-MZ+fR6T%N3*$9)ZGOi>b zLrXJnic9SF7I!gJEJr0K z!4)WDseAG$QN`IT!+W6Otj;MQF#r@9u<+hlHkbsqQx|ye4)EUHV;QE4bwS(dLbOcX z3XYa#4RivxqD-gmakMP6l3pD-_rjs;p$Ea)J;?WGiLEkG*SC^yozD6FS6~0;56o$? zGD_lRrI1K|dT>AXGVk>c&^;w><06adLTpXllc6FIj1#&iXi#-ncAjTAuKRiptX36F zsJcnEaiNzq4h&`0%u?H7J#Z&c586r819TG6WXmUZ*oEMmyg8#H0zkFHo8#|m78=08 zmF#I)Iv%ww_cPi=G#xE2L2SSTY3G&K+yixIkH2N~M?|2&L0@WSCr=p}Vd|6(g(;m2 zcxZ$52|pKHEHm*Qa2|H6SiCRgbuzLO;Qq+NBObG5REHboW75_}VC8_c2p%U{*E04& zA$x)CMtD@%lT*KJ1nnnOLh!^To(h3ASG;q7<5BxsIxf7IvvzhNGw?#JP?BE6vBC@x zRVN;YZ^_tzjZ#LX{vJw_D2n9I218{hc*dQ!I?*ORD9xoV!)4B33SpuL5 zjh|wtZyAH9;P5oq!MY6fsEc9;JAI^bqdnXNlh7fbtZHBZ0A&}YLAC#rz)8isTP9$E!2ZxAu;uRqM)3(&uj$cbd4`~pZwWmcSh0MzNeReFJ`$} z%}_??2@o|fdjMtUGsGTp5sHFLds08v(m`{}C#Mc0bn?`V2%Rp|1y7x8=o8*Am=!D1 z)mg!LA}|b;1`-&nvNJm&=1I#R9fp%fWVo7}kmArRJK8QC@~P-FmyQeFXI-O9z&GWE zue^=uN45Gk4`O%nA?I5d~Y9 z$-2~Iuc>UPVU`+EJcirq1qVEOF}k@H$3;}|Mq+hVFfL%Zl_;oCg9BEl5>R71{(ojjd` z(Js@Hm{Y)aTyUTe_||DWP+bZP2+B*rR$S2)we+O`D{j`GG*qidqFhD?grLj1M@Kuu zSii$19chL=Fzl)@?39i)K7*QW(QPTO-V2HnihHTpx+}Vp7PuE}-NC}|%=g(~q~jwp z;XQ0sSy;YhBpZ5OadYC{))2 zBZcyMu*y<&{jLri;KF1)GnxlHFAEc6W|zo!;GGfME3)zyitRPw_iw=OUt!3p_^ahH z^p@G3uEo$B+sn-(MLWtHC5?W3xmOc)me4ghd9TXiLx) z$2^V~(9k9LrlcVpxRW%*b-*yE)a5&zcob1+lNd!)0K5!QBR3NlcwR>B(g1-#e!tNc z@<8GN;VcY0Cc||-aD?kjY+@n564fK)mgNh3US)EA@w_5? zULA)xyi2_Ha@PR+?RDY2q7r403D}LIKu=d?u#d%Q;*_v2({2`1I{bXz;7ME0HcRNn6o=YZ2=3xiLv?i%&<>P0Velsn@CT$SE3zGK`FYt6}0x`B|vLWUV@={WG$lEB_MNe z8;w9~QB!+Qe9A_bLDu9Jx=S8kWHAF$XyRG;=$M9k4c1W$fv>H}ZI|4BcghVqKEpmq zg6VoU|~T~NC+29*?5T1(lLdG+<)Z^0`M zSn}$RopY-y^sJf)pFyQpozzruFd9o}NDt!1TRV;`DjF zcR>~tk$P+r2j6`h`Ca=jriD3s8_!)9BS=;X)$el_xRb_ElzEoz`tqw^{pw|wZ5WK< z-yTL!u6YK>2)oDSx61d}uU-?lu9yXl zUP0j4=#>P{1;#Xbicmz-{Z<^YgNZ6Z_3>qm*-^FH5wxR1tA*79 zmNqi8?{5*_Wq@gH_Jr#34N~rqjhF4MVvl za4g;j?Tj=kRJw%bJp)8L$-(5Vjrkky2v8CW5Qz2(&0G~pAdL}-!Z-QSb@>R3K4umq zbb6#hFp31%@e~%aS5qN(Onyzac@!pBBvhSyNT$3vD}zZ9Q^9=YCx)q8Z$beuy%*hsYE9OoP_D7m~;cYR;Gi>Bpu9sDyf$BAf0@L zbSfhqnxoju9-xldM8$TDiXA^SYJOBu01FZi=R`9{1*o;73cH^Lu$BVbWhDIw3uq;! zJ}-Z@twE?Nx}CuG9n4Bd`74W+JO$QU^pFi!LO@OrdMFp@VMRTOqM|v;lt))N3D%;k z*A?j?F^CsHON`P}rVo$n&@YiA+1ZIa*P$m?0S7Qjq!*l<<1X)}B1R-CJDrq-ASr2Q z4;siV%>SV&v3#KT*l{8T%~6g(xl}4Cb4A=Rj7S7>BY@M`1`1SOu3QL4ZOqA2Czn2@ zw!Jdu8>sC(vp?#p1i_=QoGR(R&fjsqxwlxAOK)!K^jxU^tz0n<=GDNoSb^&iF0(S% zL`y=~u>5uDz-Jy8X|(vRftiM|7&O1h>@>Duj8oU#sS8giHfAb-{}d2j2z&u)dcp5% zbYLb{+C5Uar%6hrbZb@V_Nh*WWwcFB3OMO~cDx$fi?3C|G+yIE{oGx|*?V>{9+%Ir z3^{~F2>0dzf3zt=Fr2Rfq!IH<%Q(VjQlcpG7)c7F`dkPD(sfldLbaWOPn$!mw5m}zo|l(3QbDS0AGaETVfL42>~N`wW0(omdl4K9 zB)5+5FG77mC;`rP8k;l`7h^d@K${}qB_`@JoAEq{c+FUf?Gk+GsD*d8V-M@OPQy7S zi}v^y4vx8W|KY?Bx`*8MRUBrmiAB0IW#Zp_`0kJ2eE7e9`tZY#pDj1RYl^IR>Tb&= zi$a(eg7p9ze{*q@G2E*XU0{5RK9leM^26=>53k?-$A^)Ed*ci3DqbFZfVFZ=el&R@y1gb>TMuZ6vg zDgsB`VUjuSa96EepNfK%=*D~uzV%~q7|x!8T8T* zx4yn&3rw>jg>p6{tq9G5m${$z8mFwzmFJG^9%3(UHf+$_VKluyl}M$5|2Rj`CK>}I zaSkRi?I;Nm(;~#8`lB0OCcMKDid7i>S;ER#4OA)9=A7h-w<|SZ4w+GsPQ`=^v zQrO&B1XDw(zRfn)PdApu#uS_^_|Nz4XE)aQ#v0yOJlmKTr04-D8j`0;OxEef4D1fv7&Cq|asKj+<!@ zT*%m67>Tnpspl)ouH!B+$l>)LZ3)Lp9oN&Xb!Rj zT|oNn1eUlyk)S@&+$l>)LZ3)Lp9oN&Xb!T3*xzAeT%SlZXe%fp4=Z>&d=7KB5T3#f zV{Pfu)_lX#Wp1f!maep(j2fDaAZTV#UC}|Pq2CJU_SqRrUkbuYh*>x*IyYNfZ*u7j z$v=<#49GFOXI;euLjl-;Gp9o`_QRbH?PIQjn?*+0wt12I5pFE_V&4REm+;0UmxA8P z(gQ(nAsL@!2&YKBYq@cJeAdxe(MbqhM`PE~KjJ!sF_$r-p%H-1ejP>WOz=8D`40wq zYZM)lS&{7FVB@P}!Q$l+Z-FZKo>w%@J`2l5*A@*v;P_=D!R|p7@&R8uVJ-zkVbL^a zQh?Op6lxtFu|XUJcQbg8QkYt=)0r#Te$I8KMi54nI3rrQqX?r^0zt*Q*s$RmPb9-XN8m21M+Xq!V6XwpUkMIeNgv-kMwS( z1=6B?XNlaTb0~jN0HUP3!NCh_#)TDjVahY{u@@G&dQzS>j*b=Br`bbuC#yb+FByQ1 z7|t{D=C!o?lt6PDiWGr99dbp90(9`zkcgyK(KvX;6?>f86s+vDyhuj?fEyDP`0$t( zMFN1sApYZ@{`W0}G+MvmGgmY~{tcbv0%6IWb6r5YR+u7rrVcvZIFByo^voNDl}bs$*Z%5Z?_uv#uN|+#yVq~$e}o)xRjY5 z)1N8*<6L!#O|P|~?dG=CsG2Ua5xI4L!?@^5r}j+UlnyqdgNRd7@%e8OGa%Eo-$=23 z;U{MravZE;TqjH14M8}YA<8N|;^LZPNtIRwlRr^3M-&^7?iN+PgY0m2cQ#yJE?+w>hXoaa= zMd{Awy~XSIMgt(Ays(>5597@q43(Qi_7%lqOup@#Tja1E#l(* z+b*s?xww-y6LGYVMTP&cIp&&S_Ex4uG{vCbas!RsKoFH{*9~-b16SBqFmkaP!El1A zKhJNVo!&rTWtBk}mf~5;PG|bi@^xl4{rE2RGJ2A{Eq2H}G`icuTP~=J3p#go=fsMn z

R|t^hqG#%DZx5+Kun&8t_}pIqIUzvb?8qxlg{H0o+6Z9}}Fk2kIZD3j*mP0i9N zo|jh$jz@MhF-8y0wX&QNTHwIGw_-4hhS3*_X`J0#a8K@S>e(Y14K&vwfQA($hxB?t&z~COnSwD#Q5))S8h=X+X=k z?x~TuSFhARxzh9VO|gnbgFcVSvC3Zl&AL}jwjyE;R-vl5b(Du4OjV&pHOKI8gZ&h0xYZ+R9W*h_~Np+#QQ(_3`#Ndf11@mPQ z)9c};y}Ca4ep5MX;>OVqxw@l^m%fEZCwI$yZwAt&Q;nyFD^cC$Kj%B>26psfykftj z_~edoQ?gE~pp9?wKx+_a`hd{%Q;(xbdc0y3tsYq!dtap_qHY+xeVNx93lX!=PRgW> z+qe?44IXP}oxnjJN86PCX}CD{n@IE~4EM(4q|tp){AdtKz(FJd1e65euvqAh=`vko z$*=hqAK!KTCLnSlV7EpmZq#u%mqc5@e7qB&#^bR28}BAxxf_-ioq$%BQKQDdCG11s z^R&RozfYr__wW05`(J;#v6mZM!cn(Qm8MWNgdUzN=AqqVmEkJ%XFuF>>2PtncqcW~ z#e9ZgG$*_ymCXcSLru&5flI)Si#!yH!FYQ`l2HQt4`7O6|ORi(m7MLi!|3Nhc7J6gB%?cq;HU^WMJ1x(* zZ7e_8m`?a}9dj!JSG;gzy4r47O)8&k3>FaRq}bosm`v1@8$;q3CO3E4;qr~OEXKx^ zT7fqPb5A?LVUCT-T03%Mz=R^054N#4)r(QJEXKx^G{zf)>F7Oi<{|U>#Ku74lxq!c zEWd?$=vo$IW9ibyNce?rEOX`|GvnmObXgVGD&E*zn1`-qF*c?kw0L8%tV+*}d35(= zW57ft2s5^^w=fS~%VKOynO1mXSdL}JJa}<8urckQTXns}#;}clwU))$SiQC}Sfrz8 z#ynV>655zfTz3s?!Wox*xLV6%Y^+_|7))I6nK6(4MDr*>^JrM}DA;X(wU))$*fq_g zV9ld2=Fy*M9tCI~4Qn2xe%i;>ve-7}uW23yYaWF&k0+W(0h&j{nn%I*zlKNK8@r}? z6s&m^&ODxI9tCI~4Qn0+NBQ2sJp47yqhQUWaOUwu^Uwvo0}0skjbV7g)v6a`W7jl~ zSrXKYVl|NR=&i28IiP4(qp`)XVvDT&WUoRgq1|a8u*b;j-G+*Mn*?ET@1w;duslWQ zN!v?g9TT+Sy(h*oyzJm9t^F=9e}%ST$9oz@_cX5|CYBOB>$h9O`&CvIG+muV#7QHC zIAfLH9_Mxx0zYv^(B5Pp0exU=eq@B>CC^&JMPmjh#9=OcBx%kUX7yPRm<uXnoALI7CP~bm=k&Ks@+HtgZsusI=@r1f7~9agBUmdf%QTee)~bPpSV4 zbV2cI`xu~@KizF2$SOhNp7pRokHEuMkf&z%>(9TYVuw_v-2I&X#;{YbMHVXy4GAA3 z+)TFe;+u2?v$Dwd`OBQ1f#*Xd_jfD-ZAUT=v>kx}=)l-Dut1%m8C&wtwo^xA_2=7} zZ(D9hLcq{=qSy{FaalENx}C5lCtKHm*j zcZ}Txyc@!j?A>Is8(_>Dbq_khAdcOn3%ltTb`y==T;T&n>;_n{#fVCE&Ij`I-2{*a zq;_L)HKjkG-H0Gz2WD&GLEIT#=$s9d3%gNS!eBSq*v%C#AkZBh*s4ZJl-@ZPs26r~ zg{Ku`H&?iTFn2mIP>HXC?4~%!xj?(Hn=9|8qTLkdE^vV<^^V;DtF*wRfHK_KbT|F^ zZg@!7*bTBli_@)AMT3MO?9k|d>@3J7Qk-KPAgcvELrR|c7is~6cFIy&SXF3zc> zYO$l}yx0*EILH@L9D@V4tlV*ENBVZm9noe@ZqB)Et0FR#BP?kUbkdIpLA0y#U2BOpHO45~N-z^F0`18sw6b_UAP3C{p`jYVgG`4s{6 zSvwN^$^(8yW1MtHmF-CRq~VT~c5Lm)V}7M=c)24l_?1dn8aqOPpKWmdRu!}f?#Mtk zfgPb}PjLj$XYELEAJ8GdWfbu#j==QBj+C<&?nr53=8jM_q&QvEM(s%O5|4NZ3iWJ* zN46v7SBE>o&?mH`C-r(l0}UpH59zFOj6(=Snu{JX1$9-=^%F48dZitORfohZz2~H#mmwKr5*+2#ygD$G{p+ zU>rkN{6W;1E^9at=TuyL$}xiA7@)V zSbuf5-1+inA(SsxU-j*Ws}Ju>?7aPNnEVP9q7S!w?+iL=^YKRek*o}&)#Kpv>EK~` zN=dkqKrz*-#mNp8hs9J|pPg_YyXmk^P;mr4xRYd&_CNLdOivSVoJC<{ir=a{o|yAZ!#6gPzv((n`wv{cc?L&(+3O^dlxu#HLt@jlBjg_k$sBVR2 zdd<0Nkd`ZZXUe&Nvf2XS{(lr-w}{KrlMvmp7uz7;KaCQnTcGClXg*5ma1E0rVicIVPuYG= znoEne3i1}SJW2|i8c1O2geEE%1cQ zv(x}f?%YhVwc~vV><}($mx|UzjgSJub&}Q!beryYyq(r~(#cc-?zL5v3gA1hW+XeC zSGvg8dp{HT+7@=&fJUXH0@w8h8q&BMv%VRoB2t|qxtSf0h%DcNBMI1}? zOa7^=mC>?SDNnvW@RzVy<*Jcx=wor}V-Ev$APos&5nJ&|@w6;cMmB|%67@i?QK%Lx z*vsKsGu&(-@b1dBlHZ+?Ao-XYJ_r%_V40&LiC-85>K&oYiwPi%C?l2Xh0}MekYYJD z<1taBSO|_j&OKjw75+aS6Lw>5H<&q}oi}GflMCfVr7G30xdMQNJ2-VSHR*#2fpjD1 zd!!NLpd&EMPSGl6(CLWL2VU)D+w7ToISRKCP~*l6gb%)|0!5??5Gc_#cGVbhfUftK ziK8331#T3&S#iWm?n1m~Q6;Huqfwu%T zDaNRA=#>06mc*j`_@WNgv6wF$@DJ=c5AR_f286@#sK&Q|gjT2W5XhruGGPx1+q3g;^Q<4J{c z72Moln~!r9<>P!}0{L0@W|?~_N>cF#Tk(voc)?b@U@It}A_c)#A|@5iR@y~U0X=3P z6M};boUN2Aq=G>by=h{>d?hfx!kZ)uVi{`yTr367Q9N?I9)N`hjc~_n!VR2@XUMsr zaWGE_OXsDNh~iwY!GuU%ys<{XgalwIp)9Ht1QQC7vS{Tyk|^;}lw~j>S^{rRv3^Eb zDCqzStbn-??Ws^a59eJaEY5#sEnQAnjSv?1X(j@7;>Xb$VJSG0WsCcSHD*Ls!C+Xl z2Hw5%1Sc!OuuvjPih^NzNLG=(Y!*3rD_KQ@VX+c>vQD-^Ru{eHDPVp@uyKQ|!bgx* z6ca&y6%!>Z{tH~`^lp|=vQnU#Q=KV>)gADhunc|`shc82!LLM4X+kNvDGGi?ubomB ztHjrpxmiQJvH2!#sYKR&PJR6IRS()_#~(=KiyuGtD9Sh;q(%cZ8Ox0YU-$FU$)??&)OUiN>dlK9W^1D9WgiX2Z()NZ=+jr$nhz7G`V4)<^+*1j12L}9q+`!)r`@qoT8 zx9kLc8A)P*zA*F3EP_~{y1*^^;)u>P^9wk#+~Wn%Y5oH%Gi;j@parIn?IMdMh7O`Iq!r;k$5BFst+4D> zh5?SwS|`N^xTq8qN^MWEN-1+rlrfsilX7$Sn+&=MDY!&fC+c_i*bbo|y#-QIEIz(d zm0b-8?UF?{Uc!ov}`e-V31m-`j|+hzpv`?;AQLg>fbA5DosuaBkZ;h8Lcr`SjuedEv}9 zOkQW4Y1AJhIbow`JC(uXZ<#iF)({?TEb44s*r!QrNH#W#0>q@o}xBYRwvRE5edN&YCJt9i6SCbZdYa8cdtTS;nrsk>Ne@d2S*RMtP)t`fVKLR+I*plgRrJm z_dYQ2#Qg;&TllMkRUl4LMs`Ta4xTF60)!h33ieFNPAba(R)(^;@?0xypIHLIuRVNB zx?8gLF=b~^IBV4!^ge*h!fFAMS$W~6VI71_Q8=V92&^(MbF@V%612Aq`g9Qbv=y`k zw1h%k!Bc%Yb2z>Mpb4Jq)4JTtTewW-O`2|!3# z55R;(D;$6Z(YYj+NYQxEF3v7-d$7DAq)4L|yc;%Xrx$eTAarRfUaQH*BHdMz{o~ z8gTyeTlnnR~bw`e7C|*nUCrWGQRuFml*# zyrMy@Uh0F}f~JCHD7BH|igWRree`R3Kd$rf;Cn{zRWF(+!bh-K})F9T~Th)fLKwxQfj!h zE3D<@r`+PZzx;6f{=@5c|MB6LHf>aDTVrS{kM^#uU~80RG^D-Attm%{wKZ5mHk79< zgKaItt#N~f80U0rv~Ou%!U~53lRep5fLr6PA&0e&!dS=!t;1i{IwtfP)*MWYz@270P-cma#7G5OSoR4` znw$efWVG;V$JA=)^}5F2yaAYRS|{*^LSNs;Kpea?j=^_W`>2e8T+lxJRqZ2T?L#8d z&bJmj+!}S>_>RlL@3|u~C*=-rY|&eVdy0a=5hSGT$t^&&g2n26?^vy9j3Zo7D|9tL>lV&hxx`yZByIQs z=28$?g31LBM(-<@O^xw`3;Kjkw6OMsy%_y%{J_KOO8mO8E2A@haKV+K;r-Uuuq#6% z;GS#^Y81@v?0d(z))_yzpiyYtxwSQ{QS>)y6ai`!nQIgcYZSCXUhWq(3XOlZwubpf ze-r-*P@~9Pqi9&8pf&M|yP#2M@sg zzdY(aFIH@Y{Y+TVCY53KX=Dh!%qnphz1WJP?E7jqs$LI1@t+SP+^_$PEp1o)8l0q# zv4Sb^Z~@;$iq^p{ePqij@fW9Eweu-cqy=d=ib_p>F)DgjP8 z1NrpiX>NoPcttwi31H?lAtG@rQGpQ&t1_2GUH{ww>?W8NkLMk*GUz@)x&Q=6@Qf+r zK2~Yze_yj@(^3?b)p>cK#Au(ST?lCq``96e3)PbldK{Jv2mpKMuqlI|rMI8uSPxya ze0_ZB0;I6?1%SJcx8R7g`?WaM*ZH+LquE#StFSM>8lzEpWbIfBvj!e4aWr3Gu(Jpn zX6%!vn`lsxCo{zjGq)3^6kT=VsgVx6?u};nkWYbjL`fqNOW`$g_2o6i6Or91DoMm`WTT94BFOm|Pd8U#e~fExkTO9X_W)rf#P z&8`WGejJIf(F1)Pa8o@wg;r+pj*<7$CzNaPj+7DWU@$a#GH0x#uvXuvcVBp^+`=pK zuIZ>QT3EGOiFFhBt&0+(7&Urh;5?*VA_0tu1|(1%@suc5Ir`BRp^t3_8!J{1`6XeE zsfWGtSCoskNY}r`B z+Px>PYj*3AKm2~_)-hli-8zDG@McTvdEs8EBDXT`A5wJWi*Hn1l2%LyJGXjeMOtD% z6C4Riz?~NBCnh>j5(#rONGfkbEGsmvALGhM+z4s-yo*M=*C~78=MV= z=q6ATLYZ*+D5-l4k({4whU*j2GXm5aMe3kgQZ9zS#wNgN_Hh}*s}HQ>J7pvBq5}G8 z%@mU8np|k>13GEDKrJ0ni{*}&)IudJDR`dGIAMx}SPd!u%qi@~CuC_uV^!6-jou zKwwmUIZ1Yp@lv||ud^E5oqB~0`m;P8nRBaniT-f04Mcwgi^Qj84i;T^;+lhHPogUQ z=DE6%C~pIq5Q&=8tII8Hjw9>o3RVbi^^}9j5n`c+g36VRIWI7^*RC2x?r557b&!KyWo83f{of1`hsy$w#dpBR$Zv#E&Rc^ zn-5~zl`VvvG?8E>i5|_yx8ocXE+Z8869esD&3+kZjlmX4I#8jpD`Kb(R>9jT&P*3U zNwPUEaac}Lg5^+~1r?F?3`;R?wJ}mszFVJMRJ3Fiyx3H)s_xaO%@!#lRm5TTGbl3? zSGuTuX<_!6<6&T)Zd#s1pi&XK)@B%O3KD(9E5?{5OlJK6JMTzA#78FWCXGdb`ogd` zYlq!akkb-AMdqKEnFuH5mY@qOWro&p3{_We_?S!Wv+DAkU6)9rp`J{;>Z0;)aZ@oz-(gEJ z?e!E9kcKHmbkxK%S4p(krkT{vX2?TDS`SmSreNoJRNH|1wV{#F%8tG|<;cb4VH8G$ zQm;@1!owP9Sv|Wrbtsd`vlPF?C^&Eu=1Q zC`Fy~FdLx@1h#Ro@QlD3A}|!Ktr=Pr$z5Px+-G*hnqlTz#X;`m zt}w5F^xlG13`kx^sm0_t^HTm;OkS94Lh>>iVPMUr|eR zavFhpFAhf`(**~*OI1T#@d8>QmV64GG|A^HcP|=g73`gdcb}}|rbWK1KXv8G;~oKn zxyEyzVT3Ic?T5YH_Rn?bN~4Y?evD~VeX;ym@md!@^shw}Vwb~Ssj{J^Zm?Qh3pOu@^< zkk{Zbyfu-UzNRL@@=VS&iPw8YY<9HIc1Gm_HR$*bi|zWROnaVC*O3D?z`{=FDQbs*5;cjUk`fQ|6*yTO!bd0bz^H3yzSyEy!(nl%CGZPq-?w;6xaBN%_H`cRu@jU67UbgsJKM?|5_K6JoMs2f+~ zYc^OZ$8Cpe-ZI^N`Q(}nZ&_y0M-)>3S=SsLzvfw@3(75DNi1HeFFqWngh&e}jN4V1M|`_RBM)%9%?KeT3dasGyZ9r#SiRnQ;?wKy z8m!k9X%dwqwN*s*lC$@piAQeztP2ZR8-+*UZ<(LvB;{q4?Aj+{+Nz@_>?j?v$>dFm z%4hpdIZsuN_z*cLg)s!AVNh?Vib*_PLec&|Qf8lN7-c>{1NWKedqR)4PiGn1?%uZi{=>w*{JDAfi$zs2uoA{Ow{s_1YNV{?{V-CL2>wv* z?sG@fao3I91jO ztQt~mnjEB}mOdkoj&p@+Ch{&pqgOETFR~(NgZBCnHzwQDy2}`6IiHF+5HbqTG#MT< z_(mqA>gEVWD-wS3egeOjo*N3U1h*~u+{@}K@05I+UE9Jq7W2PE4p#^ob;=VAmfvEbPQ1j2d7%FDWuN(o}b7FWYY*QiJES z>RmI!=M11f@eky{y36~_4TGgJ?*4(SyWaQ!pJS&_f~$_Pq?0Gn`IG2xeG}DQ!-oNZ4VlLUSN5eD7j4q|wok|O?N3?{e zWv_y_3pWBRnL)w=Q0K{8SkJ)lW$L#urKwf3hJq4DLpAjn^Gxzr`H->PXnMlNEfyGI zoUJn4OC+HIBL<^uArL6;xAyO-%EmqNcaf0#kUe{xyeWIb{{HOw<2)5q>v0+$Xz(}*RubrL+uK}* z;~@1v{^@@Y@6BfQlZB9qVp3x9-<(xUyN5^XXXj6|GcWKFYNA0N<99OPjlb3?{T=-u zb6}#27rnzyp-j6bA3+Lt!3;X$C(obCtZAm29f z-4XjEdD>xY|611i&Nu~WIybMK4khw^L5xZcRAGu5IE&bk&3Jmsr@bcCVAYbhIS$9;zOH>o2d0VAy#GD%77hty`&O+jo+DPF>^~YE_!T53VfKtZ551N}kXc6Fa zfsoQZEK5jDa38Z}OhSWf|OqWo{=&@T>@+#}GD4t>WnKjZNw@40k(w z;Kn?CGh_%tIP2579AK*)9J(%xY>^LpeaH6;a`NTGWE@XqpN>TSWLUkwRX6SQ$y}_X z)(S;pd*aQAeTpO!4@lH&&eL)9PMKlGnM0)B45Is`Q{As~VN&1&O-%P=7IQRmnsegM z3gD;Fvoe6&`dJlbDFH79u}7C9HB#w7G(WRyJZboBWEv7NWJDI(Q`$2P12S9c)T1AI zQ6kDromRxUiyWzZIKGF~_srgiC?pzLBTfg)CluAhHrc`I3GpUYBvu%%SF#nS}JiaybfHpqi1Xe%Qs^ z!W6{xL&gLiYqnm=sAVVf218xNmDff?&Nr_*H;Z>_I&AYuR3oa;dz7Hh{6!M!Rs1AQ zzbMGHA}DCK58x7EJJ3QkJ=VZ(FTtlNg;n-1`GWuAa`n76J#3GyHu73r*{!m;NBY1+ zZ15r8f9mn}nbs!?z0HS0pPcG^3!fYZKHz+&TxbErQ4zK;d1;1M;0)junLo za_OIuC&%VUtF8sA4>vN6$PQyEj8vlPU9^gi_RkE8_sbDDck+F8qsR)y;p|_<%^PxM zidW$g6@!AWdf=)pOXkYwiN0n}ozh2AoOa@kMN_p))bT6`vXJW~>A)cixN=#pOgi{W z(xG0K4iKY}E0Ye~jsiVx#xF#AVH^4Dj9@+W;6qdz0coA()pI5Bk*&na{66;DZcHP_ z_+R=+M6yy|d1!lluSiGSi0?7`hB=^OPt!g&+2(;+7JPI37T!!_LPL}mgy@XSBG{{J zB9Xe2C_bu|Vq-w0`DhyD@18|-%HqoO(Wn-r@X_!$-!Ok~jKBL!{JmY~?-la*#`rsr zL^jCZ8{_Yc8>Cr`(=u=d^9Y(8heoqQnyxv7D$80z{|GT#IBzG&$P zTRuFjc?a6Yg?-xebEmt*uj?Eb?|(MlQoL`JRXxnf$qrN5V?N z-|~N&ti{S5VO1G?7rJ8?x}yr>Zn~rA&IQW8O3X*z!}1kx&!Efj<@lm^$ZK0Xkt>09 zp39{}>#UG~{hiIVe$xdE)-wP;zr&_EeFkPJPoLH^U?HUo&tUvky8JXUs+48SJzy)n z-->+*G!r>C%-Ru8{%i?<*} zu7U8kZ7=BvVmrY+%?uPNrOy%i(1c6u~fhWaO z2V+Bph3iG_-ZL@qhQgqImUdIFiaOPnX-+(CHteOce~jdzXe5#Tn0XFOb;#LWWR(p- z_lfTh!}SWQnh~N7wgS2j&eX3t-FG+1b*x?hbwG;0CC_cIE@^7@uF>Z=6Y-SBAv5>P zcQLq!v}J3(;n-6&ak3j*ha??|H!AS7m+GMpsYDtB6jhW?N&0#<($1i>6vRCeQ6D6| zK-_!ttH`SLio8|5aiY>?o(faFMzH^GIx5fTHuFtjJE^cvdm-v*CZt;x&9vzD&}qG=W761;F+{XrBYfBk66x!%E~5g9fstN;4;4!B}n0a%KPKiYU* zz?*qJux!gD@3kkBl^a{$7LpzXONqgJ$C8=bSQHIHVt4#T2-`d=b@RN{#0{VhwlM}; zw$}Dan1o5I&>DXwnYqxSay4VopjeFYKF(SW-;;4jKns^a$o0%t9>`^fnrK#T&^DG= zz%DXOZ@!L!EPI1RK=#((NkP1B`N?9bNZ(VqVYko1U@70OZ(~ux0_&h#n-mpqD^J@! zikX!{!-|1FW!N5Y{6?@tMCv?srhCH%CE@fzxVknOBwP~(CkgjFei3Nv*6r)8%GH7o zc4^s=f8x$%#nb~Wlt6w4`gR6I+~1c?)_wW)FMqkoAaZrnO-my4F~!KQ4(cy7lRt=x z;|Yc$3h9?cOXQvs<@LI`J!sZ4CMELa@Vd@*;`EY2I$U9*d_3-uZ$k4hO3WA9xoRU# zU5pKqrs;+iq&Z`@79`hq0Lg8I?r3qbm{hyFp)Ih)uCRLR;@6NpeGQFSXs;K)AD}(~~l3#egg; z|56t(q2?xB;Fy{>Ni-{T(Y)^-3=@*IgbGoI+8|Bap*BI&lp8{SbpcX-WYsg-5qO*L zJ+e#H)6M>4dDFlvn5jlF;80YRAlN#UF6}!@3|&V@J=vmQKJNZf7ytlNsRGp2l(}wG zTco-fLTVjS#bm`;U^Q}l4>{n>er<8cDnu8h)i>@>Go9=0hY4Bo*Z~1bK#Bo&# zKJ=TGYN=JxNm{@cPMgBtBQsQ)3#?)J_}j5-4{EAW)vv1S9j8YfZyW|+aiitJYV@u; z#HVEV1~)QHAu0?|5X5uASpuckCj>WE#)UVw-}-be4-<-GTAE=(5e)qxOC2kOWO*@G z*yEW=QAXsMNT!q-2x3{QS;h*txucN&6sh=W*Y6-yjiHmSSG(70KD(;KGVc zEq_ePj1x}m6-&-4JJTQ{>f&;+aZ(*KPIPx=OcECZWIYn&1SA*wB0CTVUlK<_P4JJ| z^ZqFBN6H)$)H}QRYFs=8B-EzZHM;mW7yztg#G16zi|5gym7u~e-n$DI-}vMxW?lWl z(r?^@EjJnEMk_}efX>N?RQ%PLQqo>H23uLoRyZQ%+lH^qlUJ5Rq3CvIK@U(8Dd9{@ zAMmVzs;bs5Tez5blsu~x5`SapUWSMVc8Wny!ra+(r5$BZBX?f2)}fS^+7nvZ3Hr$D zofn1m?&`U4xfZ(dS3@^TVZ9$=)NY8pX!`rI?d7+>`}>=_twAPsj1q{dA?W+^^o?ya zKw|-bfM^+bxAH6>XE=t1U5$!(D)M z;xmuecJmx>*E0snRo0s#fR4?O5U$1#vX=4G@8n1(a;WqOhbp+n4|WzEIr}fX5R8n zH&tiQj}OTK3&)~| zu(Y4;d!DN?X)caf{wW6@P0NAmE-6nIXcb{N=>~ESF%c1}#9Wpm=u0=xp)gT?iDUebX zha1za1R8?3mzCerO++gvX%N`b#1_cV1|^u3V4@V!HD7&Vxn%nQmZ~!%Akv^Xl2gIW z>we($ABk3Z)ZRywpp$d>p5+d50<=2@;zEljcyX6Z%E_>%C`vtLQ5(o*KFCznNknxU zgLO&ih1-{%*T8Zxb-S`e%Pz8!JImSjo%DaEPl2R|-pMZ16mE>Me)pFjZr^`+{q8?L z+*0i~d6$RLql=(U{P21jrQ$`9wi~e(9W)QFuSGo=Sg*>Oe9hi*^{}A$Rb9eyvn-r0 zxlB4cvg4+1=Pt7MI>V^@j{04XG0K~cyW!*ZuH9xAsnY|m5ig^%1J?}j>VZ3+lG$s3 z2Tt7AZqp~^fxC|ByR!pN;=nUMa8M<48>6~69e6eAdnyL!=biB?JUee4ro2wzT+@V^ z=5@ghHUjq!5I6#)*BaS*3w}~LKk@h})3yQ^&bz4de(@a?R7OW_EFjaP)`{8IkD6zV z_6m7PrB$4~*GE0EX8fotJL)Emy7Qwhc&F`6N1fqOU*jT}nGx{8IBFeXx_;Dxiy&lI zU9f|3)PbKeWFUWR5u1>R0q^u}64Aq>zNCCKED<}Gh}tQB{iu0xs6mOy+A#1$xW@qcl$kJWLKrq7 z3^AANZxeuybLkJ$qLv}BIwP_-!+#C~zT?oT2gdwyR zn|qTmEZSNer>r9Q=#)FW=H|FC{27)a#}{|l>8Lp-44Y;fu$f$AZ5z61eb_q}h7QtG zb8ixcMO%vFsMDpRh9aUlE(|~3Mm&lS&iRlqY>o*-V3g1JDL-l^47(79oeM(;ZN%o^ zBn*qT5yw&MzDVe(p)hQo3&Wpb9&)rp??@PuwvpRW2Vfq8W;NqyOc-_{3_BNw4$7kD zp9;e-zx(mKKZ|k%%MhOp9G@Sxu>d9vHTviJQ46ncN5ZhN1`h$4hY6X7%`=JEg+%OJ zB06Xu($X(is9Ia%j{|S3bkO;EV>afQSZqJTMoipBg#EZ$pkgs-Pm@o`P;8`f#A|Vm z$;Rz(E*l+w#InP~lkyV6w6O3`3EX``*t(A2pSY0T_yjL_t^hqLJLw zF*Xy9u?RRU=}<&scrCn5IBKJO%q+w(v`Jf_6}P+Y%f;?z?+9%by<->fEm;NF;M*Rr zHigH~yqmJaVxAxYUIHimlMUh$25^H3Zcw6Do@`JXpkrtu-J$(;bOb&&s5ubaAWo8j z8w8=UG40#ffj0Zb(1mXhzk33k85`8^9&QlB8Q=yB-r$?;+M+G4F|<$`u+>2dZHx_S zw+!5%)s75o5EQB#)4qwxWNqw>p(!Wz%@C5bTSl1g_SpulmQG-U0Jt`$eG`+(+Qb+` zcfLWaVMoqnvdxYz>R}^d|O_wK_G14*c%0;+8mj(S|PE zAWl?=8-zHdmfpr*pbo=oS^8B9QEZU2muotVk?aCDh;^9sHueH_7zX$B&2UvD#$Nn2 z9Y(jFu{VfynEaHz?60rM*Cz|bkF8O+5pkfj*RB*I)3=WGmdqH7zoxh7+OPK3P)&rENoT%DYe5Y_ z6NioxbmpeHul6$}MBbo$rA69$6?&4K(TMdMKSoqAv_@c8zy0yMA3uaYOgcN@ zTO9KV5?RJD6G`|;f((^a|2l@zzniwZnEm)w#H-G7)j_VB)7wldrddp_6ft`gv!k^8 z@jK!bD=r8x&FqA_Kh&V8!moPCk}4V-If{`JyV8I$ijBaPUQ%XpwpkK|lfS5$G0&NR zmdNyq?FMEvk{-w*R?aJYo3TMT74v+ta&F`A{rNr$aLkk8XNnmt=}9u7!8$8t)7!GR zHpO4}Wue7Kw)iF%-^t?V8Wd)IpHfpht(S7~KD)6_=JE=~y3g>2`^4#2jW#wZE*fv- z7zCA)AA?l$T*W!gor7LgW1z;4kZznD>9=Gabb4J;l4q#>a^wr2(CK~_VED!!8w2yQ z%ZYEe9E7)SI~s|v_**h9T3ld@<83?K5eYeco>&0U{v|2#fm4d&X7GMin(eyVZ^;1q z7n%NoW7MO;RS76a(%#uqoWscj?d(7;jW(n=+%}{ADdjrTG&)DPR?q683&)>-kE+BRV(W4LzXTx{`Ts+ z)BZ0iEVr*rPut~vJ8<6-+_$&xJMitUwaCdkD&XjsmKpfxtWc^fWXdAebTH1d){z}I zNtZ+6J39a(~?3RJU*XfM<^+ahtuih-F4wG=a+>~a=S6rOlin>XF#q} zp1jJ6V%k&LGw8Z`TA`N_MAJE|w(n68qsN-D~qDl?=Ll$FOfY0o=^th0T@X z^WmY5&pM=f+5Aiv$C~}VPfBB9O`?+uDY9$$+(f#t34~+lekIYT#uLiY%=us%T_@0* z?Mub$UGmy5`|_YSDC~*EY4y565UBe=J7DMj5T&W#3H~Le#C62k7W?0%Tqko#I;KrvYPhogh<0 zKdcFv@WO&l%DbFWHi$Sd1u$_;*IXjApjDUCV@mC@>4B+*i7kBlyNQL%f}G=4v7Ot4 zN`ei+IG1n9=sO$*6d)*>U@l%`Wew9_Vw*MEcR#J&3vjQ4G%0%>wl-x7qQ}B*>+^mw zY4qg|bmiPQ&Mw=vie_kE-W${RvM@W3o`1)^W#v;zs}UP52RlY)_LpRs$E#ulmsN+G zeM&$Uks0%ho~5MumQ0C8qL@t2BD$KkGBuDzwsan1eLj42$Ll_3po#XZ7>kLDaRSV5|yzjJ{0F#nM=LYf@m_?1;09kqOR{nPujM zubp*t--FvgCCeiADnhT@519+-&;prK27%l?^Kk4Rm`89QSG%S=Daj&S)8j| zii{12g+~m$aQyLq8tH^QiGggo57^R$rRUN_r_G6tPHUm5gs zcS7=>Nyxk;vRD~GI#@Bf*L&m2t1E+X6;=m?<#q03qm?1Mj|YOsuiNF7p>o%~C%Q6T z-N-;jbplDCD-(KB^jB9_AggBuJsfBC25zZ$#p)%mk2E%R`YsFP^{gcdFZ<`CAr&>A zKr;Jynb{Y>?5ksCIUHy95i<8oYe=aF2DG0oCT$5 zUezchifah-7c7|45`g8j3{h9(Ul>Sh$L6%Eu{o?Sds*QGl%y|l`I0U`CwS-A7 zv>eB(hc^#^CgjgMh~^!r>SL6i9)x$~XAFxaC4c)J@nhVpjMG;*K44h5hY`G8mbB83 z;a=OxOzXz?ER*k=GeBf+*YC6Vgtf$C3_}fO^$)9oTx%7Hnypv%UsQPW3_x(*Sb2-e^coVt^P2ejmTa_Ztmt0&Dz6vy7tx!1Ez zcyK~hP8rcAbTOzks(Ui(BY;pxqZEaeS-)r}3nvMu{0D5|2`#*m(+^_Q#!o-0(?4Ny zv^L-RFrRG#JX~fwJe*lM^`l7}--%9+TSJ$RAA0?uoGl(bS(SF*nj8H*!Q!b35h~0S{RzE1h153mp#s*g_~-Ruq|@JC(+2^qeoufKV3R{n^Nc=_uL*%Z+`RkBG5zOjjLHmpS3#(L8&SAnjx4Ge0_uW`|fe7@ZE-P1** zHz{?1faG*Ik<+9$3tgNwqPS2GF4Uh%>Ih`2mohaVNev3Tu2I1=y)f$9$C;##=%q?Y z9gw6BCz6`L)9B)?5&5}$B&q$Gq>ezQdMT*`lGNcuQU~xnFO0grdnTg;PY&O{Jw-svM@2W@ckRX3u7W_Xv7l0s?Z$$mIh>MI08iOxd2Siq#);4lqS0)) zDTPvxx#mBU)&V`+A_p&M!K)Od5+(#)Oc!U3DBb{Z&aDptzHLnh9x^NHaG$b*O@M;D zYg8a-FO0grdnUvKdbU!C2PDMfi4Z49c)B=iL~-s&i2E}k?$JY*QZXPQ9#1S{l4hWs z7teha{!G$(^gNZM1?zR0dt8D#d7$-PIEwY%Gp^#%Bb6K=A_aj}L6%EKvi13>^SewN zkYj@vsjD0LRG4xIBSmZ6rvB9gnGWPuPnWP3HRZ3Kvoj2BdHXL|+ z%F2rQmeDz!$Qq#NUK)0O`^>Nf&rb@+B97qHJEVux2xoVc$>nC|nFaTe!!CEvnZBk6 zmolaCBRFNjNQD){buruo&`BWFLT*kB8!FaHk((0-Mbt%=jKiKVg`HlpHD3^ksfK3da{bmNVv*}fK_kH?oO^@_QK7ZurUd(g% zI%>JfT~b^iA3yN@ZM@W9o`L@>N=f2#iQtSco<(Q$aAG^CxFxl<9PJMnIy2(V;hBv! zg$xVXXx)qFj3n3((QA!@l(Jm0VLp8O=;8Ul0%px8;tVBpdm=5iR>ew_zI^%U@%g{H zXVgVhUj54{fIi2X=_*ZW?<9S8oz<)L_ovHWr2BXrb--b*!;+Z&qayd|Rg0&-O;F6| zC)4?kirD8z>umX<8q%#zQy{ys?p?TfL+EW5byh%ygLSeN=)rzM`!<-pPiC; z+}@lNfW{z0iXz9nX%CNZpd<4Vx!MXwux&m3V2)^tMo z$nKUDXrZ>7DvB`nVR7;M$OJC}N9OCp7hvg5j|oIX+WVpmzBP()o#yM zPFj_(h4AedYRF?wS+ih@FU8AUSr5r8!n;y zuu;6v5^k73YCjNN1>eZo=}QEOk0bB2!#RP}%BP1E<&zcglvy$r@UeI*NTPh0Z&>#F z7fT0yOu+#q+J;(V$U^~j(P(~uB3|89#)fA!fRZgM7d0Bj?8sr4vEER>Jqg1i85VARaTeXS7e)w@hU? zDyx%7$;;R5L_cFUo9^xfQM+Uq8w*LC5y`a+bcu4&Kh_RtXr|Gj2O&N4J>T5ZLs_o@ znt2F~uqKr5dDpC)2g1Ib3i}{m_w}*Yznsc4i8a9jUk6EiV+ndeN`rJ%dwGxttp&77 ze_G*!$I3W>M7zKrD+AISR-jtr3S0IPFlEhmDqOvEytP}$yco#W$THsqSyMOq8%|^X z3kblkvH44kSS28s#&O1{#YNK4qSsk`U%Eh!eEs^vkHZi3n?L{Q^~Vq2i{QfjIdU~y z>E1yf7B7|J#q^ZTFL=3=H~skXsU7Q2{8fkSJKDn@cY7-Kx;-BBf3ce_X9UT8PzjD) z_)5=wDEvugz42S)WD-wJ#d38xux%@B`tUTcfw5#^kcE~`Z8L+Li}V@*U01W_Iy1vL9cMGqLgh#oi)aPb~(d;_<_^pz%v; z@sp8`ZqH5X4#zmQH##zJ^cX|isc|8`j*0N&j3>V6$b8XbjD{x4Vj@fx@x&7ynJ0RH zbF?}X7kX)*NU3x4i#B0L=7)ax;kX-a42<=Zc|X8E>zg&D9EuYSZ#x zop|cT7<+l9@t<)skj9-ck54eaH$f>3e^N8D1Hmk&H#9Q0^w>9i@S*U1+hQ$hZ**|O zk#jOEx+>d@jm#ZAmaKVlys?DEZOM%pO>(??{RrlBFqxk1dydTaJO+VWFYpJ^PI(S( zFZ*Obv{u{E9JQm_M_+FhU!^uwYhyl9t)v#xi0=Eckt)y5`OUxmC)JU&Qjx_MXf$*m z4#0TV=7_TJIrbz+=%KF7Hn1Z1P`_c^^)I%;8o7rWLOItMa@DA&IptQ3lm%NXMwDa; z%A4{UyfY2i$b8WZOiCd1^+vlDjJ2MUrF1}dcjIEV7S6BAsYr?C`U25?*(Pyh{^tD& zm79+`RuiGqxwbPQ9@ELf*RU!{OfQ71IA`LV_@Om8=r}Ca@nYM=`SFMABO-Yx2dY`Wkz5eA? zY%A|G$x9S7X!mpN492UZNvajFufpD~RIo<2fv%I;siY?(A>-q`;AT3#Ny!=9sOqWR zWf6L?Yd_Tr6af7VgRg(#Tv$+l5D_VW0birY#e=e|)O(t|AoCn=tS`;?Uw!?XDO$;^ zdVD@T9%+6=vGk<*(wVAu1$h40A18a@8hWDQa~2 zg+(t;r(@U==L@dso?*<_5ONoGuro3T_=yvRuk%#IORskfw}xK=5b%Wv7(H_Os3VyF zVtT!!Mvmu=K|sR?NX5?kYY4{Rxj;w$bTI7vhxXYC(;--K_Jd>i`-Hka!?t9Qg}6qw zeO`OJyPFqox>HHopA4r%!*esXJ3CT$_VT)kV-<$_*#l!nFY~`0`T2a$^;F^%b2Q=2 z1Dm#;*^xT4mj_S`EF4ItOE*$qw)6!an-(sfQ$8Ne(S&Ca*$tl3*B9M^w|sctk%4CAyqykd?_3itCL(4iTJ2*ue) zQW`rFyheE>lHS)sjN$-~sVZ2xex2=h)O)7PJxmk<8o4|fd2Gd`n1$g$LuW9wB+367AhMKo2Y@OZFij~~BT4bNoO|PeJ>V+U-GY+6)@~$EoR{<^z-IG!0;xROOV?s5Ee5Lh7pt3kqP!~@9 zPI4z`k=25^%UWDZ}JD)etO4Dzt>!J zEtByf@B4`?wz>8Q-k{V)6BnD)ej!~1X|KgpQD+O!U={RpwFUZ*gyqSmuLg-mYAXk+ zF(zo#s8IR(m$Rl)VU)L^U22!67Gwph30UK@8HMSi!03zm)g?FsAd=n)$ zs#CV&9#3(k=Hb1Z;l0^bxiumiUvua2#~@A{$l4%SZ{o6c;yP$ee3~OwNnfBttU9Kr zr`XiNzGmK`Q`HX>$_`2hS=&O~LP$Ms)`tn(K*L>@#%s1S$r*r9mPWPi*>FhvvyBJD z59Ax-GI@eOXsZuOrIG07ucSM3&AHI|u)z)4|slBruAlWRq zG3{Ex&nDXC-yWkQQGIFj_4PBU7l6F>LQDlNOR`Z4?=c>DpOXFp=kNK%EDAs<8^fWU zpDDlrJUK7DBHS#>r{e*sEErSZ=1M*>S3G!ecr>){vm5f@#d+x(;2bocQIH4brQuvU zhC4XdmtXzrS1+D5zKlodhuKw!mVT&S)q9(DAAo4~!idV{R-~G%5}2el#81|lOAqd` z^xVSi&9k^oraAt{_h;Z#HvpaI(9k&qTKDdYul}Fj-fY*F+(-|;_fymZ2tSfDd0E|( z0m1GgTe8q9$c+WLRk97ZcQ;Da2K@GaG6yn7lDW=4x)7k+Cl?uhFMsvvvGM0zEcz^l&`_~6#5EhPetpwS(GvZR8GuKYZUw8s>%-AS zNLi!cS(ps;@ed!6s|+;Iq5f0SaYs7=;H#x;ka?y66=O;s9R%>vzBxtz7cF7>oQPr4 zSeDt@hoNgw(2UQK_4mH81o5$mvPEh=(}`e_%bk;3d4W7t&$g(jd`L8q`C#z=FIv9d zIR#BLOo^pZ_F*XX<~v>N`t3d9fe)_Jf>)$u79G<%{r$5PwmuqNn1&L2PC=8ElM`~U z<-z;EXnB3-6cp!1NF49OQ0kS6#B*edbF)ai^j<85f;)buj-7kfInS6QkcNufuHnt$>Zb;TQ^v z^0_SX=6!V9^%64q?KYI~v@qex;Qe2QBA(YFc(0uc7w)|&g!DXUA;K#8;9MXzcvVWC z+&MALQ7|v%GILqq`%#ETVpUnsQETKW`o9ciy+x0^$h(HUYuiY|TUElZ5Z;f(y5PG_ zgcmdt?!Y}v=VuA%eKc}5%?T$$-bJ69@9>Mgi)vX!Z(yYPI!3z3xOjTBuaf1V#!zBD zC8Bhs?S)>^S|N)GUYI`Sk!+xa7iY<*s4)oX?7c4sNoPODW~g-QWA}eSiU-kmfM>Y| z+eH6@!LbPy!u=eOA=25Tu{l-E7|J&SK;M3VofFkBmIS7wwof?6R^KiHS=p6*h80o& zHk2_&eV3@A-Z24vxRS<_hYKO^p+<(qdm}h3vXJT42R7O&=8my@{2|{rU*UN}`=b>G z9JA0zYhO-e{DQ9_D@7v5xb(rUdF^h)acEajkJVuN?#VHiy@7m z(f9@gYhEz@2mNHw=jkMXfvP9m)s&4UZGeHOVfYt{s@4ghMYuk`EHzp1aQI@2s1SGx z`&Come;qZnIcGEUMwzUhDEIA$tVE&u1l0Szz8rjJPCK(fPmhBF&)AS*^`dw*vP4ZU z>oYKB^kfG7ufR|jO_fbZrHlbG0q|#fUL+8IWibjVn0bZ)WrjMceI<;j|4|~WBS5sIe^B@FOm+ezfFpy_c z;C~%89Xe*Ci1Mathz8f*^GRVcStv^2pwGdy|K$}SPh1!%7-sh3s1tr?WP|6xUBICT z9tp_6at4egSkxQ4{x>}+f{ZjiCDw?l2%--LIc9@Gru><@anN#=^#W*bq&QDvQF62x+QJG0(i&Ux=#TFwZ&u zSIuS8W@AqJkoM`M&R%^$a{p#$$3TPJ_KNE5FiN67q>Hcfra^GLR;xbsM!$29D18eA z-$%_iFt1Qq36x|IXR+jpv*?kDXVvdaB+8>O6i?(s067$##ya;xSSIK7D*hE!4i;R1 zuY$>LYe1m#0)~c9qNg2Y`X(;S>6t1_F@)^lOwlhWH_X)xi#`Vp;6I!L|Ldq-?KvG) zHOiK`lN%36mNaM|eNI86`VUqFEq_25U+AC(>_G$w6dWm+JQ{z&l+Rt*rhLcoU9#AzRCc<|>sTnubftst$c#OIZGoUD(2hhyS^Wl2GWtPr4N9(4j1AAK7PO@B!C z^iRs%18eG>n?^0Q=L9@x%n$}Qm=S=o60JZ0t813;Xd8^r4;|{P@<=brrP4w5pZ$dp)de>+K}^V8^HX_s6*G|+wUC;hEWX+@9$0I8m1jNr7mg6aWLC_zTQQI&4o0%R!D;BT|ULb<2 zWk#yK!IMxo$0Ps&XA;&la;u#4(TA(~i2HbCNw-BI)L~z#H(Td4bu`!*s3pu?0HLG( zr*RXPg5vc*Xyuw-3yMgw(CYErfF~hsy_pwOj{3uwVreme@<9@}RamjF6XP7$SnG(2 zIMDP0IgX$*QZD(G8L8Xn3_LO-n$vwad<@$ta&$yCVcA28aO_%-Mw!(GfAi8>%yq)) z16JMk=LO=v20PV)X#K&{Iq<)Z(mKxR=<{`(P%eN6Bug6P;v7?~2RrzRU|WohR}SK&w=FjmRMY}9f|TG6x1Vr_7+(WYxtGUIr421>cs2w6*O5=`oGjBYx!r-!3+W_N3BgJwo=t)Nag<Hm{Myi9ORZUGh)-+u4 zz_-!JI%ev$;8A4GHd~s!i(tx&A=Xt>A zVSDoe{=?IRhOQlZ(T>}T!NOXL?D=l@7Ah1z_FL5ao1o|imwUGI(|u$wg{LD1K8O1e zsY$?Ghvx!e&96;f3XEL?(wfKNfFS4R_^XqI#x*cE`4Qq97yu9|04ZF<^@n}^5*Bzd z(uEii^haGo2${s!Y_!rM6@sr0MgZhXDS_9B%uhEQk!`dje6Ep+abI>Go%y&CQ#t}o zc9li+d(odfaxqC6Z)XSM+e?HS!DPy)FzXS|0R$!4b4MkW7hf+-^6g8NQZcUK^WyN= z9#XD;{TT~A_*^MeSoIDD{6W6ryR9CJNWPlDRM2%~Mxwusu@ zU33{tmz1Y*jprYX$2QRF8%Q5wiI&(tU<`Wl$a#x~C9g5Me5X#rK~W17o5H5kX(*j9 z1i5}QA#O+5o?8AzmPR%JfA~kp$U>?A4IvamK;6m&Ecac{gu=~`mNO>FEo67m1v@!e z(;P~or1O=BGzan*w?UL<%uxnuxY2}mf2aD90$_K&`}_bdub8sMuc7F`d#cO19tcV76NSomb5MwsU{W-+s9jQHGm0C0aE zy7Z%wvh{JVKV$I+Umgg6Q67%{v0x-hxR9xF4Ne{BOLVs)G?5svD#^aTCZ;ZQTY=*v zpH~)1Vu?Brgu}BIikp}KRD;!#(6jbDb=>h#xNaLE1iqvQ=iMW9=gsl-m z3)jf;oss3k&g`(I;-_m~>J+!ac^E8;B4nIo8B`P#QPl0IB~!^tEc%*>yy{v_Zxi1x z47==rj!!m%>d3Q=xc;K6B{cBmO7((r5?RFS`m+=>xkl~}6nu--(OnZS_!&i2A)^7U zia$59b{$Qpt~;wUV5@7ojx$LXNxJ?l#UZZoS*XgsI1ez`T+;0?8j6ZRx(Rr1ov!E8 zu+wNbwrD8=a1BeVcS|C$CSVA9RzgP3H7b>r=H&$bjMuI|0U8?G^)_id76!TgECt@L zfvIfVVtg4c8hI>Wr&nc$G&+Qmn!u>UVp1I@G!``B0PUd)@sMjk5cGx806csoIIyN6 z#Con_`vZFVKtVjazdCS)0{+-FC(SJ3q7K&bUVjmsi`Q_h$349eSI*#pU@j|qjmn-3*whNVbRO zdFDU?QSZNJkWoqZNY~nRzYcC-4|F#AlmsY(b8PxR9e<$BJHhgqk$>R_d|KkpCo&s% zy}^{s?o1W;ef}WXdqlPzM`Vb=gps{<3FXGkP#q@& z*zarEPzz}GjNmK!2qE)LI?5&Y_2q>2XakBKpAkK-t?4%Capj2N3&EK{-VY~)_MYIg z@;UdL06-bU9)8KO$-%#Yxp%&jgqZ8KQl^3u32{=6+G@JZDvH>K0wN0oB38QTk(LdG zMwl1d{1cymh30p%5qlGGGk|`7$CH{pd8u>a?M)Kg>qRzIOt(~nfna1Y3;v?mDQ4-0 z0wQAMiOt6LS_!snsO(A)3~epkf=L!iu+C9g!<4nHfWYmUi>*!WcY96A(w2PUo~;cj zRdo$YByr=hj>(8@(-oK1h6<&oVVdp5ds9`qA-m=bW~(V~ox^;1mzXl>!;AL@t*O6q z8k}rEY&=v_TSC&9&_-e}`vMf(e&aEc@qldKmEg<9qa-!J>iM`96DsB60KsS?5R4!$ zK=KYKu{ey#O}&j5C&lDmAu@UcCKr1RI4s5nwiooymZ32svvu9pZC$p(eh_~w!o_C6 zqkVK9E4;>T!Iv)m?;jaicivDP&^f1N?*OUD1M{V=px-rIUxwx-!m&oj+4?P(wM`^` z|C?W1@#uhlR8=_xRJluZ?cdPmm{<2!toa?$l`nRKy);R%wdJ>okm05{*AK)+D-NN! zur{gAC%>qTx5@F74a84`fvFoO7>Cm?uOVc%O(G5GOjlwU+e@h5*|~xe8rgUNqgek+ z!ex=!oyF`9GG-ddu&*R+Fy?k%c42NjPT_N5Zh(#W^)GIykVi9h{C-ZT73=k|fi@}t zuUv!m_ck@aJC#)Jh!y_{`{Os-js)Ha8k(qi%vkNv;8;~^(hi3k= zonnnp%(0im}rb>WsQZgT}W>>kZmr?Jrxs?aa}AUYHQb$d8Z` z^E@BztvJYU?VNI7%vMb8T&*bEu+J;0x+e=;$l@74MkQ*op{NC8&ylldUeCA>t_x;b zvI5yS9CgFg%FgtS7pQO*V&LdH=aRkY8;wzP4F`_f_j=?_cU&EnBhecQWzcbWvJU+s zu!&moJ@q--yC<}7%HeJ4M{rl}>_*isN5dH-^_ltPax@sUwck}T8B(rMYWC(Ay~xcl zMY*9iN+qZK*HGMe#B~jkuT0&dx+2&H^oUL#z-~|Cw?|d3X!K-7LzV9=pVi2Lhz*8R z`jZk>c(?}DB}Jw8f=>Z%%cz%^a*t1QeyOj{gy!qF_q$Vl{q^hMv|SHm*98rX zd%NXu$HoA*mQ;ticU`E;y>v)CU2wR}o20yIaAC@uZ>O_-5Z?fim1e!$q(1=R;NiIX>!lhOx88|z8lYJcLc&u~DU_7>3 zsg(2@mt{OSob}WP0CcLCAxw(b1Ty! z(1>i~MDM^kGR=~;FUxPHjhIrECsKH*T~fp}CKKGuz;CAd^noMz{BaaE*kQ6oC&W3L ze>U3n7t#B04(P~$Nf^*$@`j+C=}@xe7~GVfiWy7L{^7?m3!yHrn+UT}IB)mcS77B};+8V^mv~$z%+P zqAFcqs~bGVQ3Aj28|-zVN>kAfuoSNBG7y~W&$>*V<0F9~$VTht26Y#&klR^@<+9bN zvULu81V#XRt;^Rr%n=%qZMH6GEq!!?pv46Mn-|=9AOdSG$4tJ99Z_OX_kIiM2hQu; z%iFzpt^^x{iPmK)Yr2qyEiml(bqUH^GS`9~=>qd~TM+2v!wQWlC4-scyxGm<+vOsQ zX=8lrZQ2qVe)atyx+noQWo_oEnmkigb|?d%d@Y?#evh*-j z&b4eZ!?VfGlridjvLX`IwQLFj7I7`#sA}n4q++ZY-i{zu^_HI^l03WqBn21F@cZbB8uEKI;+WKC`t0L*PkWG+-qbmo~`5K7QE@h zhDoL-GXu2ZxSNJvt?WDgso=gkVzsO-8njK^&|i#$-`cM z7IV7Jk%{`tfRg$EV5_CfgmXCR^+91sjZ%om3G?H@3M6!nbH?R7_&yNbc%8R+J?CL* z^y|-xonQoT5f)SKBlH6>QkeZ5&%IBuoUt640J2s+44RNjljHmE!D2*Qi*r2cksXc4 zc3mt|KgXpND*}R2AA?*MsUyibEbB=;CwEw7*&9xZyG?U*c~LFuSb3ALZwvF;Zgv&1 z$;6^d3hJI66Uxp}EVn-go@B`P--Gq5!kK2`u8;}(kF>cv=|&aJG)Y$|CI&;$8#vf% z`|h~cpLMAWoU|;#fI32r;2E!k-!l3)zh&uDZr^L*kNDN!{eeU?>R;~iZ^?NbVe$oN zYnWAb#nO8PB%|KOm99k>*DTFuXhN zGt7Hl2GK_VZ&#Pp%#S-Bk!`syqOC4cEe)L-!G~Vq)>L`TkVsa@mhofF;f>T+<2w$@dL+9uN^_gLbx{aiY zgCo<0v=KmWxs*mBfL)u2{El72=|=6+ew=@nL&=6`4fE=m_WRD4LLg?r|WWble4x6xERzAD zrwkmS;*>T#PnT8MwBG~zR8@_YaO+;RHkdA_SiE#H+W7~?D{Y`xn!W}>Hc7^>YF;c9 z)-)d>@D1qJ994P812Qu}7trLmd8tkt0MMz*Mrw01ur1#QG4GlwLTx9Aq)2%t z|K^y!OW{nB)ZZuS+M}Rk+Zv7T3p|u;LzV0sAr(vta8kiL?0+rlvLkgc?eZIkVGf!# z8C?im&H1&O#h!W+JFG00lh_l;VTbA2C*4#fE{oDU}?lu)KQDkzzN^1K>}}u zp}stos(!)f>~J(ezq9}mMFD6=B+FY7)U60Q{&Nt9=a!X~({qop(^ zsp(U%aT`7*5}a1a*geK$U0Vm^vF*Qg2gR1L2K3M9ya2_@Z{C3l+D=Qx`-G~1bF-cL zt{~JTV7qW~=D^TRvG;^Lrhvjm#&id1NIE`W7$Vh+)|DVW_Vslms1G-+xy9(#>qyM%LG*i6+& zesgh@**eKf2>mb~*s}C0m3$bT)8-}Dcd+O@M58`I8UTU~!NE#0UP#BRk&+CeHM~@5 zgZ5neBfB+~!@w@(&@Bwq$`4;!>n5#q-vNE#!)MlZ=_=hvrO+3POc?|0iBN*a{?Q1M zvVTg|GV03S@0DQGq?u$L>l`Z>Y zVDgszem(d@v6#oVsJ*N@rOTs%&e6dx5yZoHZKcr0-Ws}c4I~!CmU!uISKJ%)0*)?q z{WW9H6WS|kZ@b`Si$hi%l!~8YX!gu&afdWCH<4{i7M9v9?bpgBUF2Tu2$hWJYzw7y z^dbiq8v*|$dV!85Gp#+oxMU6Z;>me?bvPP&8V$z|Ms|f9!>al^^^LZH=;_FTkYjLw zcX`Qs>=N08K9u{1D4ki%&N*7Zb<%-U4}+8b>%aexoqe_c>#~0xowJz{IR8zM;sCLT z=|0C+Pz~SNBr<9&vVD(#O4w}swH5XNB`RIcRpz)o7USXN5bm5)FUP`VizTTUGNjs=1vx^Ou{UUoCcaFm--S=Naa{F8vy z18bm*V}UB{ovsjto+2X>caoIId~Hy3NRs{8h#e(FzMzT`0FR$CHak-Am7hq(-+ohF zgP`Q$U9KWITpagnqo^?2THUq22~tl;MQ-L+pH57csIxI6TkZ^&~tPL0Q1mp#*X?!>;SWThje1vhVmEH55#Tbjv;;_g8>aqOfoD{oG{J z=%0Ha69Mr^qY2@b|Yv7mSVJ9CV>V3Cc9 zonpK2CD4x{Se(gxBOcBjY|Xj%jHG_xRU;kQ9Y~y;3@wOKm@=x^fMd-8Iow-yGa#z)`o(O{&NbCpt=v^i(4`*XAf=RK@{P=*o% zi7;u+d${j5N%0kG!vG$iq?})6;TTNbdOnk3hPtxw2hS*_$#&b--S*Sn4tDk8_0IC6 zp%!i)sxR`BtqAAVpaQO~r;6P%ntYI9Z+4YVvdor9~SDxYGE8I%3!xuM7 zO4p^!9NSshq|lHVRI=PLg2orG;D?;WV0i#iJEs03zn1?7LDfbHqluUeN~asc>;~YD zVo;U6uLM=RO75+j8kmoo2~)p*!dXENfg4dBi;(?(N6O6PokM-yho{vGi3cOC9F^p1 z(&n7;G&2vOUDScYQ-(5j_2R95kDP*SdvNRn{%-uD?gJrGXBWiI?k!5}A1uI_`NeHSmjv~ypP!XC%BTV!oe#IRh(IsVnYjh>ZWpF3i zBl5ht+fP4bZtY@zKeJ6iy4YxMS6+-y(>L!|c^1_ACp;@~_jRJ$3@hJK=FRgHeV{IY z{IyC%THMElcPR88&}f)(bmLi6`R-lVlH0;I$ij3rm7tlqJ(ZwDIh8=@6$*863*t2U zQ;b3#F0Y@W`7NslB!GY|8fyzz#XS(be#$LzBTIa-hj*Hp4BB_&08F$X0qi5hh(km% z>Rn5>+~fw;*X<2+(>r4Q>EHhP`sv4yKmE&(FYn8%Z}UIAe*K%*$NK4do<5b>CQ_C$ z+Ms3QdzO@JSSF&z3jBjB#1W(Ua+P@&uF>KxYJpu~YHI{fS(9C*KQBB3$}_Y%r3`j$ z1ygl%ndze>M;FFTaBBfaGV0tY3uvC?Xa<{i4W;&UEe6`LOfp2bH7n-I_o1zHfN!L^ zY35?hP5Gr|DKvM>Qn1VGmW7*Xjm9uNiA<;w`oJ!CQ@i}>lNk{vA!cZVP1Q)Vs8t)> zw=O{33jYT`Bm&v#jwpeM=Z-i<irTV7DyndrTH~eDYM$M-FY%_mE)&o`E&CU14 z>mBB{h+!#2+IK=(zP13JDL?c-c)zfKMfbNTOtcluf=6-n9p6kIA_-#kdgQUkK>0&i zV5hQRTQy;~g=@q5t!Ur1Obwv)LfPmI=!>#>5dEQHggVNBHEB_j!HrvAx{J7*U!H^F z+Lwgjs_iP*o>zUocNtS-;W&oJOp6dfLcxcN1)CN|ui@Kg8Y)UPO&<6J_pwNyO_QMN zTmPHtxgXYuASv3m%~)$$yo)8_Jsjv+M|HCkt^iCXBN;qu$kx?bHDKw9wQA{QScC|a zstF(p+IG#@O=0%<-RK(Z%>%cjnK6sNH1-Ro?B#)qt`IAsfoO!x6v{Hq_P+>5jU+<@ zHV`h#5npTyc~1q~fEcg+?j^4!%rc9G<`D0^0T=Ur*p;QWtl~E{7OoWwF z^}l(C&GSi@3}3G?BUF@{bptyk_gYvcw;}^Y0p(lGi90gB%1oV#tMT@^3pXxiSQJP+ ztFzp-+rBxwc8lt0>wj;6kgolTdah7ltZWmC?Rt3Z5*(Sbuyr-J%-nIy4xT9seULv@ zW{O-`WbE^dfUtfvw9jr+^yg$uxopczm?}ACrU9LLoeoExAcH%enXkrm!5?Q#@jkdZdePEdErE4;`5BT`qeuz%`7JU zm4{SK^Jfc9*)Fx{g3-h9u zJktr?qJ+7@cMn5ls2iXD)z|)Kl%;&kUu!d9OB(PkFr;_wDhSG_vhg$Ki%U`mcVz0;aFSgG zcgF%rP{CfTxGjag-Vam4y2+MitZ_9uJ1@LJ4YIcF)yL|ZKr8lT7ea1VNZy>Y^luysuj;C~NHvvI?A5LW?3&-^0ph zOGA_8)q+w03{75AoMz&zMtc{6z373Ve2|6Y@8yR+FSl9E>IwLiB!C5lglPiLsv`&c z_%@vSnfpa?;ZFUG@S><)*Z1$&?|nq6*M5=9ga$`FRdP~6m z2pOs#?L*?W9OV^;nHpyNDtq=*ed9qc!@RVES1oHF&i7p?0a3`Z_ueb-cFocb(5E=O zeiNUfSqxDcZ`QYd3bC;2{%FmIMui7F_eUn{sv`N0*BgySUtYDJYF^8$UPv6ZtBX!> z(Q8=JEu(98x%>=9L3E01+;wTjS?$Ow6pUNH&VI__?5g%RH(pFLsir6Ez{#%4W#oQf z9=cd7Cv@xa89cNf#ViHLR#G{Eovp;7R~rd|Ts45ui=f>=xt)N(j1jo{)NxzAj2k?G z)pTR23lQ*;MsEa9rMaWuBD{teZjT%bOk;Pjs`)vrD6U3 zg(kTZ+ZmVFa}<06u2rqYHMqV2OG82>iLH&z>^`^vH*yiTA7t1G*wH?NfYKLJ5WZaf zZwnq-{cmI*`0I~OSXP1+jlv1q4Y+Kiusrk+47-Ne2%Yl+mR^XZPj$NxJkC6bD6yQt z$!rFtXvWrReR^UO?I^El37KnSpwCb=DjmMU`evsR2t_XcD_gSOkVv zc8u`@Cpt3kWH~rH;FW8hbO8`7)WSm`q^_n8{eoI%+>%pS%kiCgaG3VLp69)4yBerk ztY#J8nkFxf3OD|0YHSvImm~Eq*SG(mb`$Ci7^AIKr%W9j*KE^^*Uc4*1Jk3oYq7^x zk+6_+kDp&49dJipXOHi|+cB7&x3eiGm;Qs%7%?EWJpIW59$ z*s<-dk74e->DH4rmj|GCfY}tdCYnin&9!9_tGNYol=1){B1BCosQFd%c|v`?!^>Ld zP^;Y!+jTT0gugQ-i|RdB$p-t7dNY;}#U{CE*xF>sy z$dCI%K+o>9l@Eh}EiY`gc=qdgTA&N*86b>jBHZJhO{bkpo5 zcz?$7183q7uI!ZUHA}0$ayeLjFOczD0xsRy&6KmOlq%n|a`$SO=8=GDhKsjz8}=rn z#Y+Ywt?-5w#FlGLU~KGr>#5eojEvEgV*!JVtjRqeq(TGjVI{~G=GWY_q$50O2X)<@ZEz=?A^-*n2lrjrzFU;(g9K~1z$Jb*)%)_?hkTQz zI+4LhE2r~7*#kyvw{}1Yy(DGS?EgI(LLu>s|~BrgVU>+9|-h> zby^lpUII|Q2oqd^PDF6 zI_+F^?cWjv`aS1NIX1{YcI!B!!p8d)aeeF1$1`Xma8t1=(_nbyi?d;wuSYd(|4flY zi2bwow+VN%Z!+u90=3P-16s68*D&8NQUlg`7yDvkg!)eYoHX1jQL3Wv1bz63nzZ(? zQ(_rf7kHL`!EQkx)^^{(k>qS5$a0SP3ccGDo4N530?Z`pUv?DNm2D;cRjk>lYh-Rm zVfdp(XNbe`=;7N4Go3Rt3CULT39PiIbPl3vH_3W}<$cAb36}S)s4Tz+d{aEQC}z6> zB6Dv;ca_p?;dP@l_I383_2jpOwN2UOs+cpLu*(P9cK}gxn>_J2O#4pUl&%zK-ZSbs z;P+^vunKsMLaPp#9TzrQy}L{1R}wQJZWaJ|^!*O4lx7%2ydq_}gXHgPxjaA&mOIkL z79&F)fS;9q_|OtxS~k+}e*V+XKU&mg`u?_{^hyr;XCrKNpn?8vg{nZ;ZpQ~Uy`M6( zMStK|v0uAxkqmOhfyuU_r4JCuZ?c};&zbJXrNVH-hc|ZG9`F9nYd7{Te)Nqqe|QVa zaIUXf$>Ru;l3|h&Y_XCOx&%7Fv3-a#~$V#VAchee;m{|2*dO>x`uk@S+5eRJ0Mcv<$E z^rZDV`kK~RmamhZqJE9EcALry80$Ty4ox83iNXCUQ$Jb>!ZX*%n)wO~vpM>4%UDx@ zh|cQba>&7|b$idwW|-P#E3X4^R${Kz?G9#1p@cOqDpnT7H3H0^sQ=C0XutR)pXoxb z?LGg@zWh9eGwbCJrIkCNz@J?1P+m)*QTGCo-;LwXD>8YunADTnCOZsr?SUw45#%+d zp8#g;Y(AWk^JW|~&3%t)mKJ@*6&_^bm>EA@4N}a*oz09~a7GL=_`w=i$U>+Z*4yQG zI)LfFhL+qR3)}GEDVdpZy1Wh3bh{`qYK^R!Z5kJC(|M9ci0cP7t%LrwFm3>5%Z#d- z5jzJ726KX&{J@!La3o|QWpI!j_CVPJz0H({G|CO6Q`M+njgvD==sP z0El1liCKIF9wf+aGlm)#gH9vJmusX4;%A#N+0`2|SJA?{PjJv60F3oH_qL}dNp*uh zL&MT0NiP->N;}LyDG*$@&9+G<&SF}ymmqjyaf}DdY5y9vx&~+vMTs@W?d4Fc(R9Ua ze$KOy#~hIQ`fi%3BDR``J|+)*KGjf@3dX4B+7U3^^5rhGxW^oRdG&51Eb6gUR%R@6 z`6T95D2q3@IdQrI1X4A>^)=PKr)-GFAs%iql%RLi~vT&lC0Q9jjh z;|e_PMFDn#u2$`BIH3h!-{Gm_7|v=<>E`i#_YU{v!BVdXV)?)~%;0Oq%ykk_TU!-v z8<}3K=?yoQWn0>|e0I1%W)X*uCgk5-P8KyJvumYU?=|5}8x#;&djP#n zxa_3Cg(!aY2#F91%lUH&Wlb-q%6a@6TKUq<;Z<6!2kKq0srkCA zh~>^nZ6km9U?F&5`|tsm8o<<_MDYwFknA~H3zlpnRDyYp!fKU^u~W0MtjBZ0OpBSf zy1u@fZdb$^ys%It$Cf_d(Z_F(lVj&!KQC!{jlP>-R~hbW*q0tl5kx6?aoSmW*s z(z`o+gn$-ze^};#wAb1uX4ctqVuh)#Z|B_1`n>HNIY#$OU~nK0xkT%KQ;trW;;o3K zUR*GGJu4~nn$!sP78<<`$45jchV8otG6N+3ugZ)8#uyL$KMTe^Lx$QmEo0z2$sDl~zzerCB&4coX!CaNrq zPY{2mOpNn4F&+?8C^7qI0x`-iw{xSpoCCLq*C-5~!VZsHU@CR{jNF8gHsoeu{Lj-m zc=`lzKu2er!NQ?7X)1A*TVHbn*PNvh^O&Z(FW#6uZ%i}o z`*3Zi9w?5k1&ViWBSh@4@12Ko0j)0lEho(uUqQ@+v`uB5ChtzYJuH3T>JZ}Qj+Ip<%uM1@i_A|* zXoJ|{83dL;LTQ4lE@rTRfoih_X+zCpb3_mfz#dD1r}kp&$@xbtfXx2 zK@u2*8kO}keURM-ya(yoTY012&-&Sb5_@|Adt_v%gf^h9pLNI$5tSeWkR|)!b3&*D z`+qZ!<>j0&FPPTRv0fY|8hQTRmAU47c?~{(JJ<*g zmPel32AOs{eu~Aue)s!-*k2YM>t!}=ZT5D%?Q64>wbgG2+honH#wUO##?^Rhx)#q@ z*8Ic01?{O)82@4q5%`Gtsm1#V?Mp+f^LyCO2|=m#f$NV)S0Z1hnEE{ro1qS${WA;0 z{!BuPun@nuA!_uRK$5y0Y}naMBh3E|2-|Kq>0|2Lx2Mh& zNFBeM{T&AMgkXJtzoNBGjy9CPao<2#`rrQg`sv4yKmE&(hdZ;6R&VK#fBoylH)P80 zu5w*4!r>z1T)+I&&wu(?Fc{|)rre_yX|$aY)6x7tffEH0x5``Ys8nZNeuMd3mhtR_|JI0t zeE}hqAox_@D$`kWJG`dV{^L)tO3NRO$eQ<`_Ov2$khy^?B+v^fzy#d;y8ulKW``=T z8$^@twBx53%JQ2wv!Y^+Adc$H1CN;0MJBWP_XtXz|C#lbYo_6dxMxgrd+WS;W2nR- zLH~~SHd#CJ2GH_|qOeR6XsfbH(YTI6BgsEJ@?pV@c6`qd9JU@{cB!@&hab2rFDqu< z+rcnZ2{*5&1UHb5NAz0u{^hK-8NK!~z0SWwZ%X;$fS_!x@3vO9td|+W(tD_K2M&yUVJz6MDEq zPsJ3kjaz%r4|$eQOpbhjmef62|H>k!Y>d=t4E{+#c0q}5umxx+Ms#gvLk$=3PorhH zIzdJgpd7mWziGIMOghL-(2mAx-@7OuxSV$5rxXCW8obg1OS=QbuLTu@K~Cg0!uiA*t|wA$mci_gz(Bzz78-dV7oE970Yxp^#nG=J?{X}gMAJsXDc zAXB~IlyMTl`^LQ#nEK?tj1sm--23Uh9AtmUKLIe=mPb(c6Yf?ENXyF*cnAKS1*kfB z?~NyYq#k_44Mwc`S1nCTiRoGk>YhQ@YOI`YD7PPCoLi5i#n$RcWNdBa1^~>qiD_RG zp^TE5r5z%N0QdGC2gJraPAgQdv4)H;&N4+Ldj-tP-EyV@dA+f36d-i8jAu--q1ZmV zG3)LrlV7HRW3MeeQ+ZUc499_3Ad`w4;4AwCuT>uQjn-%)J%ix}eZoZ^HpkOQdTOMY zA>_q~w1*P=Jy-C?d{e^hT%7&kYl3bQp;wtkuzBxJfTRi3;o3`=`q4UC;`MJNfG2Gk zg2QTIo?j3~iQE_G63>2=R?gMe#-0@9%8M{XaA7SR`qRho+$d`qcTYIADJxR5d2kho zun|R+PY>GYZtsuOQHv;zt^*&!d z75~Q7S-i_*q;-uTw?NS1W;`@Sa9grPZR~OA$fS3)F;iCL-IXL(O-QAm*@!0k%7?ks z8kqtXprVU;K<47ky%n%9<*CT}v={l#4(1g@?Y$S->IWXZ$h;z57B}N>@FLS=7p_6D zgFk3O+ISO)io^=H7BqyyD8aE(U{A}^b3Zr42hGXp3GY{_u8WuC_gO?<~uV)7>$WXvD8_R#< ziA92c(I4y^t_+i(3Qv$g`U{2YJlgbkxD2RxVz2hArD%L-8xO3_N3VHUc0D5P(f;;s z=G0_LzJsZ>gz9^LCLi`#xbL1@4o*wecrumz9v#gXZM%C+rfp66SX=aN`;UOLmA zm#tD}Ac^buT@nkKlD2}&brtESv~3NBUS_{w>7XC9RKS_SJ8sCh;)ZM`kO~sAcBCL_ z^Z`XQSG&*q^>N?u1{?R!{Tkt&OaT+uJ&jv@DR?3yil`qUzjI+oG_8~J^%rJ)Px{5} z+mYnoBPl$NbNNpa$UgH&2eW{q;LqM1pBuxJoQRjPVdhztD4p#$W z*^Hg8(K|!d*64j&M05i}fBicM{2eUN`#C`{|Av|2-*CCVA)1=D^M@~8Ho>GhXM|cb z=2U7uik__!R+N5(yuEp=o|-#REcP1yWYij3+gb~@_Q9Qd>gao;XvKI0xZ-=k1=V@W z)%(Dfjeuf!3LLS2ic5A^&(8w`>G^wFJPawcv_RTZ!$k^NlHBse_y0VgJ#Gx5tuQ{^ z{1HUI_LB+vw?-O~Zqen}up#$o`iB$`A(J(FA7WMv+bbx>={T=G7=UH^Q_QL5(bKvv zNAuLquiwf6G=9ZWS#yCuyzy`OxjnU6-t==@=6hVcT<;WMSBCKIT-?sR?MbF+37_lY zcK&_B@^|0T#qD4P$hwRBIdsCX=jil2ABvs6-w$<9#!wc1@?JpRJ+AF?pA?XHLZ2g0 zRb)NLx~hnd2%EV7QkpXo$j_E!fCAi)v2Pta|hQx-5K zKh(l0dZoauzz8QKKK6Za!~>I9kmp1(^{<=IwHwYVns@!T-NYw~IzTyCrg2fMfsF76 z^bo&Sn^p3YKHD?vpECru&kNJIckYT667}SBzeC?Gn z6G&o>rt}SIvi3ci9`kn#p#{EzzuUJW6jpVRJQCUF4I|OMA*kPZmv6-{tPo35-ler2 zih6P7LI9)Ts62X=B)$Y_dH?tp-|TzwWPtiVf3s|BCDE`*?pv4;M#6+xnCKIpvw16j z(lR^iz32Q!{v<-@{&vsVyp=y`mc^`z#*kRUB2n}~_q=_fBZ)>Q6|>@l{t7~$KlBZH zlUCo2d0MCSM(Lq>&)@SLUBmFK2DtZ+XnwEw#5^qryPHsdJsWyUt1v6lbMfjuWkY`( zt-`z$D}VqRYa8(Rz&hLt#aZ-i0ygJdw8BHi9s3Hxc+m5-uza?<}qjnip_j=@k=Rh2O&5- z#wSK?w)YDrCI70LOSE59c|2UeUXdn3!93OVj9-?3Vg%&mwtpr3*=)kpzHYm+kiQR4fkL4-W|YYDbuVE1RV}6=A~`v;)eXJ&w0Ru;po)R{5L&Y(YpS zi;k-$B#bq^d_M@s}>ksIRj!Nqpn7WDdEZoI}X&VI2xzl9)|R^%ybV2MiM*R}xmF|Tj=ejP#+x@H9vOh#iZ zw*9Wpdu@x}^Q~-gWiW$Ad*YNyoE>KNZri^=9k%`PmTw*&#$yjdjBk-o@*=b&*Z2>( z3Y?X8P}=ucQ+!M3NsmjHJ}1PQKxOGNYl>Ao8?>nG8E4O%5O&On>JW*^@H>V8LQoa#1zG>d_}5Uc`6I zl(3?cuidt1d@%kk%#?-%^u$aF0*tMay`9|ltOh5r`XuK&0!C%;tCuX$H_=GpwtEY4 zE$$j9wOw|8JO;Q3&!ar=qpTA`&hNt1G@W$J>&kyCf9q>F$ zDL~wV^>_6tF*61-HCLrz6UWsj_fTH5D$MyW@;kTAGkGoXriWqRrHkdC$C94sMESSu zJ^v{f_Rmuf{W~6l$KW4+>SQHYh&-pd%*IGR!Z&e-n#{l@xv%u|R-Jl`RJlb^kG=^uNn+X<&f8ML!bb=MX z*yZ_hBEQoU`@O>P$H*%7`AvS_dElxAw5?X+(Y~OjmvD_xy(733=tIBq$YZ<`9*!rq1t9nC?*b^kUVk%>n|;DA+eb&wY+r1}_063p!Lx6Oz4W4N%9!+GE#&7Ezmw2!AES!B11k1-DOe+2ZEgFwy8Iou z4y+u#)tFnb9~bJB7W)S9xJe@<^F9SOUBLgSpXahqia?Fugj@67R++faPUQeHPy=vp0WFoF_T8o zB4c{;Zg~wjNF9kjvfNx7?u%nj(Z`}x9SFYnP3H&OdtGh!v3{||(9RnBXHE@hyOdg+ zj&?alZ94Yvi(2#FTYi)#*~1-*hB+Iev#yQgCL`^i(t%6_+Z?uV?@hK~X+D6`+rG>7^ICSQ5EjinSb`^C z$T0h7?d0o5FS;#(!m_66Jy#ABY>>B_(M{fX2(R$g8;_6QxP5~s^(Z+t>z4@)&k5x) zApmpJr`&Q@d*di$ph)SRDGC4}aH1IKoUK7vW|)}J{_3|;X#r&ZGwBfDI0@2Lt~MnT zJw&tP@XwElHub1%4KmGite|`P* zBN9C#F>(@}O5(Omq92hMXCyjAV&WuPl|=V8iE#BJ;w&OA1LDvk^XuzL45M94vt2ZZ z(4rAqK7r8TapxJK8zl6;WQ@?Xsf2#gy(|+#3!>9#beeBW=eZFBIy0ivYINF9pmW5E z&W#w*nGl_hJ@fbpjA%%poe&rifnM84|E)LDP3S~Y$8f3ht%c6H0|Nq)%rQ~h$f$1Q zC($_*j!5trE_igg^GO8GL?aSDCNlz!N}ydPFpmhFN*zR?CUlZ6bk^x~BRXe72gw`@ zWzI)5<$gk(uyeX)>NsJtkoo>ZJv`4Cy_TZML#N6aX$zx7WEgFslf?|86fvF*Py70; z0RpX2nZ&KM11jypej+xWHI2=xcXD|@$CS!f@>k#g;bcAFM%t}FCcb~t+)Rr?MkbGH z6?I^@i3;ycmMw^^NXg3Ih^%wHI$0T!l_**1TalH=WFiH?I?+PKY5G+_6=wZV;Vi8(J&fK zFyDS3{g_6FXtb0@YrPrmqj^dr)oKnJWm~$@Xc3K$(&%ctxAt1nGUqzmVbCa=q*u>V2}wgeXNG zo2=Q#Ha(&A4P9EcJ_*1E_lzWVG40N~HMSTKt^fSz*I$49`QQKTwMpa#YKu~^kA+)+ zymlEf$Y!IcJm{15Rdzc_`-+H7jGM!RnB|>yZ|$tiHzk_UGegMv2jSw8{o(=T=U5{7 zBIPo}k|@W%{KT{*h~O;n*Jbu#CVOpUHKgVjP^XIByddMlOS=lygngL=Hq8&p{E*i3{Hk;^9nTks_u<+M6OFUD>uIl8W z4YO>+2A0*=Qa0N-Aqv0J}M^l6&L=g=p!-T(5x{@++DvXB9PgkZGeecyYr zk7~tKN70H#vGl~f&BpGdX zWSb3|1b&6bg6Z*$;`L3&*_;Vp-e%^iF6`wkp1N3Mkto_AXo~~eVpqKC+wy70iMPL|#d{F7eKv_`jhIc&ciw|rW=$mlvm!KRI`@HcSBKJXwThkazO*_hlb zXt&|>*s)@bixi_+Q)CXR>ho43+Ml<@vXL$ljFg|mTtde0Ou}fI+UKhmvYjA2JRH@t zTrWx<_tg9}-ECWbaTY~DqNOz29EW)#pQlhvEJNgi@KA|3RB10TEy4fRB1>YTcrcYbn$I-rtD7%GX2UQb?gecv+%)AnA@@qaOIyh;vFQy2qATYj!v19%)`{ z7kMW!iTqR|5wTO`!rh07UmcT@h>Lmkc3LD!Ba@`Qyfg|wkq^l7Q=}KYCgkdr`G8xz zo4Q>SK-xYV{uVO-^~-lB@|U>t(zQSfvCL>VnnppeSX!YGj>PldYKsK7b z9oMBAqE0!kx{aJMIG_GDT$d^gR=pAEDe{mCZFKy3+(Ha)(1 zC}tXW6J;I9;Py0Q#pw52ptCy_9NQ66mA7UokO+w9DqD#RG%6EZ$ z199nK5uP_X$rxlLcQhB18$wO?_gL3ehlkca9zve9v3lzj3YPK<1bza?129m(_d!CV zLfxDq4g)L#72H|=_mRTh*I@QU0V%?(;Y(hKK)1zv;Z1Idp7BT68kZM9eSCx1D`>Ao zkc0YpL)zomHKp7TL8U#v-QK)eZX2e4C&0~|hkJLr^TxG7Iy^|CcVYj$sW=h}m3sy6 z!P5xkHpPw|?47n4q#XDs*zX2l5E<`Ze`$BEF^VtyJ!5zF_>|t-3=xEG*q_oG{C)V4 zD6CTbP6ui7rr{6~Ffc=3W3BLL`0Z?<+{Ve1aWWW&vxw3mmI@w-v4`SE1YP3<({O2E z4z0hc)sNBc#N8fF)<=udXu*TguF~F!#{y7RwJz#;}f_`;I8f zoHBit_69KNd(TTa$)@$nAwS&dBYG#M@QJDV(MsX##;80_m{I_&J6fL&fEQRY?Fw;`nx~01SIeR_fL&i_-V3j zMU9=_(2S5l?cb3lWyTGHP10%k1WxPcANLRc`mY;V8Haw`32N*52(73ZK)*G;iVcw( zPGP60YaWHMmJ}`pUt;3UG=cyN_YhsZeW;VPt9y;6_S%SdT6}(KN2^ zHn?2Lx}{^+AJf4h+12HA4{o%jDsBa;LerJkGqrAEG zx9!x3*12oR*SKkGYu}%{kL2jS_1(PB zWwQumG)V)MmnquflDYMru=RlggFCI?k##{{U0Z$M`)`G7S7a{TJA}(u#+RmQc!FM< z=|w(1?t^{*n_pXIa_tsbh$lYuWYqp)>ZrSeZ*m?Tx z+KG{_Y&%^A=P5N1dg$AVsW+qi@F_sM_`^qjI(_rEElOvyZMtS{(@njs@w;DVyH9KRmCh}#I;H(DY!T4w0GxHgH*z}ryR z8C>y8jiZCv!AN%(?tQ4Pog2%}e*X?B_}8xg^Ur_XfB%0gTH$_7jnRNiA&*RHoA-qn>(sU#S9ZrSn3q~*@%Grh7*pw`wZ5!?`G34y!a)|HJb@D{|Y z5&VE{-KS~ecTB@Gtfj+#ruddY$#dU*$w|V8+CO)?Q>%?7`X!TaWB&;qr^maM-M(9G zsK5Wuzxn(BByLsgtuN$pk_*8K*CKn?hY9>^@~!6*jQd@Kmi@7ZI4AC!L%H{+t7~O? zyXx1Y>lL=0rYJEWV?1h8MrhnE1&20}4ZOd_n4S;3OG^uVj)Y@|E$)VrnQ!7fjbfYJ z+{3iDzvjl+e;M_##2svLu`_jMYusNB8c3uzOj5{G{1o-4MkVid+V0~b2Z#j2fB#_M zs&M%ZsdagC-$chvqFN&o^?Q9CiQhnI+@-)6x_`AU*`Pfz49vyUTOZuf)f?ywHTy-0 zl7}{R|4Zu)?E4>~T}4fnvASVY52)oXofCO&%q_jB^Lr`qV&;LD=*8eyN<1i}j+L4z zYE&1F?CotS9g55G-bMe|CAk08Bju}mS6iDG-;M_KouDpy|FXIStBu01SLM}nR&<=! zWqhp81<|xk8#|w(+jvbU>78*1OJO`}|Bdbq>%@u1tPN7AO{ecxPeQxdUtDceL)8+m z?^YW^4&_FHpjL9BMYlE&=<$Z83GCms@Pc3|#?o$vq$=@{Tm6O6$IG#eC-HB_OX?4F zX%O4J1>Qp+9d%jn->J92_|{9&Dc4Hzmh3;&`yQFjP1>+WkdlSqOuRrZCmh(b{rbnB zUX{#0GJD3JxB{aAy$R6Ee;eRnh^%HDn5~;Ga18D?urHFg0F>|$Ogp`{wlhfjBYiac zr+6IbLrnJpCJ>p9tnF6)PS2uff+>m2z)5e9`nDi5aP8c1y2%{`fG+#NY3+M+4}`29 zDZiEmeD7FZUaG^}2`b zn*55YHwdFu_krt=_58GZ>xy`Fy%+e8u8VY4sWIr9Wa;6FH-kvNQ+zi#@0W zY?nX(`4y78+Jw4)yecou(`QyvZx&`)r0DHUiWU_SHGu_N`vg5 zc~$T1{<}0xy57Ij^P%ns?Nkq?;5#x#wW)5UI@zEM$XT-+?ROKCvETjs6$w&OHy)ZS z>v_(HvB#L;#eNk2ZtwMFGzu3J`q$ZBi-ss6;_650j<;6Qo!eEo@mk1ThZ`gW$0mdd8i$)e_0wr7O6p$F+IB;zMA3<{se7}F z?wyl!Pmdq#1%tIEO&fdYpF6%(>r>L5j=l4%wqJKyHr1c&$GVc^n(qVF1YDpw*h|+} zCdD^*-6fZv1zT_S|L&?1DDZW=No80K>Gf}^^69&l*B_)9527tC7?$+h4R@Ezq=64Y z7K`<#K~J=9o8I}E$cwYci|r`cwWVH1kOJ6%Rl9aEN^$hJozWcXBUvnl5wLw?so_=8CE0ma;n;WM~*?{@*{4{IaOY; z290DD@78+>_;Ep0T*Bx>)^_*KTTilg-5Q!|?%?B+vMw?&!NpyMTvPz2MaRkwgkG?0~^Xg zKJQ*ZcQSa_6rYT<_)Q8I1z1<#f8f>9+~Y_0c!e&}Q{mg^7|QT{$C0hpm@60NMzKg(AVt^k5uq(JWNfP-n5Y69DC(7QU(77=YQ(VN3WBYdHo=*=Rcbu5~6 zDVn)Q#=h9re7#=)Gs0eIOYiw8F{k}eaV{UHi-)i=5fv}i|1Nm(Ry?tcPfS%KQp-pN zo`ds_-UaMv6KYzr?h3pUezPS)0rp3RPK4a|R8|FCf|aW-I9dXG-6%{G%*1iGsB*w{ zvs<$pr{*?@HPiYy9D&K2Eud%R9cnytp49%w8$!tgofR;v{gZU703Bir&Tg-?K2!td zAu+TNWRB6A9D!%gX1PR`WQISMs|X-op{XV)f7u{(ZK>{(815{bhu;H z2gH@%pPQxC<^5j*yJxU)*!sq6d!BEW^x$h_Wed11U947dPBPGm4Gi__*sm@QQ;3iA zh{d#rpmLX<`(C+6#@xl;+j?^sxS=<9fd!Fwb4~H_`5T7G8w0WjLuRD!AGSKI6O2qE zJpN zY+EMt+(WhHd^vPNY0HZ6b}~71#wl*R%He5TdHkkx;Vg2ZU^p{8vd-Wg(laP7tucE5 zl9QP$85W%?H$PZU2{u_GIAJ9SRiHQY#dR|pD@zXlTLy~*e~x&4w;p_uBgjZY;R7MQG=_#mJyyB{8i!#Ia+n7dx?CEq zB~Gtx->Z+BG>D!;cI>W#d+gDb4Z1md2a7U9Z-~r^T)&ID(I4+Y5kSv2DFtiO{dDzR9H1mQJN~^sdOZ**tFM( zB6GZ>1rRSFboaXC%m}aO!GSA4LWoIY-Pc4ZRJH%v4`!`eVC={w-Di!(rFWUt zeFNR_zFxoio@1Z5eO zex&CLdg_Yr$!Q`XLK4jbSYiOhUQGDAJWZ=13ry7 zHg=5_#EaP?EYz*|mg;IkQ^G~{_}DnXp0*CYckt)Ivu`Ee6`0D1i|H?MRB~L{ZT|3? z$q18JVNByw##NWu2JHsds@P?fnYQrsm@I%ayeId90*o@9%uDVT< z8J&PcVOnWTig5jrTrTyRBjV{wcwb167qP|oGEgf$7te5gi2q%2z1^!sPyjs9DYk-#hx3gBNqnC8>vBIe;cBi&C@izP2Gkp)^tlvHv^2FYX)#|Dv#1<4>q z*rf{;1&_6G3$lctgR7-M!w8nLMFulud6A8xx;IS=z;zTW1zx;%W!z`wx| zj5P%XFa;G!3Sfq0rFrilJW`a>J;6Wx{tsOHK4t)lk}nB*5YLyLUI}%5-R^&h_UUi^j6a9%czup(llFK@G?8P(0FoefB~`BQyu8C+ZON zl=wVslu*?$2OPWm=Ryw=gA_`tefimqPTf~oF~70ZWeRhN-I0lcPMlQN!6NIH&;{Xr zHOSWbwNagfp>)>LxYVVug;$^v#rk<0Oi1#nctjgbPBj5?&2CQ)@YKTXdB{D>=fHd8 zHNFK0Ii zK(U9;L>gRczf-B1qykv#F{!{5vk^V**#vr#!7E9~OKsbuJeO??y9j z(6)WY97&W6S*SLqS%hLC0ama99qK0rk!DMVq6Cb*p?v!x&rd4x_O3B6R?l{plY8n< z+wD{DfnW`LIpX5;@E)KGXj|5qxQWL-5PYvbCvh)BW?Q*)bfa8_`|ba;#W{Tc`AY|)a?Oh&{L>$FH!j2&wu*)M=PdI>&Tq@BxRXR-@SLuTq;RX>bfR%dL0nM=+izmL1~ ziexV=1X&~_hsx|}me#8%@=!ndt!dlcmN;~Fo=drZYSMROfoNlY-vfb2Pi_~8o0^Ex z0Qg+lw1A_+lIl;*EGlE8z!}WhT!#72j1&fTFgxsSSB%5v*gxO4x+k*~`Q)~Kk6Ea{ z(hgKY_%kD32J(nOzU7dsJ<`qoyUpY-NcSG*athiKKm`-%5#HrC2v|B75l zmKnZN+1>89*63ht?+Om3{9?V|=*G$B>Rkm7a?q6)UG>uh`Gph(cUioRK@=DJ-LX*4w=;#%@ZTgDuXdPE=O$K*X9=)&dN-q=>7(8=T_hdxb|8P71NTF!*Q|{L-3@WN4tK_<`Gvqo1C$?wKMP8@SfGOwgz|N#kq0oO0S_5#@N5o zhcK;(vUHjM#ah-x_4qKUNe*{ImXbE<3N!6`|2_>vpp~D+?b{#x=o!^(9ieiZ431PM zqk7A7Q3Z0dL6XW{6(rqS6*{ox>SM{o-&1PyTZ>aTQ`RHXryQ3fS0=Vop20yL-=Y&1 znTCV*Q$c~+uFw`rZOvoUYo>xPH;K*smr%630+qL>4TL zzx8sF57i(ZGj7oHr-F+d#Lgj~5C&~>?OOIg%MmB#;JEeEG~(I^X+k!+G1j4*Sa>jd zf9c`%%U}P;U19+tUUPO-6wrL543sQ1RmdzxRKHKEU)Z#^fkh1}C;%??mq`yHUsQSt zsEM^ed!dGw+`4hSqPgdyT3Ok%_##OUQSg5HfRjziMs20~5cMS78@#Rv2pqiBp?Oq0~nsDXY&|xrohJ<}{qmuSexAxUah1q`=YF zA15_q&G;K)WY?HL!J>yHWc|y*K+d*H(IG>tuaOCX`lUXQy-TF`aUg;pLs6?ACX|^W zcyLgF-X!g?Slb?Qzyp^&?^R@F%Sg{)Gj8jLKyE#c#?+68>#oiUzoB&t37a>W@}h@M z)+!1-G~;qn{5no3&~hW%>yz~;ZMuk_LSshcyKOKmh)^=r8TZG|t zIj-U3sj1ewRnc(A3P6$xn_o71T zBZabDgemkXi59<$3@)vHyP>TPcq(a+dQyT`+JgMJcJLvrd4=vH>ioSNmXO|xdQf%;~IQ`mP80x4!@bl81tCSQ;0)Vl(ER~HD3+6x&G_s4lw0OH9@ zDdJ1XEP=j$8}UnNFouec6Tleue9#U0TA_cC1=Qk}l6pqA?+d|rFGAit+!tzLfRO+L zwV5ee9yI;Ygp?GO_M}QIY)l=n8*Vph1&QiFJA!Tid7-^gQ(Fl3ow1DxJLsT*8Aa{G zhSo0y;Ok>9f+LO=_20mY;2wQ(1;R_w8=$D?&1I@5XKB9e{kNpM8#FIXi%Ck|P92XQ z-aKG<@w)<`F@DDA;6{LVru}~S%#Y*oQyK!LLHY2$zE1rlQf+{)MtQ{d-X{=fVojg- z3ti+3ZSOQN;{axnONdF|ALfp_`fD+b+7(h^w9~%5P{QOFlM>G)InZ{y;Mp}HSdFs( z=b$ZS>lWrpR^2-?8gu=ru7|spNs?8COCERsWgAu^IJZ3qDJmuNHVnD%xm+UX3drva z0ogdUUQ@M4GfC_cVCG%v71kfV#ellkDUDXyV>FqI7X}KIhZ~mKeq}sVRb-fdA-%Gm z#NG6X4tLY2;w>3+%Sn}i9$e}A7=pVZm6Mq<@#=_x9aKl1E6$PaEE&xeA^j(M#q142 zGFm{GB)dqRm5;ho_>={ei(hAu z?)bc@`DX1t^#IqIp~4&ZyvoR7Vb0<*!7~^|XH!Xfe%#jYt;DHo16>O;@D7E=Z;o+l z4IH~vY?4-newhl2JF;imhy&9-OCgi9F=|hvWQ43!3xXkt{gS3KUQIb=~M0}FgscFcjsi}YX zB4;jmOQiY*;m59I8o5999+b^MPN;sjVlvd`Aj}pCucBtn+%ns^0-VtIEkY8y3{;Ay z()Ix9x$=--jtLwG8r&&njgJy&fGKj;xM5l2`w8W%vMHSLvO?TrmT*5L3qKT|Q#}!43V-*-VBN0nFO+b*S<;Ta+$*LcB8#dXVJG zprW@tc06~bYB7K$lUg6Jfl|9JdtC@GRt-}>H}LaT%I{4;kc`1OpajWeKn|WfNofir z%#c|nuFpcn*}lVg4tyHd0!_x1OYQxrGBH!sF3EZk{5srvfkAOfb|pb8*Ydfu+AlU? z9Zj+?&n^!)hQm>9qQaaRD~ zqr6r3O?RPKy`cQIQ;o-s)Om}n{3^PQ+AgHUIHfMUERBJM-qk>11R+FJ5RR(LKzbE& zKY%LR8y%-zOxq5#>Ub<9V=c(Y0TpU++ScHgh6MUQ+=odRN^1PXHuJ4blA&sL_YKKl zAQp8Erl*hI)@9euv6rI@7^*48Migoj=&9Kj7kW?_-#a0GM8lf1R5%?qhbZ2q7ds^Y zhAt$k5!4TLQcN2qc1#wiMzg-%>E&@WlW|3#W#)~ICX-m;!Gf{`&8_A^^Jv*88fZBl7y&;7u?d-!Ou2(-DbZP73lx2zy)jscQrpupp-FsO> z%{%5zI6PDfVC>`0~(8KRL>a#tRwlhXeaj zXgwj&rrCxZABWk7bVITw`#`0*{gElC$0Ce*Fa}ZG-xB zKU-H}sC{)>IF-h|9AcxZeeXYG+?v z+4p?ub z|LMF?$OGAnE%y4iXt?5MPldv7(o$h*#k>?>2+T_Dyhs2!V9GLr7j% zN9t0c|B7KJ@#5!p$Zm@-@n%ibkN}c$wJK_=f$%m}m}bpeZtDB(3#|Yp3=J#nLk}>T z6M|^ATj&8F&k;a&+m}YMa;?JQJfoFRqG^wM%hn6m-+%G4a2coR>{CQ~7n5`s8EiBN zk&fhzXQ+G@l__4 zeJ++Q`-0OHyg!zqc9l^v^}d{(ExuHyIMT0zF+?$zI62Yi=sc|mfh_LA9>oQyMZDR>7AdwjXy(JiF{@A(Iq#KI~Q9u(zJ*m1omPMXG zSK&TuUHyGs#en`krxE6)JrRzSD5^wY5_Q8PbSLD+q;HT4b`ghVD^;9FBJj{yM9`@8 z3VtL|eYH=5V24p9BOYBn%&MVB>;M5Q68QroQWt&RgT4;C zqJle>`ph!*0XX&~8ty#=A@LbOeKrs=*_Rrx=FLC_nkk!!0GD+zjULM^h26aRN7yv1 z{-7uH(xHwRUAYG&!ZD{HScHFUby;DHjaG0T2TWyZ(6HGuDnwgm9IYEC&{coz| zfU2?C(lagsl_9DmjEff4{9ekC2?oK~#K`1$GX9Q~Lbc+GLpJ2R_D&FJ&kxtAGb;(? zu+$Fq61X>WChaGlktcu!8+I0jJONwJImH_n|0Z2G$|!+aovl z1OeFaN_2fW2CCna6b!u1LCka?%JJ<*DF*$o9A(|5KodyLi0>eUq@HOItbZ^15z^R? z5J}hY2A;yk89*}oS1@^ewjifv;>?MGYBDIiG6>XQdMBBECV>LEyAQ1RDhxwot>BWa zE`T>8Hq|4A8WB6u+!GLjz`ub*=(&L-ZMI%UP;q;e-H1(O3y%yQ9}jR~>&bMCtUi*& zT?>?{tPs53I_d@9jkX|q>>ApN5RG%elOD5IW{@jtl(39M?ctwBfe*QroN>Bqw5J;@ zl4aW_lI8kiVEz)|c7w*j)fi6q@td?kOT=ZIe!OKCrMl^gG>NO`=#166v=Ix~Y_-}( zd|3=&D_G9nQrmyqc^Tyd50I(Z?>+^S8u9 zVEK&)eG=q0brD=Ui8bU+kW-QtLG|RGCtV)LY@yZvY zRtVKuv%$>&61=z<^o|0HZj8DVJW!pcj~dH2q*K{qqLU zZeo#=m^zZJ2oAmvfi-SsVv?Jpzl`17h3An8ZjOt-SA0k>Bg6jO;IBguZK%ftsup&A z7rw>&fvOERI*gNCAh_?3hIQ3kM)wKJvy;vY?1k=f7${f4H8(@2iUp&aQqF#}H8oh`nf*$jMUaI|w};YCj!auXHJqGR7tjp8fBflH9YGv5i;$qMB-V+- z`b4bL47q2wVrBV(z``(NQMw{6d=dlmlMKASl16F);~x#OIP2y~Nm-)* z$i!J%Ru-MHk8(I`?;O!*WQJ`nk6i;sy-f(3qW(9vJLFPE1G$$IET|0B#^tmm!uKJD z4oI^b<I0Z1LxWmae_P5LT`FU?p|c`f>6=2JO#wPK4fY zb=0U4c+(rB2->Tw#$#9XYPl&YBRsIXG{rTDcvu0pkdOjgcdMJryx4HMNok=>cm206 z@F22>e+Ra3EQ|(w4{3!RWvXIcX?W)Sp zLS`pSArr4dqyKx+&$G`1N^-r&*+Bb$541Lfv**1V@ulNVGmir4%;7!b;AA$PeHS4` z-H0*b^Y};XyMV{ea$C(xZmS$sV<6jv(n`~b55mu>fKIko#fWTZ6uN~~lNsa@)x9H_ zjYV;;_tJU=Q`QuiBonfnG;KFsB(%;u*nM+r*|%Riz}O(S0Skt^$=IdAn|c${bPch9 znwC1SAp@%q(QYHb=Ih8F?Hnap&k@^3{)$6%ffs%Ntx9LY{kY)YfO)oawf@k>P+kZC zUGjeDsJG4aM_%qvdwqZS2&3U7ZmD~FN{9Kb7#xxsWdTx!v8N9xE0R&+aDFdI-5b`Tprr70K~m;f zL@VPoGV$B7nMMzUW@B2Do!Bdu)$#qnrypfR2Gf}#NJ)jNYENfKTEcIPFIe|qlOsoR zv&Nh7-zO*rfF)19jFiCRmNdV4g`*P789Jh{jP4X5Sk@P3Q8`0%n9>zA zp$Jw{19_t4kI*SBsG#1%W*Whxa9RCt_!R4Zqd17n~=krF5aRWZnAyKk3g z%i0J=z1@5(J|dSaj6_+j9|e}s$I+u#)Mo|!B%_~Vl0(6{lLtMLArr}vsRlY*&I3~8w0jwjJ46~=2)sR zQ$AyIuOKHHfz)1@W@Iv~@4dzyV^i8KFi#ruJT-5bY%+>A7pfK%D#J;ZpUu%Ihy~{a zsDSW0roC%oXUk)G0A$^jV#urdH0tbwlGs5f(7#B>rb!? z;AW!9DHwJGS&@Zm>3GMG>4aiKpLMX!jn2%^%ParfGNzLt*EYVJj$ z(UZ3nWvB=x@-|`D&>aIt&$2}qi87Q#u9rvJW97Dmrwhsjww6Jv6S|euo~jI$LU+xJ zc1jv$UhK+{*_fq;sU^dUv`&2CJEIZQ7bl0V-5^TptmqPVR+NjRj+H-2Bh}5=ET0vE z{6#trLca7JVoS`)w#K7AzCuqRLW*(;TpbE$@)?(2;+xMy4WWv(LQE}Dq;YVZ`bQCl ze>1?jDX|$7h!Az#3wK@e4u!zZ`QjGEa7=qHBB*?w57fP1K_doXa-c=Az(o~&!;-Ul zs1QCiZnzjIZq4$()}W*DxKY&QSVrMj)@@>N>8?l+l^ploKwQ-orFZv>kTpo&2A=i~ z_9?f`^emP~)F8F8!z+(+F^hX}+ zBD_!Pu}&F~0N?8*9o?EtS%fPu)I98qOMY$IH?Rdpm$ZO9*qrG5QYhd{moNSMLT6@%}uGW0BSr zkVxaKTu|sX#&c_iH4NN=dn>tLoEf=aTrH<4^fnXV6`QE%ofK234*q?SxK2>5Sahu) zl~{0i)bAtNsumoc+c2=+f`MUYpHK=D@S;R!wh8zyO%hd}%E`7HwtnFu*Z$+!f>z%Yvzs z$wxgve4V^YBkF4;@5-2@CL1|B%Db&cY6PRwA@>EQfcXQrGHdCRxcrLm@CYvMyj2&g9~2oofR_?w82Mem2_KYmXi;F{ar{pG_~ks zH%0}`Hp7%@5}Y1!4v6Gg^t zegv5D6kgCcQiXA!xP=XsW-HcGn?i54GN|ed!{VrV3F(C`> ztU}&)^_Nca;UY|k6pC4_vvix1yTDShJ>CJ0kp+f3e_j}dN;JuhE0FfxbfA`Mw+*C9 zc&l^d1_z_$-&qGLsYrg1mSg-R2hYHFc(!1-wc`EObtLDJ_g*YB7hMQ}rJ!G+`4f1h z;9-Sb+^|jLP!)Oo=Si#7oo3izYC}j3^}h%C_+tb%>kW*~B=lVCf9rz2IinSbk6@$V z5=!X!fj@@#@Up{N)q{4JwOqWMIG%M*kZ`Du-(PPeUpGpbs!n1(R1ZT}zB?l1WD;*s zGZN809ZJMw40uygBIn7B@jmh}6jIY1QGGbBu&Mv8CULq9BX*YYAn%CQfb(51%JqfHEo`s_-ubRBRp;Qvudt(3!56BTMu`=)= zxjJz(TQpR7I^eJx$JZh4w^@sCVF$f0%vO*Y)(ZA{-|1ljmZ=F^f(q_8l*z8!8Nl12 z7%XGNpnZB+_qQQ2UjJLQ(@qixL9Ji}uHiS1l@HWhF@!EB`WP7K;31m;vjKxcL8vQT z|HUD#Yj%NrC0a&<9Eu7+Rx3R~S-0E$y+WkyyM94TD2=W)Bx^0Gxs0({LM_1*5c8T;5c?{ zt?AwM?<#upLyrBEDt!SeQjtP{$BDU2d$#}+~F0tVFw%U35D zgDD&bjd1KUc64Qy=}jgbYhw`VBnIV;d#%w$e&JqQcGx)c0F|ERMzG3PlG8L8-6H&v zEDJgB)d{?xq;}X1pEar6Px?di!w{hN9@k7YamT_xezLx(VOYJ`oy zb|HrRmDUbHcj_H9INsPXsW>=SVU>O0!bqp@N4e(pei~d=6Jkd29%T4|E5^eFgm18p znKZD-XIqT&lm&x!s0$nil+nnd@7*)kDw%Y|B#@h;Y#7`4E=&|h9!KH!TTJ`Krk4|U zCbHPU*$StIv1d#a;kuMfmSx)Rg~vXeD} z=rp1&QTlB|_EgZv_bumW7*g`@Eo+b#l=X0L`?o<75UH>K}shs0N?<%%;c%OsbrkYizcAUduKWaR~ z7!Sh3Lirk2BOF7AUh@HKz&t2zkz!D+oVuEWeBVoYq2xqs@{GGWq|8T|yRv*I@J}Nn z`nVwlO+c&#mPSJ+)x96yQb&@B7G=z|n1&rBDE#jC|FEkU-*vOG6Tr}W=gAIbth3q< z^tmvWF4D%0zmL6<^6XFz2FVgoqF1N}!<5F##C%_GLo*wCa9@Pu_i<)_}nlXtBeS?Jz9i6r0 z2Q(aQVBn~J3CJwwGPyecjm&hg#QHf-3&>ejj#G?-TTpLVg#4B?{_vOu(7nPKl;fD# zap3@~^&Yzu{fNiL$@bWU6bRCt*lxP*5N95lyA0vldE_>{qk%?gQ_WzA>;~BfkBn^z z_}t?rX}j6XR36yXI24C9lENTHz+M1JCe^iNDRaVbAp8zt39ik5`CtDJ;c*)At-Faw zy6T2~aVB}RIFo23y^owmM$j=b)B~)+Vwz`zf{`cPYkdI;A;4;3_#zf}2kaNg<(ETR zjkS~b^Wl);!h^c@SljMhVcKSIEyMd|iN;Mb|Ec@RDk0VC-O*I z-Yw}in$x-)6fZjv_x0lRN;wcZllefJxYc^C94xyD@>r7&<@;y|{xuLMvS%4AU~E`Z zYRb@GbZh|%sUTre|67++D3MjN7Hz@q!K2GE!kazI6BCZNc0;j*7wb=AvW2kRecW-e z>P}4J#8}z*W;rl@7U0(tvefrksQ1me4%~lCbKtcl<_$gmoMDgX`WKWWM+j8!V%QSK zM9|&vxmDlF<>>{f8WE*AU(s#*V##78J$Z2Z9AGXV!57)lI*6G}vTyeZESdnPl=?x~ z6K4$b3*|s-vhFyQZS_ojF8v4NPCxrBwCT7BX5V#|wy?j8+}tPdUVZR!V^trP6;z*)MNV+%m_WlQ znMopn1LemkNz}^Hj0IP!GbH9%r zf_7(3VvsP8wKCUJEvdMo0}Z2%VgD73dF@OF85#S3>qcyzgzOv$cAa|9D=4f&>S%Px z5eUXCCFwYj_6AD&z${-(DGa%!{!w%lA~YpwyF!AOvk>slvZ;dieDmtPKVmadYCsgT zr&45Ld!WZ)Wd+*f0wAaNflB;xg-VG^lm&(M(B_bS2l6#YI{!KF#5+)-jPb4M+VZVu z+DL2h$)p2cY)DvP?qZDBT<$OVm6+-Qj#S$3xDB<~odALnoVJ&kDG)bkiAe6)0MIJG z(OQP@;V3~uifEQ|{a9kthu!StA~=Uf#yXj2DomfPOd`GBNlpk~WaflwIl7444X+n! zx)8TXfua}7UpfBe{?ha)X&Lz>t7LEj6Y&M=?__FmmO*UE*GWj5*+w!QXQrnVU~TLY z9C^(EgdUGU?jHxFpme}{HF7|04ydEh%qv#PeP|2At*^>9TniQrDX9VKXtojQ_2xjd zcb^HEULHi%%a|Ft9wj_o>@|@#i$^)~7gzfu!;|dLWbnqZD*Sy{hpq5@y+SZ6BjJF< z{@?1-)3Af!v8mAL^T-ET|63h7Y_l{N>(F^A*E(@*?JM`LykOl{ZK^PMkfCl;%iRHx z-l8#_W~t~dzzC1W)y=^(TvMH0gEm zvH2?$nVlDNRHK<#H~UZ^Nx?gkr0VXBoQughw%t3YE0b)6K-1%mb?JpP`#=5LUtd4{ z`0=NI`LXKIFRB)Ngn*hu?CSCmnR|aM(4kfei?~2vopb=sj?UKA8Nzsmj*u#j?*@D3 zUq=Es93DqZOB0|DO)t(g(>@~VMVOtO92Yg_Amo{i5iX(xk{RV#PvlhwVVlNMBFLYp z-UjT}-&h|{LWTbBV#F=`V66WKPWN!vLEb=(_{zJkWh5H^ig?rGL^D2mx(VAZD#(#- z(U$;wT}g&ajM!}Jt~L5H6welzUDW;ESapaUHSm1lMsbowH?w164BvPuLztxFu#lD! z<=f$vHz`DoXUPtmLCPXZh658S$)>`DlUQ;@86nuoHSc>9hi!H0{t*b*p5G{09xQIq zbqIugBlax-y_aeaBe8NK^E|WqEes(ZeNZNsB0-h5r9_i63R0yua_fB@xR~RtBN%`< zWE~VgG3cc$ej=EYU=Kzw2ME2IdJ+9N!7L_<^pBdjk(m{8D<=TnnK`+-xc5V2Fw}_v zm}-z}2YJc{7#>*RQg|!bE)bgl!KhwGxXQ73DGz#qAo2d9NT--iQXDeb!~7N0?<50V zC62xY{w)ggrw#776gE%5pTj%WV;6{TqJ(Wt*?L_M*qMrJ;K9b=8OFV1kKQfRF@vI7 zUjp|bgRO_Q+U0J(W|N*|ay0fiR?j_C&%XrJEP-5DdNC%}LXtd2aTD)F+y+sQ4F`jt@3B@8@Xqqz47V219y&%sk0c&BbtuKf~Qna0%c{~%VlUH-4BP#*8fe!{+ODa%_ zEuJmpYL1WQ}^ zZp<;WCvd!j>JocNfxeV9$C)^6Bc}I#v(EQC2o2e zRzj`Qb~Z6;jC!n^v_F_|i75G!U{90r)6CGxD7aO_mQa`hscZGWRmXpx$%NoC8X7rv zfJ9vn;0?H9J<2RR{cPlL15*W|&>C?0eHIv%EP>ctfsRWk8Pv+;#eD2+hel>Fz74cL z3o8ZqvY)9AK1uzgPz+x*`AD%L3@>(g!C|k)gO6PF|Mpkk|MG{|hp>V&Ox<&J7htZ? zMj+fbcK%>*X6f!mYCw^_91zUP{T9$TCdo3vc)~h->2HMGZ#~kI{Yd*hb`CyU0$}0? z04CW_;&c1Zg8h*_bGqfUX_{ilFPgkRaWw}6%!!$ar~?#c4_T?8kOl()P-}^oD5Voe z8V6I{UM{4G<_)5B@5=Z%A1oN0;Y(VA+*z~Y633B;ePq(q#S&NDQmEbFzknY z_-0`BY1nX0XxLO|awcfC(ozt16mt6j;lxA5Dty5N+jyUmN`6oSLZ2_yOreT7JbL(c zQ^9XHmBHlW?L?JGJ{n6k#r!`El z;g82XsD<-sQOtRT8Wn{%_H;OQ|ZQ}Y$bG{Mb<8zy%eNPK$q;1syR+)Msxz8L{%x!{HF4*LA#j_V6 zH4WWA48vUOx)flebC^Dsb8Q$4mU?m$!iRPV^7KWNkOWFC6&N)MNO%TR=nPD@O(^Vk z!C}7;y0%s^VJ8!LoO7FGsaCWiQVxTjAg`7U1?lq3Nh+B`-++I^szI1(!=Cm@4AEjc zdS}~Cb$*qR^UGG)6PzrWc6(F?r=P+k`Mjaim+fFLcATacU?#>qGEXzom_P=cSe`?@ zQnOp_QTa?k1&F`^QZgcM>`Pz(LA#LKCBbz7sF0i#-7PWeL-;xbDT6YuM%u)YCQ5b? zdy*kxN}6KI*Dyh~QBsBiz(_nBbhIiqJc~6fVAKC?gDxY|#Hc^IsR0)x)3@+k8v9a; z@T5BRAqGv|YG(KHNcXOX2)#BpD>(&Anz7L! rising Y: min(0.022, 0.024) + TMAX, # RF: pulse filtered + 0.024, # falling A2 -> rising Y + TMAX, + TMAX, # FR: pulse filtered + 0.021, # RR -> falling Y: max(0.019, 0.021) + 0.021, # rising A2 -> falling Y + TMAX, + 0.022, # falling A1 -> rising Y + 0.019, # rising A1 -> falling Y + TMAX + ]) + + assert np.allclose(lst[0], [ + TMIN, TMIN, TMIN, TMIN, TMIN, + 0.022, # FF -> rising Y: min(0.022, 0.024) + TMIN, # RF: pulse filtered + 0.024, # falling A2 -> rising Y + TMIN, + TMIN, # FR: pulse filtered + 0.021, # RR -> falling Y: max(0.019, 0.021) + 0.021, # rising A2 -> falling Y + TMIN, + 0.022, # falling A1 -> rising Y + 0.019, # rising A1 -> falling Y + TMIN + ]) + + #XOR-gate output + assert np.allclose(eat[1], [ + TMAX, + 0.040, # A1:F -> Y:F + 0.038, # A1:R -> Y:R + TMAX, + 0.053, # A2:F -> Y:F + TMAX, # P filtered + TMAX, # P filtered + 0.066, # A2:F -> Y:R + 0.043, # A2:R -> Y:R + TMAX, # N filtered + TMAX, # P filtered + 0.064, # A2:R -> Y:F + TMAX, + 0.061, # A1:F -> Y:R + 0.062, # A1:R -> Y:F + TMAX, + ]) + + assert np.allclose(lst[1], [ + TMIN, + 0.040, # A1:F -> Y:F + 0.038, # A1:R -> Y:R + TMIN, + 0.053, # A2:F -> Y:F + TMIN, # P filtered + TMIN, # P filtered + 0.066, # A2:F -> Y:R + 0.043, # A2:R -> Y:R + TMIN, # N filtered + TMIN, # P filtered + 0.064, # A2:R -> Y:F + TMIN, + 0.061, # A1:F -> Y:R + 0.062, # A1:R -> Y:F + TMIN, + ]) \ No newline at end of file diff --git a/tests/test_stil.py b/tests/test_stil.py index 3bb0182..f179493 100644 --- a/tests/test_stil.py +++ b/tests/test_stil.py @@ -1,21 +1,21 @@ from kyupy import stil, verilog +from kyupy.techlib import SAED32 +def test_b15(mydir): + b15 = verilog.load(mydir / 'b15_2ig.v.gz', tlib=SAED32) -def test_b14(mydir): - b14 = verilog.load(mydir / 'b14.v.gz') - - s = stil.load(mydir / 'b14.stuck.stil.gz') + s = stil.load(mydir / 'b15_2ig.sa_nf.stil.gz') assert len(s.signal_groups) == 10 assert len(s.scan_chains) == 1 - assert len(s.calls) == 2163 - tests = s.tests(b14) - resp = s.responses(b14) + assert len(s.calls) == 1357 + tests = s.tests(b15) + resp = s.responses(b15) assert len(tests) > 0 assert len(resp) > 0 - - s2 = stil.load(mydir / 'b14.transition.stil.gz') - tests = s2.tests_loc(b14) - resp = s2.responses(b14) + + s2 = stil.load(mydir / 'b15_2ig.tf_nf.stil.gz') + tests = s2.tests_loc(b15) + resp = s2.responses(b15) assert len(tests) > 0 assert len(resp) > 0 diff --git a/tests/test_verilog.py b/tests/test_verilog.py index 366032a..87bbe73 100644 --- a/tests/test_verilog.py +++ b/tests/test_verilog.py @@ -1,8 +1,45 @@ from kyupy import verilog - +from kyupy.techlib import SAED90, SAED32 def test_b01(mydir): with open(mydir / 'b01.v', 'r') as f: - modules = verilog.parse(f.read()) - assert modules is not None - assert verilog.load(mydir / 'b01.v') is not None + c = verilog.parse(f.read(), tlib=SAED90) + assert c is not None + assert verilog.load(mydir / 'b01.v', tlib=SAED90) is not None + + assert len(c.nodes) == 139 + assert len(c.lines) == 203 + stats = c.stats + assert stats['input'] == 6 + assert stats['output'] == 3 + assert stats['__seq__'] == 5 + + +def test_b15(mydir): + c = verilog.load(mydir / 'b15_4ig.v.gz', tlib=SAED32) + assert len(c.nodes) == 12067 + assert len(c.lines) == 20731 + stats = c.stats + assert stats['input'] == 40 + assert stats['output'] == 71 + assert stats['__seq__'] == 417 + + +def test_gates(mydir): + c = verilog.load(mydir / 'gates.v', tlib=SAED90) + assert len(c.nodes) == 10 + assert len(c.lines) == 10 + stats = c.stats + assert stats['input'] == 2 + assert stats['output'] == 2 + assert stats['__seq__'] == 0 + + +def test_halton2(mydir): + c = verilog.load(mydir / 'rng_haltonBase2.synth_yosys.v', tlib=SAED90) + assert len(c.nodes) == 146 + assert len(c.lines) == 210 + stats = c.stats + assert stats['input'] == 2 + assert stats['output'] == 12 + assert stats['__seq__'] == 12 \ No newline at end of file diff --git a/tests/test_wave_sim.py b/tests/test_wave_sim.py index 724a415..9a09b32 100644 --- a/tests/test_wave_sim.py +++ b/tests/test_wave_sim.py @@ -1,150 +1,168 @@ import numpy as np -from kyupy.wave_sim import WaveSim, WaveSimCuda, wave_eval, TMIN, TMAX +from kyupy.wave_sim import WaveSim, WaveSimCuda, wave_eval_cpu, TMIN, TMAX from kyupy.logic_sim import LogicSim -from kyupy import verilog, sdf, logic -from kyupy.logic import MVArray, BPArray +from kyupy import logic, bench, sim +from kyupy.logic import mvarray +def test_nand_delays(): + op = (sim.NAND4, 4, 0, 1, 2, 3, -1, 0, 0) + #op = (0b0111, 4, 0, 1) + c = np.full((5*16, 1), TMAX) # 5 waveforms of capacity 16 + c_locs = np.zeros((5,), dtype='int') + c_caps = np.zeros((5,), dtype='int') + + for i in range(5): c_locs[i], c_caps[i] = i*16, 16 # 1:1 mapping -def test_wave_eval(): # SDF specifies IOPATH delays with respect to output polarity # SDF pulse rejection value is determined by IOPATH causing last transition and polarity of last transition - line_times = np.zeros((3, 2, 2)) - line_times[0, 0, 0] = 0.1 # A -> Z rise delay - line_times[0, 0, 1] = 0.2 # A -> Z fall delay - line_times[0, 1, 0] = 0.1 # A -> Z negative pulse limit (terminate in rising Z) - line_times[0, 1, 1] = 0.2 # A -> Z positive pulse limit - line_times[1, 0, 0] = 0.3 # as above for B -> Z - line_times[1, 0, 1] = 0.4 - line_times[1, 1, 0] = 0.3 - line_times[1, 1, 1] = 0.4 - - state = np.zeros((3*16, 1)) + TMAX # 3 waveforms of capacity 16 - state[::16, 0] = 16 # first entry is capacity - a = state[0:16, 0] - b = state[16:32, 0] - z = state[32:, 0] - sat = np.zeros((3, 3), dtype='int') - sat[0] = 0, 16, 0 - sat[1] = 16, 16, 0 - sat[2] = 32, 16, 0 - - sdata = np.asarray([1, -1, 0, 0], dtype='float32') - - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMIN - - a[0] = TMIN - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMIN - - b[0] = TMIN - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMAX - - a[0] = 1 # A _/^^^ - b[0] = 2 # B __/^^ - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMIN # ^^^\___ B -> Z fall delay - assert z[1] == 2.4 - assert z[2] == TMAX - - a[0] = TMIN # A ^^^^^^ - b[0] = TMIN # B ^^^\__ - b[1] = 2 - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == 2.3 # ___/^^^ B -> Z rise delay - assert z[1] == TMAX - - # pos pulse of 0.35 at B -> 0.45 after delays - a[0] = TMIN # A ^^^^^^^^ - b[0] = TMIN - b[1] = 2 # B ^^\__/^^ - b[2] = 2.35 - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == 2.3 # __/^^\__ - assert z[1] == 2.75 - assert z[2] == TMAX - - # neg pulse of 0.45 at B -> 0.35 after delays - a[0] = TMIN # A ^^^^^^^^ - b[0] = 2 # B __/^^\__ - b[1] = 2.45 - b[2] = TMAX - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMIN # ^^\__/^^ - assert z[1] == 2.4 - assert z[2] == 2.75 - assert z[3] == TMAX - - # neg pulse of 0.35 at B -> 0.25 after delays (filtered) - a[0] = TMIN # A ^^^^^^^^ - b[0] = 2 # B __/^^\__ - b[1] = 2.35 - b[2] = TMAX - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMIN # ^^^^^^ - assert z[1] == TMAX - - # pos pulse of 0.25 at B -> 0.35 after delays (filtered) - a[0] = TMIN # A ^^^^^^^^ - b[0] = TMIN - b[1] = 2 # B ^^\__/^^ - b[2] = 2.25 - wave_eval((0b0111, 2, 0, 1), state, sat, 0, line_times, sdata) - assert z[0] == TMAX # ______ - - -def compare_to_logic_sim(wsim): - tests = MVArray((len(wsim.interface), wsim.sims)) + delays = np.zeros((1, 5, 2, 2)) + delays[0, 0, 0, 0] = 0.1 # A -> Z rise delay + delays[0, 0, 0, 1] = 0.2 # A -> Z fall delay + delays[0, 0, 1, 0] = 0.1 # A -> Z negative pulse limit (terminate in rising Z) + delays[0, 0, 1, 1] = 0.2 # A -> Z positive pulse limit + delays[0, 1, :, 0] = 0.3 # as above for B -> Z + delays[0, 1, :, 1] = 0.4 + delays[0, 2, :, 0] = 0.5 # as above for C -> Z + delays[0, 2, :, 1] = 0.6 + delays[0, 3, :, 0] = 0.7 # as above for D -> Z + delays[0, 3, :, 1] = 0.8 + + simctl_int = np.asarray([0], dtype=np.int32) + + def wave_assert(inputs, output): + for i, a in zip(inputs, c.reshape(-1,16)): a[:len(i)] = i + wave_eval_cpu(op, c, c_locs, c_caps, 0, delays, simctl_int) + for i, v in enumerate(output): np.testing.assert_allclose(c.reshape(-1,16)[4,i], v) + + wave_assert([[TMAX,TMAX],[TMAX,TMAX],[TMIN,TMAX],[TMIN,TMAX]], [TMIN,TMAX]) # NAND(0,0,1,1) => 1 + wave_assert([[TMIN,TMAX],[TMAX,TMAX],[TMIN,TMAX],[TMIN,TMAX]], [TMIN,TMAX]) # NAND(1,0,1,1) => 1 + wave_assert([[TMIN,TMAX],[TMIN,TMAX],[TMIN,TMAX],[TMIN,TMAX]], [TMAX]) # NAND(1,1,1,1) => 0 + + # Keep inputs C=1 and D=1. + wave_assert([[1,TMAX],[2,TMAX]], [TMIN,2.4,TMAX]) # _/⎺⎺⎺ NAND __/⎺⎺ => ⎺⎺⎺\___ (B->Z fall delay) + wave_assert([[TMIN,TMAX],[TMIN,2,TMAX]], [2.3,TMAX]) # ⎺⎺⎺⎺⎺ NAND ⎺⎺\__ => ___/⎺⎺⎺ (B->Z rise delay) + wave_assert([[TMIN,TMAX],[TMIN,2,2.35,TMAX]], [2.3,2.75,TMAX]) # ⎺⎺⎺⎺⎺ NAND ⎺\_/⎺ => __/⎺⎺\_ (pos pulse, .35@B -> .45@Z) + wave_assert([[TMIN,TMAX],[TMIN,2,2.25,TMAX]], [TMAX]) # ⎺⎺⎺⎺⎺ NAND ⎺\_/⎺ => _______ (pos pulse, .25@B -> .35@Z, filtered) + wave_assert([[TMIN,TMAX],[2,2.45,TMAX]], [TMIN,2.4,2.75,TMAX]) # ⎺⎺⎺⎺⎺ NAND _/⎺\_ => ⎺⎺\_/⎺⎺ (neg pulse, .45@B -> .35@Z) + wave_assert([[TMIN,TMAX],[2,2.35,TMAX]], [TMIN,TMAX]) # ⎺⎺⎺⎺⎺ NAND _/⎺\_ => ⎺⎺⎺⎺⎺⎺⎺ (neg pulse, .35@B -> .25@Z, filtered) + + +def test_tiny_circuit(): + c = bench.parse('input(x, y) output(a, o, n) a=and(x,y) o=or(x,y) n=not(x)') + delays = np.full((1, len(c.lines), 2, 2), 1.0) # unit delay for all lines + wsim = WaveSim(c, delays) + assert wsim.s.shape[1] == 5 + + # values for x + wsim.s[:3,0,0] = 0, 10, 0 + wsim.s[:3,0,1] = 0, 20, 1 + wsim.s[:3,0,2] = 1, 30, 0 + wsim.s[:3,0,3] = 1, 40, 1 + + # values for y + wsim.s[:3,1,0] = 1, 50, 0 + wsim.s[:3,1,1] = 1, 60, 0 + wsim.s[:3,1,2] = 1, 70, 0 + wsim.s[:3,1,3] = 0, 80, 1 + + wsim.s_to_c() + + x_c_loc = wsim.c_locs[wsim.ppi_offset+0] # check x waveforms + np.testing.assert_allclose(wsim.c[x_c_loc:x_c_loc+3, 0], [TMAX, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[x_c_loc:x_c_loc+3, 1], [20, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[x_c_loc:x_c_loc+3, 2], [TMIN, 30, TMAX]) + np.testing.assert_allclose(wsim.c[x_c_loc:x_c_loc+3, 3], [TMIN, TMAX, TMAX]) + + y_c_loc = wsim.c_locs[wsim.ppi_offset+1] # check y waveforms + np.testing.assert_allclose(wsim.c[y_c_loc:y_c_loc+3, 0], [TMIN, 50, TMAX]) + np.testing.assert_allclose(wsim.c[y_c_loc:y_c_loc+3, 1], [TMIN, 60, TMAX]) + np.testing.assert_allclose(wsim.c[y_c_loc:y_c_loc+3, 2], [TMIN, 70, TMAX]) + np.testing.assert_allclose(wsim.c[y_c_loc:y_c_loc+3, 3], [80, TMAX, TMAX]) + + wsim.c_prop() + + a_c_loc = wsim.c_locs[wsim.ppo_offset+2] # check a waveforms + np.testing.assert_allclose(wsim.c[a_c_loc:a_c_loc+3, 0], [TMAX, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[a_c_loc:a_c_loc+3, 1], [21, 61, TMAX]) + np.testing.assert_allclose(wsim.c[a_c_loc:a_c_loc+3, 2], [TMIN, 31, TMAX]) + np.testing.assert_allclose(wsim.c[a_c_loc:a_c_loc+3, 3], [81, TMAX, TMAX]) + + o_c_loc = wsim.c_locs[wsim.ppo_offset+3] # check o waveforms + np.testing.assert_allclose(wsim.c[o_c_loc:o_c_loc+3, 0], [TMIN, 51, TMAX]) + np.testing.assert_allclose(wsim.c[o_c_loc:o_c_loc+3, 1], [TMIN, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[o_c_loc:o_c_loc+3, 2], [TMIN, 71, TMAX]) + np.testing.assert_allclose(wsim.c[o_c_loc:o_c_loc+3, 3], [TMIN, TMAX, TMAX]) + + n_c_loc = wsim.c_locs[wsim.ppo_offset+4] # check n waveforms + np.testing.assert_allclose(wsim.c[n_c_loc:n_c_loc+3, 0], [TMIN, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[n_c_loc:n_c_loc+3, 1], [TMIN, 21, TMAX]) + np.testing.assert_allclose(wsim.c[n_c_loc:n_c_loc+3, 2], [31, TMAX, TMAX]) + np.testing.assert_allclose(wsim.c[n_c_loc:n_c_loc+3, 3], [TMAX, TMAX, TMAX]) + + wsim.c_to_s() + + # check a captures + np.testing.assert_allclose(wsim.s[3:7, 2, 0], [0, TMAX, TMIN, 0]) + np.testing.assert_allclose(wsim.s[3:7, 2, 1], [0, 21, 61, 0]) + np.testing.assert_allclose(wsim.s[3:7, 2, 2], [1, 31, 31, 0]) + np.testing.assert_allclose(wsim.s[3:7, 2, 3], [0, 81, 81, 1]) + + # check o captures + np.testing.assert_allclose(wsim.s[3:7, 3, 0], [1, 51, 51, 0]) + np.testing.assert_allclose(wsim.s[3:7, 3, 1], [1, TMAX, TMIN, 1]) + np.testing.assert_allclose(wsim.s[3:7, 3, 2], [1, 71, 71, 0]) + np.testing.assert_allclose(wsim.s[3:7, 3, 3], [1, TMAX, TMIN, 1]) + + # check o captures + np.testing.assert_allclose(wsim.s[3:7, 4, 0], [1, TMAX, TMIN, 1]) + np.testing.assert_allclose(wsim.s[3:7, 4, 1], [1, 21, 21, 0]) + np.testing.assert_allclose(wsim.s[3:7, 4, 2], [0, 31, 31, 1]) + np.testing.assert_allclose(wsim.s[3:7, 4, 3], [0, TMAX, TMIN, 0]) + + +def compare_to_logic_sim(wsim: WaveSim): choices = np.asarray([logic.ZERO, logic.ONE, logic.RISE, logic.FALL], dtype=np.uint8) rng = np.random.default_rng(10) - tests.data[...] = rng.choice(choices, tests.data.shape) - tests_bp = BPArray(tests) - wsim.assign(tests_bp) - wsim.propagate() - cdata = wsim.capture() - - resp = MVArray(tests) - - for iidx, inode in enumerate(wsim.interface): - if len(inode.ins) > 0: - for vidx in range(wsim.sims): - resp.data[iidx, vidx] = logic.ZERO if cdata[iidx, vidx, 0] < 0.5 else logic.ONE - # resp.set_value(vidx, iidx, 0 if cdata[iidx, vidx, 0] < 0.5 else 1) - - lsim = LogicSim(wsim.circuit, len(tests_bp)) - lsim.assign(tests_bp) - lsim.propagate() - exp_bp = BPArray(tests_bp) - lsim.capture(exp_bp) - exp = MVArray(exp_bp) - - for i in range(8): - exp_str = exp[i].replace('R', '1').replace('F', '0').replace('P', '0').replace('N', '1') - res_str = resp[i].replace('R', '1').replace('F', '0').replace('P', '0').replace('N', '1') - assert res_str == exp_str - - -def test_b14(mydir): - c = verilog.load(mydir / 'b14.v.gz', branchforks=True) - df = sdf.load(mydir / 'b14.sdf.gz') - lt = df.annotation(c) - wsim = WaveSim(c, lt, 8) - compare_to_logic_sim(wsim) - - -def test_b14_strip_forks(mydir): - c = verilog.load(mydir / 'b14.v.gz', branchforks=True) - df = sdf.load(mydir / 'b14.sdf.gz') - lt = df.annotation(c) - wsim = WaveSim(c, lt, 8, strip_forks=True) - compare_to_logic_sim(wsim) - - -def test_b14_cuda(mydir): - c = verilog.load(mydir / 'b14.v.gz', branchforks=True) - df = sdf.load(mydir / 'b14.sdf.gz') - lt = df.annotation(c) - wsim = WaveSimCuda(c, lt, 8) - compare_to_logic_sim(wsim) + tests = rng.choice(choices, (wsim.s_len, wsim.sims)) + + wsim.s[0] = (tests & 2) >> 1 + wsim.s[3] = (tests & 2) >> 1 + wsim.s[1] = 0.0 + wsim.s[2] = tests & 1 + wsim.s[6] = tests & 1 + + wsim.s_to_c() + wsim.c_prop() + wsim.c_to_s() + + resp = np.array(wsim.s[6], dtype=np.uint8) | (np.array(wsim.s[3], dtype=np.uint8)<<1) + resp |= ((resp ^ (resp >> 1)) & 1) << 2 # transitions + resp[wsim.pi_s_locs] = logic.UNASSIGNED + + lsim = LogicSim(wsim.circuit, tests.shape[-1]) + lsim.s[0] = logic.mv_to_bp(tests) + lsim.s_to_c() + lsim.c_prop() + lsim.c_to_s() + exp = logic.bp_to_mv(lsim.s[1]) + + resp[resp == logic.PPULSE] = logic.ZERO + resp[resp == logic.NPULSE] = logic.ONE + + exp[exp == logic.PPULSE] = logic.ZERO + exp[exp == logic.NPULSE] = logic.ONE + + np.testing.assert_allclose(resp, exp) + + +def test_b15(b15_2ig_circuit, b15_2ig_delays): + compare_to_logic_sim(WaveSim(b15_2ig_circuit, b15_2ig_delays, 8)) + + +def test_b15_strip_forks(b15_2ig_circuit, b15_2ig_delays): + compare_to_logic_sim(WaveSim(b15_2ig_circuit, b15_2ig_delays, 8, strip_forks=True)) + + +def test_b15_cuda(b15_2ig_circuit, b15_2ig_delays): + compare_to_logic_sim(WaveSimCuda(b15_2ig_circuit, b15_2ig_delays, 8, strip_forks=True)) From 821ead0c7a7219ccc1fd70790bbf0301957e8629 Mon Sep 17 00:00:00 2001 From: Stefan Holst Date: Sat, 22 Jul 2023 16:34:57 +0900 Subject: [PATCH 2/4] add readthedocs conf for py3.8 --- .readthedocs.yaml | 6 ++++++ 1 file changed, 6 insertions(+) create mode 100644 .readthedocs.yaml diff --git a/.readthedocs.yaml b/.readthedocs.yaml new file mode 100644 index 0000000..24b7bde --- /dev/null +++ b/.readthedocs.yaml @@ -0,0 +1,6 @@ +version: 2 + +build: + os: "ubuntu-20.04" + tools: + python: "3.8" \ No newline at end of file From eb4e0f45295870247aab14b4c615ccf5d6140e6a Mon Sep 17 00:00:00 2001 From: Stefan Holst Date: Sat, 22 Jul 2023 16:40:57 +0900 Subject: [PATCH 3/4] improve readthedocs config --- .readthedocs.yaml | 11 ++++++++++- 1 file changed, 10 insertions(+), 1 deletion(-) diff --git a/.readthedocs.yaml b/.readthedocs.yaml index 24b7bde..e83d150 100644 --- a/.readthedocs.yaml +++ b/.readthedocs.yaml @@ -3,4 +3,13 @@ version: 2 build: os: "ubuntu-20.04" tools: - python: "3.8" \ No newline at end of file + python: "3.8" + +sphinx: + fail_on_warning: true + +python: + # Install our python package before building the docs + install: + - method: pip + path: . \ No newline at end of file From 9f9902c6132ca845c7f7d14c441c7cc3a83da81f Mon Sep 17 00:00:00 2001 From: Stefan Holst Date: Sat, 22 Jul 2023 16:48:51 +0900 Subject: [PATCH 4/4] remove _static --- docs/conf.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/conf.py b/docs/conf.py index e50d1bf..53f405e 100644 --- a/docs/conf.py +++ b/docs/conf.py @@ -57,7 +57,7 @@ html_theme = 'sphinx_rtd_theme' # Add any paths that contain custom static files (such as style sheets) here, # relative to this directory. They are copied after the builtin static files, # so a file named "default.css" will overwrite the builtin "default.css". -html_static_path = ['_static'] +#html_static_path = ['_static'] autodoc_default_options = { 'member-order': 'bysource',